KR20060111100A - Apparatus for manufacturing a semiconductor device - Google Patents

Apparatus for manufacturing a semiconductor device Download PDF

Info

Publication number
KR20060111100A
KR20060111100A KR1020050033452A KR20050033452A KR20060111100A KR 20060111100 A KR20060111100 A KR 20060111100A KR 1020050033452 A KR1020050033452 A KR 1020050033452A KR 20050033452 A KR20050033452 A KR 20050033452A KR 20060111100 A KR20060111100 A KR 20060111100A
Authority
KR
South Korea
Prior art keywords
gas
load lock
lock chamber
chamber
line
Prior art date
Application number
KR1020050033452A
Other languages
Korean (ko)
Inventor
윤호중
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050033452A priority Critical patent/KR20060111100A/en
Publication of KR20060111100A publication Critical patent/KR20060111100A/en

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/3616Holders, macro size fixtures for mechanically holding or positioning fibres, e.g. on an optical bench
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23BTURNING; BORING
    • B23B31/00Chucks; Expansion mandrels; Adaptations thereof for remote control
    • B23B31/02Chucks
    • B23B31/10Chucks characterised by the retaining or gripping devices or their immediate operating means
    • B23B31/12Chucks with simultaneously-acting jaws, whether or not also individually adjustable
    • B23B31/20Longitudinally-split sleeves, e.g. collet chucks
    • B23B31/201Characterized by features relating primarily to remote control of the gripping means
    • B23B31/207Characterized by features relating primarily to remote control of the gripping means using mechanical transmission through the spindle
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12197Grinding; Polishing

Abstract

An apparatus for manufacturing a semiconductor device is provided to prevent vortex of particles and to restrain fluid speed variation of inactive gas by using an orifice of a gas supply line. An apparatus for manufacturing a semiconductor is comprised of a process chamber, a loadlock chamber, a gas source and an orifice. The process chamber(110) provides a space for a wafer to perform a processing. The loadlock chamber(130) stores the wafer in processing, and inactive gas is provided to purge an inside of the loadlock chamber. The gas source(140) provides the inactive gas into the loadlock chamber to purge remaining particles. The orifice(138) controls a flow of the inactive gas to prevent vortex of the remaining particles.

Description

반도체 소자 제조 장치{APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE}Semiconductor device manufacturing apparatus {APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE}

도 1은 본 발명의 일 실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to an embodiment of the present invention.

도 2는 본 발명의 다른 실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.2 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

110 : 공정 챔버 130 : 로드락 챔버110: process chamber 130: load lock chamber

140 : 가스 공급원 134 : 가스 제공라인140: gas supply source 134: gas supply line

136 : 차단 밸브 138 : 오리피스136: shutoff valve 138: orifice

144 : 배기라인 150 : 진공 펌프144: exhaust line 150: vacuum pump

본 발명은 반도체 소자 제조 장치에 관한 것으로, 보다 상세하게는 반도체 소자 제조 공정 중 주입되는 가스의 유속 변동을 억제하기 위한 반도체 소자 제조 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device manufacturing apparatus, and more particularly, to a semiconductor device manufacturing apparatus for suppressing fluctuations in the flow rate of gas injected during a semiconductor device manufacturing process.

근래에 정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체가 널리 보급에 따라 반도체 소자도 비약적으로 발전하고 있다. 그 기능면에 있어서, 상기 반도체 소자는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이에 따라, 상기 반도체 소자는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.In recent years, with the rapid development of the information and communication field and the widespread spread of information media such as computers, semiconductor devices are also rapidly developing. In terms of its function, the semiconductor element is required to operate at a high speed and to have a large storage capacity. Accordingly, the manufacturing technology of the semiconductor device has been developed to improve the degree of integration, reliability, and response speed.

상기 반도체 소자는 일반적으로 막 형성, 패턴 형성, 금속 배선 형성 등을 위한 일련의 단위 공정들을 순차적으로 수행함으로서 제조된다. 상기 단위 공정은 일반적으로 저압 즉, 진공 상태로 유지된 공정 챔버의 내부에서 진행된다. 상기 진공상태가 유지된 공정 챔버의 내부로 웨이퍼를 로딩 및 언로딩시키기 위해서 상기 공정 챔버의 일 측에 배치된 로드락 챔버가 구비된다.The semiconductor device is generally manufactured by sequentially performing a series of unit processes for film formation, pattern formation, metal wiring formation, and the like. The unit process generally proceeds inside a process chamber maintained at low pressure, ie, under vacuum. A load lock chamber disposed on one side of the process chamber is provided to load and unload a wafer into the process chamber maintained in the vacuum state.

상기 로드락 챔버는 상기 공정 챔버의 내부 압력에 따라 그 내부가 진공 상태로 유지되며, 상기 일련의 공정들을 수행하기 위한 웨이퍼를 일시적으로 보관한다. 또한, 상기 로드락 챔버는 상기 웨이퍼의 로딩 및 언로딩 공정이 수행되는 곳으로 다수의 웨이퍼가 적재된 카세트를 투입/방출하기 위한 카세트용 도어가 설치되어 있다.  The load lock chamber is maintained in a vacuum state according to the internal pressure of the process chamber, and temporarily stores a wafer for performing the series of processes. In addition, the load lock chamber is provided with a cassette door for inputting / ejecting a cassette loaded with a plurality of wafers to a place where the wafer loading and unloading process is performed.

상기와 같은 역할을 수행하는 로드락 챔버는 그 내부의 압력을 조절하기 위해 진공 펌프와 질소가스 공급원이 연결되어 있다. 상기 질소가스 공급원은 상기 진공상태를 갖는 로드락 챔버 내부를 대기압 상태로 압력을 상승시킬 경우 가스 제공라인을 통하여 상기 로드락 챔버 내부로 가스를 제공한다. The load lock chamber which serves as described above is connected to a vacuum pump and a nitrogen gas supply source to adjust the pressure therein. The nitrogen gas source supplies gas into the load lock chamber through a gas providing line when the pressure in the load lock chamber having the vacuum state is raised to atmospheric pressure.

상기 가스 제공라인은 가스의 흐름을 온-오프(On-off)시키는 동작을 수행되 는 밸브 및 상기 가스 제공라인을 통해 상기 로드락 챔버 내부로 가스가 주입될 경우 상기 가스의 주입으로 상기 로드락 챔버 내부의 압력이 급격히 상승되는 것을 방지하기 위한 니들 밸브가 구비되어 있다.The gas providing line is a valve for performing an operation of turning on and off a flow of gas and when the gas is injected into the load lock chamber through the gas providing line, the load lock is injected into the gas. A needle valve is provided to prevent the pressure inside the chamber from rising sharply.

그러나 상기 니들밸브는 로드락 챔버의 내부로 제공되는 가스를 조절할 수 있는 효과를 가지고 있지만, 작업자에 의해 수동으로 조작되어야 할 뿐만 아니라 상기 가스 제공라인에서 장기간 적용될 경우 최초 기준유속(Calibration Flow)값 조다 많아지거나 작아지는 문제점이 발생된다.However, the needle valve has an effect of controlling the gas provided into the load lock chamber, but not only needs to be manually operated by an operator but also has an initial calibration flow value when applied to the gas supply line for a long time. There is a problem that increases or decreases.

상기 니들 밸브에서의 최초 기준유속의 변화는 상기 로드락 챔버의 압력 상승을 초래하기 때문에 상기 로드락 챔버내에 잔류하는 파티클의 와류 현상이 발생한다. 상기 파티클의 와류는 로드락 챔버에 수용된 웨이퍼의 오염을 초래한다. 따라서, 상기와 같은 문제점을 해결하기 위해서는 작업자가 일정 주기를 가지고, 상기 니들밸브의 개방 항성 점검 및 보정 작업을 해야하는 문제점이 발생된다.Variation of particles remaining in the load lock chamber occurs because a change in the initial reference flow rate at the needle valve causes an increase in pressure in the load lock chamber. Vortex of the particles causes contamination of the wafer contained in the load lock chamber. Therefore, in order to solve the above problems, the worker has a certain period, and the problem of having to check and correct the open side of the needle valve occurs.

따라서, 상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 반도체 소자 제조 설비에 적용되는 로드락 챔버 내에 불활성 가스가 제공될 경우 파티클의 와류현상 및 상기 불활성 가스의 유속변동을 방지할 수 있는 반도체 소자 제조 장치를 제공하는데 있다.Accordingly, an object of the present invention for solving the above problems is to provide a semiconductor device that can prevent the vortex of the particles and the flow rate of the inert gas when the inert gas is provided in the load lock chamber applied to the semiconductor device manufacturing equipment It is to provide a manufacturing apparatus.

상기 목적을 달성하기 위하여 본 발명의 일 실시예에 따른 반도체 소자 제조용 설비는 공정 챔버, 로드락 챔버, 가스 공급원 및 오리피스를 포함한다. 상기 공 정 챔버는 웨이퍼를 수용하여 공정을 진행하기 위한 공간을 제공한다. 상기 로드락 챔버는 공정 챔버에서 공정이 완료되거나 공정이 진행될 웨이퍼를 수용하며, 일측을 통해 내부를 퍼지하기 위한 불활성 가스가 제공된다. 상기 가스 공급원은 로드락 챔버의 내부에 잔류하는 파티클을 퍼지하기 위해 상기 로드락 챔버에 연결된 가스 제공라인을 통해 상기 로드락 챔버 내부로 불활성 가스를 제공한다. 상기 오리피스는 가스 제공라인에 구비되며, 상기 불활성 가스가 상기 로드락 챔버 내부로 제공될 경우 상기 로드락 챔버 내부에 잔류하는 파티클이 상기 로드락 챔버 내에서 와류되는 것을 방지하기 위해 상기 불활성 가스의 흐름을 제어한다. 상기 로드락 챔버의 일측에는 가스 배기 라인이 연결되고, 상기 가스 배기 라인에는 상기 로드락 챔버의 내부를 진공상태로 형성하는 위한 진공 펌프가 구비된다.In order to achieve the above object, a semiconductor device manufacturing apparatus according to an embodiment of the present invention includes a process chamber, a load lock chamber, a gas source, and an orifice. The process chamber provides a space for accommodating the wafer and proceeding with the process. The load lock chamber accommodates a wafer to be processed or processed in the process chamber, and is provided with an inert gas for purging the interior through one side. The gas source provides an inert gas into the load lock chamber through a gas providing line connected to the load lock chamber to purge particles remaining inside the load lock chamber. The orifice is provided in a gas providing line, and when the inert gas is provided into the load lock chamber, a flow of the inert gas is prevented to prevent particles remaining in the load lock chamber from vortexing in the load lock chamber. To control. A gas exhaust line is connected to one side of the load lock chamber, and the gas exhaust line is provided with a vacuum pump for forming the inside of the load lock chamber in a vacuum state.

상기 설비에서 가스 제공라인은 상기 로드락 챔버와 가스 공급원을 연결하며, 제1 유량으로 가스를 흐르게 하는 제1 가스라인과 상기 제1 유량보다 큰 유량으로 가스를 흐르게 하는 제2 가스라인으로 구성된다. 여기서, 상기 제1 가스라인에는 상기 유속 제어부인 오리피스가 구비된다.In the installation, the gas providing line connects the load lock chamber and a gas supply source, and includes a first gas line through which gas flows at a first flow rate, and a second gas line through which gas flows at a flow rate greater than the first flow rate. . Here, the first gas line is provided with an orifice which is the flow rate controller.

따라서 상기와 같이 구성된 본 발명에 따른 상기 반도체 소자 제조용 설비는 상기 불활성 가스인 질소가스가 상기 오리피스가 구비된 가스 제공라인을 통해 상기 로드락 챔버 내로 유입될 경우 상기 로드락 챔버 내부에 잔류하는 파티클이 와류되는 현상을 방지할 수 있다.Therefore, the semiconductor device manufacturing apparatus according to the present invention configured as described above is a particle remaining in the load lock chamber when the nitrogen gas which is the inert gas is introduced into the load lock chamber through the gas providing line provided with the orifice Vortexing can be prevented.

이는 상기 가스 제공라인에 구비된 오리피스가 상기 로드락 챔버 내부로 유입되는 가스의 유속 변화 없이 일정하게 유지시킬 수 있기 때문이다. 또한 상기 오 리피스는 장시간 사용할 경우에도 초기 유속의 기준설정(Calibration)값이 증가되는 현상이 발생하기 않기 때문에 작업자가 상기 유속을 수시로 관찰 및 유속보정 작업을 미연에 방지하는 편이성을 제공할 수 있다.This is because the orifice provided in the gas providing line can be kept constant without changing the flow rate of the gas flowing into the load lock chamber. In addition, since the orifice does not occur when the initial flow rate calibration value is increased even when used for a long time, the operator can easily observe the flow rate and prevent the flow rate correction work in advance. .

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 반도체 소장 제조 장치에 대해 상세히 설명한다.Hereinafter, a semiconductor device manufacturing apparatus according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to an embodiment of the present invention.

도 1을 참조하면, 본 발명에 따른 반도체 소자 제조 장치는 크게 웨이퍼를 수용하여 일련의 공정을 수행하기 위한 공간을 제공하는 공정 챔버(110), 공정 챔버(110)와 연결되어 공정이 완료되거나 공정이 진행될 웨이퍼를 보관하는 로드락 챔버(130)와, 상기 로드락 챔버로 불활성 가스를 공급하기 위한 불활성 가스를 수용하는 가스 공급원(140), 상기 로드락 챔버와 상기 가스 공급원을 연결하는 가스 제공라인(134) 및 상기 로드락 챔버 내로 유입되는 가스 유속을 균일하게 유지시키는 오리피스(138)를 포함한다.Referring to FIG. 1, a semiconductor device manufacturing apparatus according to the present invention is connected to a process chamber 110 and a process chamber 110 to provide a space for largely accommodating a wafer and to perform a series of processes, thereby completing a process or a process A load lock chamber 130 storing the wafer to be processed, a gas supply source accommodating an inert gas for supplying an inert gas to the load lock chamber, and a gas supply line connecting the load lock chamber and the gas supply source 134 and an orifice 138 to uniformly maintain the gas flow rate flowing into the load lock chamber.

공정 챔버(110)는 웨이퍼를 수용하여 10-3 Torr 이하의 진공 상태에서 화학 기상 증착 공정, 건식 식각 공정등의 반도체 소자의 제조 공정을 수행하기 위한 소정의 공간을 제공한다. 여기서, 공정 챔버(110)의 일측에는 상기 공정 챔버의 내부압력을 진공 상태로 형성하기 위한 진공 펌프(미도시)가 공정 챔버의 배기 라인(미도시)에 구비된다. 또한, 공정 챔버(110)의 일 측면에는 상기 반도체 소자의 제조 공정을 수행한기 위한 증착 가스 및 식각 가스가 유입되는 공정 챔버의 가스 제공부(미도시)가 구비된다. 상기 도면에서 공정 챔버(110)는 하나만 구비되는 것으로 도시되었지만, 다수의 웨이퍼에 대해 공정을 진행하기 위해 다수가 구비될 수도 있다.The process chamber 110 accommodates a wafer and provides a predetermined space for performing a semiconductor device manufacturing process such as a chemical vapor deposition process or a dry etching process in a vacuum state of 10 −3 Torr or less. Here, one side of the process chamber 110 is provided with a vacuum pump (not shown) for forming the internal pressure of the process chamber in a vacuum state in the exhaust line (not shown) of the process chamber. In addition, one side of the process chamber 110 is provided with a gas providing unit (not shown) of the process chamber into which the deposition gas and the etching gas for performing the manufacturing process of the semiconductor device. Although only one process chamber 110 is illustrated in the drawings, a plurality of process chambers 110 may be provided to process the plurality of wafers.

로드락 챔버(130)는 공정 챔버(110)와 연결되며 상기 공정 챔버의 내부로 웨이퍼가 투입되거나 상기 공정 챔버 내부에서 일련을 공정이 수행되어 방출되는 웨이퍼를 수용하는 공간을 제공한다. 일 예로 로드락 챔버(130)는 상기 웨이퍼가 상기 공정 챔버 내부로 제공될 때 상기 공정 챔버와 동일한 압력조건과 동일한 조건을 유지하기 위해 그 내부가 진공으로 유지된다.The load lock chamber 130 is connected to the process chamber 110 and provides a space for receiving a wafer into which a wafer is introduced into the process chamber or a series of processes performed in the process chamber to release the wafer. For example, the load lock chamber 130 is maintained inside the vacuum to maintain the same pressure and the same conditions as the process chamber when the wafer is provided into the process chamber.

로드락 챔버(130)는 상기 공정 챔버에서 일련의 공정이 수행된 웨이퍼가 카세트에 수용되어 외부로 이송될 때 대기압의 조건을 유지하기 위해 불활성 가스인 질소 가스를 유입 받는다. 또한, 로드락 챔버는 그 내부에 잔류하는 파티클들을 퍼지하기 위해 불활성 가스인 질소가스를 유입 받는다.The load lock chamber 130 receives nitrogen gas, which is an inert gas, in order to maintain atmospheric conditions when a wafer having a series of processes in the process chamber is accommodated in a cassette and transferred to the outside. In addition, the load lock chamber receives an inert gas, nitrogen gas, to purge particles remaining therein.

로드락 챔버(130)의 제1측 단부에는 가스 제공라인(134)을 통해 상기 로드락 챔버와 연결되는 가스 공급원(140)이 구비된다. The first side end of the load lock chamber 130 is provided with a gas supply 140 connected to the load lock chamber through a gas providing line 134.

또한, 로드락 챔버(130)의 제2 단부에는 상기 로드락 챔버의 배기라인(144)을 통해 상기 로드락 챔버(130)와 진공 펌프(150)가 연결된다. 로드락 챔버(130)에는 웨이퍼를 수납되는 카세트가 투입하기 위한 도어(미도시)가 더 구비된다.In addition, the load lock chamber 130 and the vacuum pump 150 are connected to the second end of the load lock chamber 130 through an exhaust line 144 of the load lock chamber. The load lock chamber 130 is further provided with a door (not shown) for injecting a cassette for storing the wafer.

가스 공급원(140)은 상기 로드락 챔버의 가스 제공라인(134)을 통하여 로드락 챔버(130)와 연결된다. 또한 가스 공급원(140)은 상기 로드락 챔버 내부로 제공 하기 위한 불활성 가스인 질소 가스를 수용한다. 상기 질소 가스는 로드락 챔버(130)의 압력을 조절하고, 로드락 챔버(130) 내부에 잔류하는 파티클들을 퍼지하기 위해 공급된다.The gas source 140 is connected to the load lock chamber 130 through the gas providing line 134 of the load lock chamber. In addition, the gas source 140 accommodates nitrogen gas which is an inert gas for providing into the load lock chamber. The nitrogen gas is supplied to adjust the pressure of the load lock chamber 130 and to purge particles remaining inside the load lock chamber 130.

로드락 챔버의 가스 제공라인(134)은 가스 공급원(140)과 로드락 챔버(130)를 연결하며 상기 가스 공급원(140)으로부터 로드락 챔버(130)로 상기 질소가스가 제공될 수 있는 유로를 제공한다. 로드락 챔버의 가스 제공라인(134)은 제1 가스라인(134a)과 제2 가스라인(134b)으로 구성되며, 바람직하게는 상기 가스 제공라인(134)은 그 일부가 분기되어 제1 가스라인(134a)과 제2 가스라인(134b)으로 구분된다.The gas providing line 134 of the load lock chamber connects the gas supply source 140 and the load lock chamber 130 to supply a flow path through which the nitrogen gas may be provided from the gas supply source 140 to the load lock chamber 130. to provide. The gas providing line 134 of the load lock chamber is composed of a first gas line 134a and a second gas line 134b. Preferably, a part of the gas providing line 134 is branched to form a first gas line. 134a and the second gas line 134b.

특히, 상기 제1 가스라인(134a)은 상기 가스 공급원(140)으로부터 제공되는 질소가스가 제1 유량으로 흐를 수 있는 유로를 갖고, 제2 가스라인(134b)은 상기 질소가스가 상기 제1 유량 보다 큰 유량으로 흐를 수 있는 유로를 갖는다.In particular, the first gas line 134a has a flow path through which the nitrogen gas provided from the gas supply source 140 can flow at a first flow rate, and the second gas line 134b has the first flow rate. It has a flow path that can flow at a higher flow rate.

또한, 가스 제공라인(134)에는 상기 가스 공급원으로부터 로드락 챔버(130)로 유입되는 질소가스의 흐름을 선택적으로 차단하는 차단 밸브(154)가 구비되어 있다. 상기 차단 밸브는 상기 제1 가스라인(134a)에 구비되어 상기 제1 가스라인을 개방 또는 폐쇄하는 제1 차단밸브(154a)를 포함한다. 제2 가스라인(134b)에 구비되어 상기 제2 가스라인을 개방 또는 폐쇄하는 제2 차단밸브(154b)를 포함한다.In addition, the gas providing line 134 is provided with a shutoff valve 154 for selectively blocking the flow of nitrogen gas flowing into the load lock chamber 130 from the gas supply source. The shutoff valve includes a first shutoff valve 154a provided in the first gas line 134a to open or close the first gas line. The second gas line 134b includes a second shutoff valve 154b that opens or closes the second gas line.

진공 펌프(150)는 상기 로드락 챔버의 가스 배기라인(144)에 구비되어 상기 로드락 챔버(130)의 내부를 진공상태로 형성한다. 보다 구체적으로는 상기 진공 펌프는 드라이 펌프(150)로 상기 로드락 챔버의 가스 배기라인(144)을 통해 상기 로 드락 챔버 내부의 압력을 10-3 Torr 내지 10-4 Torr 정도의 저 진공 상태로 형성한다.The vacuum pump 150 is provided in the gas exhaust line 144 of the load lock chamber to form the inside of the load lock chamber 130 in a vacuum state. More specifically, the vacuum pump is a dry pump 150 to the low vacuum state of about 10 -3 Torr to 10 -4 Torr through the gas exhaust line 144 of the load lock chamber Form.

유속 제어부인 오리피스(138)는 상기 가스 제공라인(134)에 구비되어 상기 로드락 챔버 내부로 유입되는 불활성 가스인 질소가스의 유량 및 그 속도를 균일하게 유지시킬 수 있다. 보다 구체적으로는 상기 오리피스는 상기 제1 가스라인(134a) 구비되고, 상기 가스 공급원에서 제공된 질소가스가 상기 제1 가스라인(134a)을 통해 로드락 챔버(130)로 유입될 경우 상기 로드락 챔버(130) 내부로 유입되는 질소가스의 유속의 변화가 발생하지 않도록 하여 상기 챔버 내부에 잔류하는 파티클(불순물)의 와류를 방지한다. 이때, 제2 가스라인은 제2 차단밸브(154b)에 의해 폐쇄되고, 제1 가스라인은 제1 차단 밸브(154a)에 의해 개방된 상태를 갖는다.An orifice 138, which is a flow rate control unit, may be provided in the gas providing line 134 to maintain a uniform flow rate and speed of nitrogen gas, which is an inert gas flowing into the load lock chamber. More specifically, the orifice is provided with the first gas line 134a, and when the nitrogen gas provided from the gas supply source flows into the load lock chamber 130 through the first gas line 134a, the load lock chamber is provided. 130 to prevent the change of the flow rate of nitrogen gas flowing into the inside to prevent the vortex of particles (impurity) remaining in the chamber. At this time, the second gas line is closed by the second shutoff valve 154b, and the first gas line is opened by the first shutoff valve 154a.

여기서, 상기 오리피스는 그 내경이 0.005 내지 0.025 인치이고, 바람직하게는 0.015인치이다. 상기 가스 제공라인에 오리피스가 구비되지 않을 경우는 상기 로드락 챔버 내로 제공되는 질소가스의 유속 변화를 초래하여 상기 로드락 챔버의 내부 압력을 급격히 상승시킨다. 이러한 내부 압력은 급격한 상승은 상기 로드락 챔버 내부에 잔류하는 파티클의 와류를 초래하여 로드락 챔버 내부에 존재하는 웨이퍼의 오염을 발생시킨다.Here, the orifice has an inner diameter of 0.005 to 0.025 inches, preferably 0.015 inches. When the orifice is not provided in the gas providing line, a change in the flow rate of nitrogen gas provided into the load lock chamber causes a rapid increase in the internal pressure of the load lock chamber. This rapid increase in internal pressure results in the vortex of particles remaining inside the load lock chamber, resulting in contamination of the wafers present inside the load lock chamber.

또한, 상기 오리피스는 상기 제1 가스라인 및 제2 가스라인에 각각 구비되어 상기 로드락 챔버 내부로 유입되는 질소가스의 유속의 변화가 발생하지 않도록 할 수 있다.In addition, the orifices may be provided in the first gas line and the second gas line, respectively, so that a change in the flow rate of nitrogen gas introduced into the load lock chamber may not occur.

도 2는 본 발명의 다른 실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.2 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to another embodiment of the present invention.

도 2를 참조하면, 로드락 챔버(230)는 공정 챔버(210)와 버퍼 챔버(220)를 매개로 하여 서로 연결될 수 있다. 상기 버퍼 챔버(220)는 로드락 챔버(230)를 통해 상기 버퍼 챔버(220)로 투입되는 웨이퍼 또는 공정 챔버(210)에서 일련의 공정이 수행되어 상기 로드락 챔버(230)로 방출되는 웨이퍼를 대기시키는 공간을 제공한다. 또한, 상기 버퍼 챔버는 공정 챔버(210)와 웨이퍼 이동용 도어를 사이에 두고 투입된 웨이퍼를 일 방향으로 정렬하고, 공정 챔버(210)로 상기 웨이퍼를 투입하거나, 공정 챔버(210)로부터 방출된 웨이퍼를 수납하는 역할을 한다.2, the load lock chamber 230 may be connected to each other through the process chamber 210 and the buffer chamber 220. The buffer chamber 220 is a wafer that is introduced into the buffer chamber 220 through the load lock chamber 230 or a series of processes are performed in the process chamber 210 to discharge the wafer discharged into the load lock chamber 230. Provide space for waiting. In addition, the buffer chamber aligns the wafers introduced in one direction with the process chamber 210 and the wafer moving door interposed therebetween, and injects the wafers into the process chamber 210 or releases the wafers discharged from the process chamber 210. It serves to store.

상기 버퍼 챔버(220)의 제1측 단부에는 상기 가스 제공라인을 통해 상기 버퍼 챔버(220)와 연결되는 가스 공급원(미도시)이 구비되고, 상기 버퍼 챔버의 제2측 단부에는 상기 로드락 챔버(230)와 마찬가지로 그 내부압력을 10-3 Torr 내지 10-4 Torr 정도의 저진공 상태로 형성할 수 있도록 진공펌프(미도시)가 배기라인(미도시)에 연결되어 구비된다.A gas supply source (not shown) connected to the buffer chamber 220 through the gas providing line is provided at the first side end of the buffer chamber 220, and the load lock chamber is provided at the second side end of the buffer chamber. Like 230, a vacuum pump (not shown) is connected to the exhaust line (not shown) to form the internal pressure in a low vacuum state of about 10 -3 Torr to 10 -4 Torr.

상기 버퍼 챔버(220) 일측에는 버퍼챔버(220)의 내부압력을 10-3 Torr 내지 10-4 Torr 정도의 진공상태로 형성할 수 있도록 드라이펌프 등의 진공펌프(222)가 연결 구비되어 있고, 버퍼챔버(220)의 중앙에는 웨이퍼 이송을 위한 로봇암(미도시)이 구비되어 있다. 본 실시예에서 상기 로드락 챔버(230), 가스 제공라인(234), 차단밸브(254) 및 가스 공급원(240), 오리피스(238) 가스 배기라인(244)에 대한 위에서 상세히 설명하였기 때문에 생략한다.One side of the buffer chamber 220 is provided with a vacuum pump 222, such as a dry pump to connect the internal pressure of the buffer chamber 220 in a vacuum state of about 10 -3 Torr to 10 -4 Torr, In the center of the buffer chamber 220 is provided a robot arm (not shown) for wafer transfer. Since the load lock chamber 230, the gas providing line 234, the shutoff valve 254 and the gas supply source 240, the orifice 238, and the gas exhaust line 244 have been described above in the present embodiment, they will be omitted. .

이하, 본 발명의 반도체 소자 제조 장치를 이용한 반도체 소자의 제조 공정을 설명하기로 한다.Hereinafter, the manufacturing process of the semiconductor element using the semiconductor element manufacturing apparatus of this invention is demonstrated.

반도체 소자의 제조 공정이 수행된 복수의 웨이퍼가 적재된 카세트가 카세트 도어를 통해서 로드락 챔버(230)의 내부에 투입되면, 로드락 챔버(230)에 진공펌프(250)는 작동되어 로드락 챔버(230)의 내부압력은 10-3 Torr 내지 10-4 Torr 정도의 진공상태로 형성한다.When a cassette loaded with a plurality of wafers on which a semiconductor device manufacturing process is performed is introduced into the load lock chamber 230 through a cassette door, the vacuum pump 250 is operated in the load lock chamber 230 to operate the load lock chamber. The internal pressure of the 230 is formed in a vacuum state of about 10 -3 Torr to about 10 -4 Torr.

이어서, 로드락 챔버(230)에 유입된 웨이퍼들은 버퍼 챔버의 로봇암에 의해서 10-3 Torr 내지 10-4 Torr 정도의 진공 상태가 형성된 버퍼 챔버로 이동하게 된다. 이때, 로드락 챔버와 버퍼 챔버의 도어가 개방될 때 웨이퍼가 이송될 경우 질소가스 제공라인의 차단 밸브가 동시에 개방된다. 상기 차단밸브가 개방됨으로 인해 가스 공급원(240)으로부터 질소 가스가 로드락 챔버(230) 공급되므로서 로드락 챔버(230)는 질소가스에 의해 퍼지된다.Subsequently, the wafers introduced into the load lock chamber 230 are moved to a buffer chamber in which a vacuum of about 10 −3 Torr to 10 −4 Torr is formed by the robot arm of the buffer chamber. At this time, when the wafer is transferred when the doors of the load lock chamber and the buffer chamber are opened, the shutoff valve of the nitrogen gas providing line is simultaneously opened. As the shutoff valve is opened, nitrogen gas is supplied from the gas supply source 240 to the load lock chamber 230, and the load lock chamber 230 is purged by nitrogen gas.

이어서, 버퍼 챔버(220) 내부의 웨이퍼는 다시 버퍼 챔버에 구비된 로봇암(미도시)에 의해 웨이퍼 이동용 도어를 통해서 공정 챔버(210) 내부로 이동하게 된다. 이때 공정 챔버(210)는 진공펌프의 작동에 의해서 10-3 Torr 이하의 진공 상태로 형성된다.Subsequently, the wafer inside the buffer chamber 220 is moved back into the process chamber 210 through a wafer moving door by a robot arm (not shown) provided in the buffer chamber. At this time, the process chamber 210 is formed in a vacuum state of less than 10 -3 Torr by the operation of the vacuum pump.

이어서, 공정 챔버(210) 내부에서는 웨이퍼 상에 특정 박막을 형성하는 화학 기상 증착공정이 진행된다.Subsequently, a chemical vapor deposition process for forming a specific thin film on the wafer is performed in the process chamber 210.

이어서, 공정 챔버(210) 내부에서 공정이 완료된 웨이퍼는 전술한 바와 같은 동작의 역순으로 다시 로봇암에 의해서 버퍼 챔버(220)를 경유하여 로드락 챔버를 통해 카세트에 적재된다. 상기에서 웨이퍼가 버퍼 챔버(220)에서 로드락 챔버(230)로 이송될 때 로드락 챔버와 버퍼 챔버의 공간을 폐쇄하는 도어가 개방된다. 이때, 상기 로드막 챔버의 내부로 질소가스가 유입될 수 있도록 차단 밸브가 개방된다. 따라서 로드락 챔버(230)내로 질소 가스가 공급되어 웨이퍼에 잔류하는 파티클 또는 잔류 가스를 퍼지한다.Subsequently, the wafer in which the process is completed in the process chamber 210 is loaded into the cassette through the load lock chamber via the buffer chamber 220 by the robot arm in the reverse order of the operation described above. In the above, when the wafer is transferred from the buffer chamber 220 to the load lock chamber 230, a door for closing the space between the load lock chamber and the buffer chamber is opened. In this case, a shutoff valve is opened to allow nitrogen gas to flow into the load membrane chamber. Therefore, nitrogen gas is supplied into the load lock chamber 230 to purge particles or residual gas remaining on the wafer.

이어서, 로드락 챔버(230)의 카세트에 모든 웨이퍼가 수납되면, 로드락 챔버(230)와 연결된 진공펌프의 가동이 중단된다. 그리고, 가스 공급라인 상에 설치된 차단 밸브가 개방되어 가스 공급원(250)에 저장된 질소가스가 로드락 챔버(230) 내부로 유입된다. 이에 따라 로드락챔버(230)의 내부압력은 10-3 Torr 내지 10-4 Torr 정도의 진공상태에서 대기압 상태로 전환된다.Subsequently, when all the wafers are stored in the cassette of the load lock chamber 230, the operation of the vacuum pump connected to the load lock chamber 230 is stopped. Then, a shutoff valve installed on the gas supply line is opened so that nitrogen gas stored in the gas supply source 250 is introduced into the load lock chamber 230. Accordingly, the internal pressure of the load lock chamber 230 is converted to a atmospheric pressure in a vacuum state of about 10 -3 Torr to 10 -4 Torr.

이어서, 로드락챔버(230)의 카세트는 상기 카세트용 도어를 통해서 외부로 이송됨으로서 화학기상증착 공정이 완료된다.Subsequently, the cassette of the load lock chamber 230 is transferred to the outside through the cassette door, thereby completing the chemical vapor deposition process.

본 실시에서의 반도체 소자 제조 장치는 화학기상증착 공정이 진행되는 공정 챔버에 적용되어 설명하였지만, 건식 식각공정이 수행되는 공정 챔버에 적용될 수 있다.Although the semiconductor device manufacturing apparatus of the present embodiment has been described as being applied to a process chamber in which a chemical vapor deposition process is performed, it may be applied to a process chamber in which a dry etching process is performed.

상술한 바와 같이, 따라서 상기와 같이 구성된 본 발명에 따른 상기 반도체 소자 제조용 설비는 상기 불활성 가스인 질소가스가 상기 오리피스가 구비된 가스 제공라인을 통해 상기 로드락 챔버 내로 유입될 경우 상기 로드락 챔버 내부에 잔류하는 파티클이 와류 되는 현상을 방지할 수 있다. 이는 상기 가스 제공라인에 구비된 오리피스가 상기 로드락 챔버 내부로 유입되는 가스의 유속의 변화 없이 일정하게 유지시키기 때문이다.As described above, according to the present invention, the apparatus for manufacturing a semiconductor device according to the present invention has the inside of the load lock chamber when the nitrogen gas, which is the inert gas, is introduced into the load lock chamber through the gas providing line provided with the orifice. Particles remaining in the vortex can be prevented. This is because the orifice provided in the gas providing line is kept constant without changing the flow velocity of the gas flowing into the load lock chamber.

또한 상기 가스 제공라인에 구비되는 오리피스는 장시간 사용할 경우에도 초기 유속의 기준값(Calibration)이 증가되는 현상의 발생을 방지할 수 있다. 따라서, 작업자가 상기 가스 유속을 수시로 관찰 및 가스 유속의 보정작업등을 미연에 방지할 수 편이성을 제공한다.In addition, the orifice provided in the gas providing line can prevent the occurrence of a phenomenon in which the reference value of the initial flow rate is increased even when used for a long time. Therefore, the operator can easily observe the gas flow rate and correct the gas flow rate.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (4)

웨이퍼를 수용하여 공정을 진행하기 위한 공간을 제공하는 공정 챔버;A process chamber for receiving a wafer and providing a space for processing the wafer; 상기 공정 챔버에서 공정이 완료되거나 공정이 진행될 웨이퍼를 수용하며, 일측을 통해 내부를 퍼지하기 위한 불활성 가스가 제공되는 로드락 챔버;A load lock chamber accommodating a wafer to be processed or completed in the process chamber and provided with an inert gas for purging the interior through one side; 상기 로드락 챔버의 내부에 잔류하는 파티클을 퍼지하기 위해 상기 로드락 챔버에 연결된 가스 제공라인을 통해 상기 로드락 챔버 내부로 불활성 가스를 제공하는 가스 공급원; 및A gas supply source for providing an inert gas into the load lock chamber through a gas supply line connected to the load lock chamber to purge particles remaining inside the load lock chamber; And 상기 가스 제공라인에 구비되고, 상기 불활성 가스가 상기 로드락 챔버 내부로 제공될 경우 상기 로드락 챔버 내부에 잔류하는 파티클의 와류를 방지하기 위해 상기 불활성 가스의 흐름을 제어하는 오리피스를 포함하는 반도체 소자 제조 장치.A semiconductor device provided in the gas providing line and including an orifice for controlling the flow of the inert gas to prevent vortex of particles remaining in the load lock chamber when the inert gas is provided into the load lock chamber; Manufacturing device. 제1항에 있어서, 상기 로드락 챔버의 일 측면에는 가스 배기라인이 구비되고, 상기 가스 배기라인에는 상기 로드락 챔버의 내부를 진공상태로 형성하기 위한 진공 펌프가 더 구비되어 있는 것을 특징으로 하는 반도체 소자 제조 장치.According to claim 1, wherein one side of the load lock chamber is provided with a gas exhaust line, the gas exhaust line is further provided with a vacuum pump for forming the interior of the load lock chamber in a vacuum state Semiconductor device manufacturing apparatus. 제1항에 있어서, 상기 가스 제공라인은 제1 가스 라인과 제2 가스라인을 포함하며, 상기 오리피스는 제1 가스라인에 구비되는 것을 특징으로 하는 반도체 소자 제조 장치.The apparatus of claim 1, wherein the gas providing line comprises a first gas line and a second gas line, and the orifice is provided in the first gas line. 제1항에 있어서, 상기 오리피스는 내경이 0.005 내지 0.025 인치를 갖는 것을 특징으로 하는 반도체 소자 제조 장치.The apparatus of claim 1, wherein the orifice has an inner diameter of 0.005 to 0.025 inch.
KR1020050033452A 2005-04-22 2005-04-22 Apparatus for manufacturing a semiconductor device KR20060111100A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050033452A KR20060111100A (en) 2005-04-22 2005-04-22 Apparatus for manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050033452A KR20060111100A (en) 2005-04-22 2005-04-22 Apparatus for manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
KR20060111100A true KR20060111100A (en) 2006-10-26

Family

ID=37619967

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050033452A KR20060111100A (en) 2005-04-22 2005-04-22 Apparatus for manufacturing a semiconductor device

Country Status (1)

Country Link
KR (1) KR20060111100A (en)

Similar Documents

Publication Publication Date Title
US10395950B2 (en) Substrate processing apparatus, substrate processing method, and recording medium
US20100022093A1 (en) Vacuum processing apparatus, method of operating same and storage medium
KR102480691B1 (en) Substrate processing apparatus, substrate processing method, and storage medium
JPH07211761A (en) Transfer of material to be treated in treating device
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
JP2018082043A (en) Substrate processing device
JP2018093063A (en) Cleaning method of substrate processing device and cleaning system of substrate processing device
JP2006310561A (en) Vacuum processing device and method therefor
US6446646B1 (en) Substrate processing apparatus
TW202012286A (en) Equipment front end module
US20240076780A1 (en) Substrate processing apparatus and exhaust system capable of adjusting inner pressure of process chamber thereof, and method thereof
US10731248B2 (en) Vacuum processing apparatus and operation method thereof
JP5383979B2 (en) Processing system
JP2008277666A (en) Valve switching operation checking method, gas processing apparatus, and storage medium
KR20150029626A (en) Gas treatment method
KR20060111100A (en) Apparatus for manufacturing a semiconductor device
KR20210129160A (en) Substrate processing apparatus, semiconductor device manufacturing method and program
US20220208566A1 (en) Substrate drying method and substrate drying apparatus
US20220002873A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US10373831B2 (en) Method of manufacturing semiconductor device
JP7371172B2 (en) Substrate processing equipment
KR100445631B1 (en) Slot valve opening and shutting apparatus of semiconductor device fabrication equipment
JP2006086186A (en) Substrate processing apparatus
WO2024084987A1 (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination