KR20060022726A - Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium - Google Patents

Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium Download PDF

Info

Publication number
KR20060022726A
KR20060022726A KR1020060015273A KR20060015273A KR20060022726A KR 20060022726 A KR20060022726 A KR 20060022726A KR 1020060015273 A KR1020060015273 A KR 1020060015273A KR 20060015273 A KR20060015273 A KR 20060015273A KR 20060022726 A KR20060022726 A KR 20060022726A
Authority
KR
South Korea
Prior art keywords
film
insulating film
forming
mixed gas
module
Prior art date
Application number
KR1020060015273A
Other languages
Korean (ko)
Other versions
KR100924841B1 (en
Inventor
에이이치 니시무라
겐야 이와사키
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060022726A publication Critical patent/KR20060022726A/en
Application granted granted Critical
Publication of KR100924841B1 publication Critical patent/KR100924841B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings

Abstract

본 발명의 고체 촬상 소자의 제조 방법은, 고체 촬상 소자의 기판이 구비하는 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와, 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 단계를 포함한다. 이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.The manufacturing method of the solid-state image sensor of this invention is an insulating film exposure step which exposes the insulating film with which the board | substrate of a solid-state image sensor is equipped to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and the atmosphere of the mixed gas. Heating the insulating film exposed to the predetermined temperature. According to this manufacturing method, the insulating film of the board | substrate of a solid-state image sensor is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and the insulating film exposed to the atmosphere of the mixed gas is heated to predetermined temperature. do. When the insulating film is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating film and the mixed gas is generated, and the insulating film exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. The resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating film can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layer of the insulating film can be accurately controlled by the parameter of the mixed gas. Moreover, exposure to a mixed gas and heating do not damage each element with which the board | substrate of a solid-state image sensor is equipped. Therefore, it is possible to accurately control the amount of removal of the insulating film without damaging the solid-state imaging element made of the substrate. As a result, the insulating film can be thinned.

Description

기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법 및 프로그램을 기록한 기록 매체{SUBSTRATE PROCESSING METHOD, SOLID STATE IMAGING DEVICE MANUFACTURING METHOD, THIN FILM DEVICE MANUFACTURING METHOD, AND PROGRAM RECORDING MEDIUM}Substrate processing method, method for manufacturing solid-state image sensor, method for manufacturing thin film device and recording medium recording program {SUBSTRATE PROCESSING METHOD, SOLID STATE IMAGING DEVICE MANUFACTURING METHOD, THIN FILM DEVICE MANUFACTURING METHOD, AND PROGRAM RECORDING MEDIUM}

도 1은 본 발명의 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 개략 구성을 도시하는 평면도, 1 is a plan view showing a schematic configuration of a substrate processing apparatus to which a substrate processing method according to an embodiment of the present invention is applied;

도 2a 및 도 2b는 도 1에 있어서의 제 2 프로세스 장치의 단면도이며, 도 2a는 도 1에 있어서의 선 Ⅱ-Ⅱ에 따른 단면도이며, 도 2b는 도 2a에 있어서의 A 부분의 확대도,2A and 2B are cross-sectional views of the second process apparatus in FIG. 1, FIG. 2A is a cross-sectional view taken along the line II-II in FIG. 1, FIG. 2B is an enlarged view of part A in FIG. 2A,

도 3은 도 1에 있어서의 제 2 프로세스 장치의 개략 구성을 도시하는 사시도,3 is a perspective view illustrating a schematic configuration of a second process apparatus in FIG. 1;

도 4는 도 3에 있어서의 제 2 로드록 유닛의 유닛 구동용 드라이 에어 공급계의 개략 구성을 도시한 도면,4 is a diagram showing a schematic configuration of a dry air supply system for driving a unit of a second load lock unit in FIG. 3;

도 5는 도 1의 기판 처리 장치에 있어서의 시스템 컨트롤러의 개략 구성을 도시한 도면,5 is a diagram showing a schematic configuration of a system controller in the substrate processing apparatus of FIG. 1;

도 6a 및 도 6b는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 CCD 센 서의 개략 구성을 도시한 도면이며, 도 6a는 CCD 센서에 있어서 웨이퍼(W)상의 소자를 설명하는 도면이며, 도 6b는 CCD 센서의 부분 단면도,6A and 6B show a schematic configuration of a CCD sensor to which a substrate processing method according to the present embodiment is applied, and FIG. 6A illustrates a device on a wafer W in a CCD sensor. 6b is a partial cross section of a CCD sensor,

도 7a 내지 도 7e는 본 실시 형태에 따른 기판의 처리 방법을 도시하는 공정도,7A to 7E are process drawings showing the processing method of the substrate according to the present embodiment;

도 8은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 1 변형예의 개략 구성을 도시하는 평면도,8 is a plan view showing a schematic configuration of a first modification of the substrate processing apparatus to which the substrate processing method according to the present embodiment is applied;

도 9는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 2 변형예의 개략 구성을 도시하는 평면도.9 is a plan view illustrating a schematic configuration of a second modification of the substrate processing apparatus to which the substrate processing method according to the present embodiment is applied.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

10 : 기판 처리 장치 11 : 제 1 프로세스 장치10 substrate processing apparatus 11 first process apparatus

12 : 제 2 프로세스 장치 13 : 로더 유닛12 second process unit 13 loader unit

19 : 반송 암 기구 21, 23 : 탑재대19: conveying arm mechanism 21, 23: mounting table

22, 24 : 광학 센서 25 : 제 1 프로세스 유닛22, 24: optical sensor 25: first process unit

30 : 게이트 밸브 34 : 제 2 프로세스 유닛30 gate valve 34 second process unit

38 : 챔버 39 : ESC38: chamber 39: ESC

40 : 샤워 헤드 50 : 처리실 용기(챔버)40: shower head 50: process chamber container (chamber)

51 : 스테이지 히터 77 : 유닛 구동용 드라이 에어 공급계51: stage heater 77: dry air supply system for driving the unit

80 : 제 1 솔레노이드 밸브 81 : 제 2 솔레노이드 밸브80: first solenoid valve 81: second solenoid valve

200 : CCD 센서 210 : 광전 변환 소자200: CCD sensor 210: photoelectric conversion element

222 : 층간 절연막 223 : 차광막222: interlayer insulating film 223: light shielding film

252 : 실리콘 질화막 253 : 평탄화막252: silicon nitride film 253: planarization film

259 : 마이크로 렌즈 261 : 절연막259: microlens 261: insulating film

262 : 생성물층262 product layer

본 발명은 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법, 및 프로그램을 기록한 기록 매체에 관한 것이며, 특히 절연막을 화학기계 연마법에 의해 연마하는 기판의 처리 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for processing a substrate, a method for manufacturing a solid-state image sensor, a method for manufacturing a thin film device, and a recording medium on which a program is recorded, and more particularly, to a method for processing a substrate for polishing an insulating film by chemical mechanical polishing.

전자 디바이스, 예컨대 CCD 센서 등의 고체 촬상 소자에 있어서의 칼라 필터의 제조 방법으로서는 특히 칼라 레지스트법이 널리 실용화되어 있다.Especially as a manufacturing method of the color filter in solid-state image sensors, such as an electronic device, such as a CCD sensor, the color resist method is widely practiced.

칼라 필터의 형성에 있어서, 예컨대 녹색, 적색, 청색의 순서로 칼라 필터의 형성을 실시했을 경우, 후에 형성하는 적색 또는 청색의 칼라 필터는 이전에 형성되는 칼라 필터의 영향으로 막 두께에 경사가 생긴다. 이 때문에, 칼라 필터를 원하는 막 두께로 형성하는 것이 곤란해서, 막 두께 제어성이 없다. 또한, 1개의 선형 센서내 또는 다면화된 선형 센서 사이에 있어서, 칼라 필터의 막 두께에 불균형이 생길 경우가 있고, 고체 촬상 소자에 있어서, 거시적으로 칼라 필터의 막 두께의 균일성이 악화되고, 노이즈나 감도 불균일이 발생하여 라인 센서로서의 특성을 현저하게 열화시키는 원인이 되고 있었다.In the formation of the color filter, for example, when the color filter is formed in the order of green, red, and blue, the red or blue color filter to be formed later has an inclination in the film thickness under the influence of the previously formed color filter. . For this reason, it is difficult to form a color filter to a desired film thickness, and there is no film thickness controllability. In addition, there may be an imbalance in the film thickness of the color filter in one linear sensor or between the polyhedral linear sensors, and in the solid-state imaging device, the uniformity of the film thickness of the color filter is macroscopically deteriorated, Noise and a sensitivity nonuniformity generate | occur | produced and it became the cause which remarkably deteriorated the characteristic as a line sensor.

상술한 문제를 해결하기 위해서, 종래 제 2 색째 및 제 3 색째에 형성하는 제 2 및 제 3 칼라 필터의 막 두께를 제 1 색째에 형성하는 제 1 칼라 필터의 막 두께의 1.3배 이상을 함으로써, 유효 화소내에 있어서 제 2 및 제 3 칼라 필터의 막 두께로 구배가 생기지 않고, 각 화소의 주연부에 있어서 제 1 칼라 필터와 중복되어도, 중복 부분과 화소 중앙 부분의 막 두께차의 발생을 억제할 수 있는 칼라 필터의 제조 방법이 개시되어 있다(예컨대, 일본 특허 공개 제 2004-311557 호 공보 참조).In order to solve the above-mentioned problem, the film thickness of the 2nd and 3rd color filters conventionally formed in a 2nd color and a 3rd color is made 1.3 times or more of the film thickness of the 1st color filter formed in a 1st color, Gradients do not occur in the thicknesses of the second and third color filters in the effective pixels, and even when overlapped with the first color filters in the peripheral portion of each pixel, occurrence of the film thickness difference between the overlapped portion and the pixel center portion can be suppressed. A method for producing a color filter is disclosed (see, for example, Japanese Patent Laid-Open No. 2004-311557).

그러나, 최근 고체 촬상 소자에 있어서는, 화소수의 증가에 따라, 화소 사이즈가 축소되고 있고, 칼라 필터 어레이의 미세화 기술이 필수가 되고 있다. 또한, 화소 사이즈의 축소에 대응해서 칼라 필터의 박막화도, 고체 촬상 소자의 집광성을 향상시키기 위해서 필수가 되고 있다.However, in the solid-state imaging device in recent years, as the number of pixels increases, the pixel size is reduced, and the refinement | miniaturization technique of a color filter array becomes essential. In addition, in order to reduce the pixel size, the thinning of the color filter is also essential in order to improve the light condensing properties of the solid-state imaging device.

상술의 종래의 칼라 필터의 제조 방법은 10㎛의 라인 폭을 상정해서 칼라 필터 어레이를 구획하고 있기 때문에, 예를 들면 칼라 필터 어레이를 1㎛ 이하의 라인 폭으로 구획하는 것은 구조적으로 곤란해서, 고체 촬상 소자를 보다 미세화하는 것이 곤란했다.Since the above-mentioned conventional method of manufacturing a color filter partitions the color filter array by assuming a line width of 10 µm, for example, it is structurally difficult to partition the color filter array into a line width of 1 µm or less. It was difficult to refine the imaging element.

칼라 레지스트법에 있어서, 칼라 필터를 박막화하기 위해서는, 도포하는 색소함유 감광성 수지 조성물에 있어서, 감광성 수지 조성물에 관한 색소의 함유비를 가능한 한 높게 하는 것이 효과적인 것이 종래부터 알려져 있다.In the color resist method, in order to thin a color filter, it is conventionally known that it is effective to make the content ratio of the pigment | dye concerning the photosensitive resin composition as high as possible in the pigment | dye containing photosensitive resin composition to apply | coat.

그러나, 색소로서의 염료의 함유비를 50% 가까이로 하면, 노광 및 현상에 의해 원하는 패턴 형상을 얻을 수는 있지만, 수지 조성물을 열경화시키는 것이 어려 워진다. 칼라 필터에는 수지 조성물에 포함되는 용제에 관한 내용제성이 요구되고 있고, 종래의 제조 방법에 있어서는, 수지 조성물을 열경화시킴으로써 칼라 필터에 내용제성을 부여하고 있지만, 수지 조성물의 열경화를 실행하지 않으면 칼라 필터의 내용제성이 악화하고, 다음 공정에서 다른 색의 칼라 필터를 형성하기 위한 수지 조성물을 도포할 수 없어진다. 또한, 충분한 내용제성을 갖게 하기 위해서, 보다 고온(예를 들면 200 ℃ 이상)에서 열경화시키면, 칼라 필터가 리플로우하거나, 열에 의해 염료가 화학적으로 변화되고, 칼라 필터가 본래의 분광 특성을 나타내지 않게 될 경우가 있다.However, when the content ratio of the dye as the dye is set to near 50%, the desired pattern shape can be obtained by exposure and development, but it becomes difficult to thermoset the resin composition. Solvent resistance regarding the solvent contained in the resin composition is required for the color filter, and in the conventional manufacturing method, solvent resistance is imparted to the color filter by thermosetting the resin composition, but if the thermosetting of the resin composition is not performed The solvent resistance of a color filter worsens, and the resin composition for forming the color filter of a different color cannot be apply | coated in a next process. In addition, in order to have sufficient solvent resistance, when thermosetting at high temperature (for example, 200 degreeC or more), a color filter may reflow, dye may chemically change by heat, and a color filter may show the original spectral characteristic. There may be times when

이 문제를 해결하기 위해서, 수지 조성물을 도포해서 칼라 필터를 형성하고, 각 칼라 필터상에 실리콘 산화막(SiO2) 등의 절연막인 보호막을 형성하는 칼라 필터의 제조 방법이 개시되어 있다(예컨대, 일본 특허 공개 제 2003-75625 호 공보 참조). 이에 의해, 고온의 열 처리에 의해 수지 조성물의 도포막을 열경화시키지 않아도, 보호막의 존재에 의해 칼라 필터의 내용제성을 높게 하는 것이 가능하고, 또한 고온의 열 처리를 실행하지 않기 위해서 칼라 필터중의 색소의 함유비를 높게 할 수 있으므로, 칼라 필터를 박막화할 수 있다.In order to solve this problem, there was applied a resin composition is formed on the color filter, and discloses a method of manufacturing a color filter for forming an insulating film of a protective film such as a silicon oxide film (SiO 2) on the respective color filters (for example, Japanese See Patent Publication No. 2003-75625. Thereby, even if it does not thermoset the coating film of a resin composition by high temperature heat processing, the solvent resistance of a color filter can be made high by presence of a protective film, and in order not to perform high temperature heat processing, Since the content rate of a pigment | dye can be made high, a color filter can be thinned.

그러나, 상술의 칼라 필터의 제조 방법에 있어서는, 칼라 필터를 박막화하는 것은 가능하지만, 칼라 필터상에 보호막으로서 막 두께 대략 50㎚의 SiO2층을 형성하기 위해서 저온 플라즈마 CVD 공정이 필요하게 되고, 제조 시간(TAT)이 길어진다는 문제가 있다.However, in the production method of the above-mentioned color filter, it is possible to thin the color filter, but the low-temperature plasma CVD process is required to form the SiO 2 layer of about 50㎚ film thickness as a protective film on the color filter, prepared There is a problem that the time TAT is long.

또한, 종래의 칼라 필터의 제조 방법에 있어서는, 도포한 수지 조성물에 자외선을 조사해서 불필요한 감광제 등의 광분해(브리칭(breaching))를 실행하고, 또한 열 처리에 의해 수지 조성물을 열경화시키고 있지만, 열경화에 의한 수지 조성물의 수축률을 제어하는 것은 곤란하기 때문에, 열 처리 마다 칼라 필터의 막 두께의 오차가 발생한다. 칼라 필터의 막 두께의 오차는 고체 촬상 장치에 있어서 광축을 갖지 않게 되는 등의 원인이 되기 때문에, 색 불균일이나 화상 불균일의 발생의 원인이 되고 있다.Moreover, in the manufacturing method of the conventional color filter, although the ultraviolet ray is irradiated to the apply | coated resin composition, photolysis (breaching), such as an unnecessary photosensitive agent, is performed, and the resin composition is thermosetted by heat processing, Since it is difficult to control the shrinkage rate of the resin composition by thermosetting, the error of the film thickness of a color filter arises for every heat processing. Since the error of the film thickness of a color filter becomes a cause of not having an optical axis in a solid-state image sensor, it is a cause of color nonuniformity and image nonuniformity.

또한, 종래의 고체 촬상 소자에는, 절연막인 평탄화막상에 형성된 칼라 필터 위로 보호막을 거쳐서 마이크로 렌즈를 구비하는 것이 있다. 수광부(광전 변환 소자)로부터 마이크로 렌즈까지의 거리가 길 경우는, 즉 광전 변환 소자와 마이크로 렌즈 사이의 두께가 두꺼울 경우는, 경사짐 입사광이 전극 등으로 되는 볼록부에 의해 차폐되게 되고, 고체 촬상 소자의 집광성을 저하시킨다. 따라서, 광전 변환 소자와 마이크로 렌즈의 사이를 얇게 하는 것이 요구된다. 한편, 화면의 색조에 있어서의 고화질화의 요구가 있고, 그것에 따라 칼라 필터의 투과 색분광 특성에 대하여도 또한 고품질화를 도모할 필요가 있다. 이 때문에, 색상의 질의 향상을 도모할 필요가 있고, 칼라 필터의 막 두께를 두껍게 하는 것으로 색상의 질을 향상할 수 있다. 그런데, 칼라 필터의 막 두께를 두껍게 하는 것은 상술한 박형화 요구에 반한다.Some conventional solid-state imaging devices include microlenses passing through a protective film over a color filter formed on a planarization film as an insulating film. When the distance from the light receiving portion (photoelectric conversion element) to the microlens is long, that is, when the thickness between the photoelectric conversion element and the microlens is thick, the oblique incident light is shielded by the convex portion which becomes an electrode or the like, and solid-state imaging The light condensing property of the device is lowered. Therefore, it is required to thin between the photoelectric conversion element and the micro lens. On the other hand, there is a demand for higher image quality in the color tone of the screen, and accordingly, it is necessary to further improve the transmission chromatic characteristics of the color filter. For this reason, it is necessary to aim at the improvement of the quality of a color, and can improve the quality of a color by making the film thickness of a color filter thick. By the way, thickening the film thickness of a color filter is contrary to the above-mentioned thinning request.

또한, 고체 촬상 소자의 미세화에 의해, 고체 촬상 소자를 형성할 때에, 칼라 필터나 마이크로 렌즈 등의 상층 소자의 형성 공정에 있어서의 하지(下地) 소자 에 대한 위치 맞춤의 정밀도가 강하게 요구되게 되고 있다. 이 하지 소자에게 관한 상층 소자 위치 맞춤은, 하지 소자에게 형성된 얼라인먼트용 마크로부터의 레이저 광의 반사 및 회절광을 평탄화막을 거쳐서 검출함으로써, 하지 소자와 상층 소자의 위치 맞춤 행하고 있다. 그런데, 막 두께가 두꺼운 평탄화막이나 보호막을 거쳐서 얼라인먼트용 마크의 결상 위치 검출에는, 광학적으로 큰 어긋남을 발생시키기 쉽다. 따라서, 하지 소자와 상층 소자의 위치 맞춤의 정밀도를 향상시키기 위해서도 평탄화막이나 보호막의 박막화가 요구되고 있다.Further, due to the miniaturization of the solid-state imaging device, when forming the solid-state imaging device, the precision of the alignment with respect to the underlying element in the formation process of the upper element such as a color filter or a micro lens is strongly demanded. . The upper element alignment with respect to the underlying element is performed by positioning the underlying element and the upper element by detecting the reflection of the laser light from the alignment mark formed on the underlying element and the diffracted light through the planarization film. By the way, optically large shift | offset | difference is easy to generate | occur | produce in the imaging position detection of the alignment mark through a planarization film or a protective film with a thick film thickness. Therefore, in order to improve the accuracy of the alignment of the underlying element and the upper element, the planarization film and the protective film are required to be thinned.

이것에 대하여, 평탄화막이나 보호막을 박막화함으로써, 광전 변환 소자와 마이크로 렌즈 사이의 두께를 얇게 하는 것이 고려되고 있다. 이 평탄화막이나 보호막의 박막화의 방법으로서, 에칭 처리에 의해 평탄화막이나 보호막을 형성하는 방법이 고려되고 있다.On the other hand, thinning the planarization film and the protective film is considered to make the thickness between a photoelectric conversion element and a micro lens thin. As a method of thinning this planarization film and a protective film, the method of forming a planarization film and a protective film by an etching process is considered.

그러나, 에칭 처리를 실행할 경우는, 플라즈마를 이용하는 에칭 방법에서는 에칭면 및 전자 디바이스에 손상을 주게 되고, 고체 촬상 소자의 감광부와 전송부 사이에 전하의 차를 발생시켜, 암전류(暗電流) 출력 증가의 원인이 되어버린다. 또한, 웨트 에칭을 이용할 때는 평탄화막이나 보호막의 제거량의 제어가 곤란하기 때문에 소망의 막 두께로 할 수 없다고 하는 문제가 있다. 이와 같이, 종래의 기판의 처리 방법에 있어서는, 전자 디바이스에 손상을 주지 않고 소망의 막 두께의 평탄화막이나 보호막을 형성하는 것은 곤란하다.However, when performing the etching process, in the etching method using plasma, the etching surface and the electronic device are damaged, a difference in charge is generated between the photosensitive portion and the transfer portion of the solid-state image sensor, and a dark current output is performed. It causes an increase. In addition, when wet etching is used, it is difficult to control the removal amount of the planarization film and the protective film, and thus there is a problem that the desired film thickness cannot be achieved. As described above, in the conventional substrate processing method, it is difficult to form a planarization film or a protective film of a desired film thickness without damaging the electronic device.

본 발명의 목적은, 전자 디바이스에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있는 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법, 및 프로그램을 기록한 기록 매체를 제공하는 것이다.SUMMARY OF THE INVENTION An object of the present invention is to provide a processing method of a substrate, a manufacturing method of a solid-state image sensor, a manufacturing method of a thin film device, and a recording medium on which a program can be accurately executed without damaging the electronic device. will be.

상기 목적을 달성하기 위해서, 본 발명의 양상에 의하면, 고체 촬상 소자의 제조 방법에 있어서, In order to achieve the above object, according to an aspect of the present invention, in the manufacturing method of a solid-state imaging device,

상기 고체 촬상 소자의 기판이 구비하는 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와, An insulating film exposure step of exposing the insulating film of the substrate of the solid-state imaging device to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride at a predetermined pressure or less;

상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.Provided is a method of manufacturing a solid-state imaging device comprising heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature.

이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.According to this manufacturing method, the insulating film of the board | substrate of a solid-state image sensor is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and the insulating film exposed to the atmosphere of the mixed gas is heated to predetermined temperature. do. When the insulating film is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating film and the mixed gas is generated, and the insulating film exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. The resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating film can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layer of the insulating film can be accurately controlled by the parameter of the mixed gas. Moreover, exposure to a mixed gas and heating do not damage each element with which the board | substrate of a solid-state image sensor is equipped. Therefore, it is possible to accurately control the amount of removal of the insulating film without damaging the solid-state imaging element made of the substrate. As a result, the insulating film can be thinned.

바람직하게, 상기 절연막 노출 단계는 상기 기판에 플라즈마 에칭 처리를 실시한다.Preferably, the insulating film exposing step performs a plasma etching process on the substrate.

이 제조 방법에 의하면, 기판에 플라즈마 에칭 처리가 실시되므로, 기판으로 제조되는 고체 촬상 소자에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화막의 열화나 파괴를 방지하는 것이 가능하고, 에너지 입자가 고체 촬상 소자에 조사되는 일이 없기 때문에, 고체 촬상 소자에 있어서 박아 넣기 손상(결정 결함)의 발생을 방지하는 것이 가능하고, 또한 플라즈마에 기인하는 예기하지 않는 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지하는 것이 가능하고, 이에 의해, 기판에 처리를 실시하는 처리실이 오염되는 것을 방지할 수 있다.According to this manufacturing method, since the plasma etching process is performed on the substrate, since no charge is accumulated in the gate electrode in the solid-state imaging device manufactured from the substrate, it is possible to prevent deterioration and destruction of the gate oxide film, thereby preventing energy particles. Is not irradiated to the solid-state imaging device, it is possible to prevent the occurrence of infiltration damage (crystal defects) in the solid-state imaging device, and since an unexpected chemical reaction due to plasma does not occur, It is possible to prevent the occurrence, whereby it is possible to prevent contamination of the processing chamber for processing the substrate.

바람직하게, 상기 절연막 노출 단계는 상기 기판에 건조 세정 처리를 실시한다.Preferably, the insulating film exposing step performs a dry cleaning process on the substrate.

이 제조 방법에 의하면, 기판 표면의 물성의 변화를 억제하는 것이 가능하고, 띠라서 배선 신뢰성의 저하를 확실하게 방지할 수 있다.According to this manufacturing method, it is possible to suppress the change in the physical properties of the substrate surface, and thus it is possible to reliably prevent the deterioration of the wiring reliability.

바람직하게, 상기 절연막의 형상을 측정하고, 상기 측정된 형상에 따라 상기 혼합 기체에 있어서의 상기 암모니아에 관한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력중 적어도 하나를 결정하는 생성물 생성 조건 결정 단계를 더 포함한다.Preferably, the product generation condition determining step of measuring the shape of the insulating film and determining at least one of the volume flow rate ratio of the hydrogen fluoride with respect to the ammonia in the mixed gas, and the predetermined pressure in accordance with the measured shape. It further includes.

이 제조 방법에 의하면, 절연막의 형상이 측정되고, 상기 측정된 형상에 따 라 혼합 기체에 있어서의 암모니아에 관한 불화 수소의 체적 유량비, 및 상기 소정의 압력중 적어도 하나가 결정되므로, 절연막의 상층의 제거량(막 두께)의 제어를 보다 정확하게 실행할 수 있고, 또한 절연막의 박막화 처리의 효율을 향상시킬 수 있다.According to this manufacturing method, the shape of the insulating film is measured, and at least one of the volume flow rate ratio of hydrogen fluoride with respect to ammonia in the mixed gas and the predetermined pressure is determined according to the measured shape. The removal amount (film thickness) can be controlled more accurately, and the efficiency of the thin film thinning process of the insulating film can be improved.

바람직하게, 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비는 1~½이며, 상기 소정의 압력은 6.7×10-2~4.0 pa이다.Preferably, the volume flow rate ratio of the hydrogen fluoride to the ammonia in the mixed gas is 1 to 1/2, and the predetermined pressure is 6.7 × 10 −2 to 4.0 pa.

이 제조 방법에 의하면, 혼합 기체에 있어서의 암모니아에 관한 불화 수소의 체적 유량비는 1~½이며, 상기 소정의 압력은 6.7×10-2~4.0 Pa이므로, 생성물의 생성을 조장하는 것이 가능하고, 따라서 절연막의 상층의 제거(박막화)를 확실하게 실행할 수 있다.According to this manufacturing method, since the volume flow rate ratio of hydrogen fluoride with respect to ammonia in a mixed gas is 1-½, and the said predetermined pressure is 6.7x10 <-2> -4.0 Pa, it is possible to encourage production | generation of a product, Therefore, the upper layer of the insulating film can be removed (thin film) reliably.

바람직하게, 상기 소정의 온도는 80~200 ℃이다.Preferably, the predetermined temperature is 80 ~ 200 ℃.

이 제조 방법에 의하면, 소정의 온도는 80~200 ℃이므로, 생성물의 기화를 촉진하는 것이 가능하고, 따라서 절연막의 상층의 제거(박막화)를 확실하게 실행할 수 있다.According to this manufacturing method, since the predetermined temperature is 80 to 200 ° C., it is possible to promote vaporization of the product, so that the upper layer of the insulating film can be removed (thin film) reliably.

상기 목적을 달성하기 위해서, 본 발명의 제 2 양상에 의하면, In order to achieve the above object, according to the second aspect of the present invention,

고체 촬상 소자의 제조 방법에 있어서,In the manufacturing method of a solid-state image sensor,

상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와, A film thickness determining step of determining a desired film thickness of the insulating film included in the substrate of the solid-state imaging device;

상기 절연막의 형상을 측정하는 처리전 형상 측정 단계와,A shape measurement step before processing of measuring the shape of the insulating film;

상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 단계와,A treatment condition determining step of comparing the measured shape with the determined film thickness to determine a first treatment condition and a second treatment condition;

상기 제 1 처리 조건에 의거해서 상기 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와,An insulating film exposure step of exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure based on the first processing condition;

상기 제 2 처리 조건에 의거해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.There is provided a method of manufacturing a solid-state imaging device comprising heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature based on the second processing condition.

이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고, 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.According to this manufacturing method, the insulating film of the board | substrate of a solid-state image sensor is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and the insulating film exposed to the atmosphere of the mixed gas is heated to predetermined temperature. do. When the insulating film is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating film and the mixed gas is generated, and the insulating film exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. The resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating film can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layer of the insulating film can be accurately controlled by the parameter of the mixed gas. Moreover, exposure to a mixed gas and heating do not damage each element with which the board | substrate of a solid-state image sensor is equipped. Therefore, control of the removal amount of an insulating film can be performed correctly, without damaging the solid-state image sensor manufactured by a board | substrate. As a result, the insulating film can be thinned.

또한, 절연막의 형상을 측정하고, 상기 측정된 형상과 결정된 원하는 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하고, 제 1 처리 조건에 의거해서 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하고, 제 2 처리 조건에 의거해서 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하므로, 절연막의 제거량의 제어를 보다 정확에 실행할 수 있고, 따라서 절연막을 보다 박막화할 수 있다. 또한, 고체 촬상 소자의 제조 효율을 향상시킬 수 있다.In addition, the shape of the insulating film is measured, the first and second processing conditions are determined by comparing the measured shape with the determined desired film thickness, and the insulating film is ammonia at a predetermined pressure or less based on the first processing condition. And the insulating film exposed to the atmosphere of the mixed gas containing and hydrogen fluoride, and exposed to the atmosphere of the mixed gas based on the second processing condition, is heated to a predetermined temperature, thereby controlling the removal amount of the insulating film more accurately. Therefore, the insulating film can be made thinner. Moreover, the manufacturing efficiency of a solid-state image sensor can be improved.

바람직하게, 상기 절연막 가열 단계의 후에 상기 절연막의 형상을 측정하는 처리후 형상 측정 단계와, Preferably, a shape measuring step after processing of measuring the shape of the insulating film after the insulating film heating step,

상기 처리후 형상 측정 단계에 있어서 측정된 형상과 상기 결정된 막 두께를 비교해서 상기 제 1 처리 조건 및 상기 제 2 처리 조건을 변경하는 처리 조건 변경 단계를 더 포함한다.The processing condition changing step of changing the first processing condition and the second processing condition by comparing the measured shape with the determined film thickness in the post-process shape measurement step is further included.

이 제조 방법에 의하면, 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열한 후에 절연막의 형상을 측정하고, 상기 측정된 형상과 결정된 원하는 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 변경하므로, 절연막의 제거량의 제어를 또한 정확하게 실행할 수 있고, 따라서 절연막을 더욱 박막화할 수 있다.According to this manufacturing method, after heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature, the shape of the insulating film is measured, and the first and second processing conditions are compared by comparing the measured shape with the determined desired film thickness. As a result, the control of the removal amount of the insulating film can be carried out accurately, and thus the insulating film can be further thinned.

바람직하게, 상기 제 1 처리 조건은 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력중 적어도 하나이며, 상기 제 2 처리 조건은 상기 소정의 온도이다.Preferably, the first processing condition is at least one of a volume flow rate ratio of the hydrogen fluoride to the ammonia in the mixed gas, and the predetermined pressure, and the second processing condition is the predetermined temperature.

이 제조 방법에 의하면, 제 1 처리 조건은 혼합 기체에 있어서의 암모니아에 관한 불화 수소의 체적 유량비, 및 소정의 압력중 적어도 하나이며, 제 2 처리 조건은 소정의 온도이므로, 상술의 청구항 7, 청구항 8의 효과를 확실하게 달성할 수 있다.According to this manufacturing method, the first processing condition is at least one of a volume flow rate ratio of hydrogen fluoride with respect to ammonia in the mixed gas, and a predetermined pressure, and the second processing condition is a predetermined temperature, so that the above-mentioned claims 7 and 7 The effect of 8 can be achieved reliably.

상기 목적을 달성하기 위해서, 본 발명의 제 3 양상에 의하면, In order to achieve the above object, according to the third aspect of the present invention,

기판에 매트릭스 형상으로 설치된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 설치된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된 것으로 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 거쳐서 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법에 있어서,A plurality of photoelectric conversion elements provided in a matrix form on the substrate, an insulating film formed on the substrate on which the plurality of photoelectric conversion elements are installed, a signal charge transfer electrode formed adjacent to the photoelectric conversion element and composed of a switching element and a wiring; And a light shielding film comprising an interlayer insulating film formed on the signal charge transfer electrode and a metal film formed on the signal charge transfer electrode via the interlayer insulating film.

상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 단계와,A metal film deposition step of forming the metal film to form the light shielding film;

상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정의 패턴의 레지스트를 형성하는 레지스트 패터닝 단계와,A resist patterning step of forming a resist having a predetermined pattern for forming the light shielding film on the formed metal film;

상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 단계와,A patterning step of forming the light shielding film and the holes by patterning the insulating film by dry etching to the vicinity of the metal film and the photoelectric conversion element using the resist;

상기 레지스트를 제거하는 레지스트 제거 단계와,A resist removal step of removing the resist;

상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 단계와,A silicon nitride film forming step of forming a silicon nitride film into a recess defined by the light shielding film and the hole;

상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성하는 동시에 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 단계와,A flattening film forming step of applying a transparent insulating material having a lower refractive index than the silicon nitride film to form a first insulating layer and simultaneously flattening the first insulating layer to form a flattening film;

상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 단계와,A color filter forming step of forming a color filter on the planarization film;

상기 칼라 필터상에 제 2 절연층을 형성하는 동시에 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 단계를 포함하며,Forming a protective film by forming a second insulating layer on the color filter and simultaneously thinning the second insulating layer;

상기 평탄화막 형성 단계 및 상기 보호막 형성 단계가 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함한 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 단계를 각각 포함하는 고체 촬상 소자의 제조 방법이 제공된다.The insulating film exposing step of forming the planarizing film and forming the protective film exposing the first insulating layer and the second insulating layer to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure; A method of manufacturing a solid-state imaging device is provided that includes an insulating layer heating step of heating the first insulating layer and the second insulating layer exposed to an atmosphere of a predetermined temperature.

이 제조 방법에 의하면, 칼라 필터가 형성되는 평탄화막을 형성하기 위해서 도포된 제 1 절연층과, 칼라 필터상에 보호막을 형성하기 위해서 도포된 제 2 절연층이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 제 1 및 제 2 절연막이 소정의 온도로 가열된다. 제 1 및 제 2 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 제 1 및 제 2 절연막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 제 1 및 제 2 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 제 1 및 제 2 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 제 1 및 제 2 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 각 소자에 손상을 주는 일이 없다. 따라서, 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.According to this manufacturing method, ammonia and hydrogen fluoride are below a predetermined pressure between the first insulating layer coated to form the planarization film on which the color filter is formed, and the second insulating layer coated to form the protective film on the color filter under a predetermined pressure. The first and second insulating films exposed to the atmosphere of the mixed gas, wherein the first and second insulating film exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. When the first and second insulating films are exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the first and second insulating films and the mixed gas is generated, and the atmosphere of the mixed gas is generated. When the first and second insulating films exposed to the substrate are heated to a predetermined temperature, the resulting product is heated to vaporize. By vaporization of this product, the upper layers of the first and second insulating films can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layers of the first and second insulating films can be precisely controlled by the parameter of the mixed gas. Moreover, exposure to a mixed gas and heating do not damage each element of a solid-state image sensor. Therefore, it is possible to accurately control the removal amount of the insulating film without damaging the solid-state imaging element. As a result, the insulating film can be thinned.

상기 목적을 달성하기 위해서, 본 발명의 제 4 양상에 의하면,In order to achieve the above object, according to the fourth aspect of the present invention,

고체 촬상 소자의 제조 방법에 있어서,In the manufacturing method of a solid-state image sensor,

수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 단계와,A light receiving portion forming step of forming a plurality of light receiving portions that generate signal charges on the substrate in accordance with the light to be received;

상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 단계와,An insulating film forming step of forming an insulating film on the substrate on which the light receiving portion is formed;

상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 단계와,A signal charge transfer unit forming step of forming a signal charge transfer unit for transferring the signal charges obtained from the plurality of light receiving units;

상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 단계와,A light shielding film forming step of forming a conductive light shielding film on the signal charge transfer unit;

상기 절연막을 거쳐서 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 단계를 포함하며,A light transmitting electrode forming step of forming a light transmitting electrode made of an amorphous silicon based thin film on the plurality of light receiving parts and directly on the light blocking film via the insulating film,

상기 절연막 형성 단계는 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 단계와, 상기 도포된 절연재를 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노 출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.The insulating film forming step includes an insulating material coating step of coating an insulating material on a substrate on which the light receiving portion is formed to form the insulating film, and the coated insulating material in an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. A method of manufacturing a solid-state imaging device is provided, including an insulating film exposing step to be exposed and an insulating material heating step of heating the insulating material exposed to the atmosphere of the mixed gas to a predetermined temperature.

이 제조 방법에 의하면, 수광부가 형성된 기판상에 절연막을 형성하기 위해서 기판상에 도포된 절연재가 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연재가 소정의 온도로 가열된다. 절연재가 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연재 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연재가 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연재의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 절연재의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 각 소자에 손상을 주는 일이 없다. 따라서, 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.According to this manufacturing method, the insulating material coated on the substrate in order to form an insulating film on the substrate on which the light receiving portion is formed is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure, and the atmosphere of the mixed gas. The insulating material exposed to is heated to a predetermined temperature. When the insulating material is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating material and the mixed gas is produced, and the insulating material exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. The resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating material can be removed. At this time, the production amount of the product and the removal amount (film thickness) of the upper layer of the insulating material can be accurately controlled by the parameters of the mixed gas. Moreover, exposure to a mixed gas and heating do not damage each element of a solid-state image sensor. Therefore, it is possible to accurately control the removal amount of the insulating film without damaging the solid-state imaging element. As a result, the insulating film can be thinned.

상기 목적을 달성하기 위해서, 본 발명의 제 5 양상에 의하면, In order to achieve the above object, according to the fifth aspect of the present invention,

기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법에 있어서,In the manufacturing method of the thin film device for CCD provided with the some chip | tip which has the same shape pattern formed on the board | substrate, and the insulating thin film which is optically transparent at least on the surface,

상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 단계와,A film forming step of forming an insulating film to form the thin film;

상기 절연성의 막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 막노출 단계와,A film exposure step of exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure;

상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 단계와,A film heating step of heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature;

상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에 있어서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관하는 검사를 실행하는 막검사 단계와,A film inspection step of performing inspection on a predetermined condition of the heated insulating film at a predetermined inspection point in each of the plurality of chips;

상기 막검사 단계에 있어서 상기 각 칩에 있어서의 상기 검사 개소에 있어서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있을 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 단계를 포함하는 CCD용의 박막 디바이스의 제조 방법이 제공된다.And a conveyance step of conveying the thin film device to move to the next step when the insulating film satisfies the predetermined condition at the inspection point of each chip in the film inspection step. A method for producing a thin film device is provided.

이 제조 방법에 의하면, CCD용의 박막 디바이스의 박막을 형성하기 위해서 성막된 절연성의 막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연성의 막이 소정의 온도로 가열된다. 절연성의 막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연성의 막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연성의 막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연성의 막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연성의 막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 CCD용의 박막 디바 이스의 각 소자에 손상을 주는 일이 없다. 따라서, 박막 디바이스의 각 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다. 또한, 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에 있어서 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 실행하고, 각 칩에 있어서의 검사 개소에 있어서 절연성의 막이 소정의 조건을 충족하고 있을 경우에, 박막 디바이스를 다음 공정으로 이동시키므로, CCD의 양품율을 향상시킬 수 있다.According to this manufacturing method, the insulating film formed in order to form a thin film of the thin film device for CCD is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and is exposed to the atmosphere of the mixed gas. The insulating film thus obtained is heated to a predetermined temperature. When the insulating film is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating film and the mixed gas is produced, and the insulating film exposed to the atmosphere of the mixed gas is predetermined. When heated to a temperature of, the resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating film can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layer of the insulating film can be precisely controlled by the parameter of the mixed gas. In addition, exposure to a mixed gas and heating do not damage each element of the thin film device for CCD. Therefore, it is possible to accurately control the removal amount of the insulating film without damaging each element of the thin film device. As a result, the insulating film can be thinned. In addition, the inspection regarding predetermined conditions is performed with respect to the heated insulating film in the predetermined test | inspection point in each of several chip | tips, and an insulating film meets predetermined | prescribed condition in the test | inspection point in each chip | tip. In this case, since the thin film device is moved to the next step, the yield rate of the CCD can be improved.

상기 목적을 달성하기 위해서, 본 발명의 제 6 양상에 의하면, In order to achieve the above object, according to the sixth aspect of the present invention,

기판의 처리 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium on which a program for causing a computer to execute a processing method of a substrate is recorded.

상기 기판이 구비하는 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,An insulation film exposure module for exposing the insulation film provided on the substrate to a atmosphere of a mixed gas containing ammonia and hydrogen fluoride at a predetermined pressure or less;

상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 포함하는 기판의 처리 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체가 제공된다.A recording medium is provided which records a program for causing a computer to execute a method of processing a substrate including an insulating film heating module for heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature.

상기 목적을 달성하기 위해서, 본 발명의 제 7 양상에 의하면, In order to achieve the above object, according to the seventh aspect of the present invention,

고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method for manufacturing a solid-state imaging device,

상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과, A film thickness determining module for determining a desired film thickness of the insulating film included in the substrate of the solid-state imaging device;

상기 절연막의 형상을 측정하는 처리전 형상 측정 모듈과,A shape measuring module before processing for measuring the shape of the insulating film;

상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 모듈과,A processing condition determination module for comparing the measured shape with the determined film thickness to determine a first processing condition and a second processing condition;

상기 제 1 처리 조건에 의거해서 상기 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,An insulating film exposure module for exposing the insulating film to a atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure based on the first processing condition;

상기 제 2 처리 조건에 의거해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 모듈을 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체가 제공된다.A recording medium is provided which records a program for causing a computer to execute a method for manufacturing a solid-state imaging device comprising a module for heating an insulating film exposed to an atmosphere of the mixed gas to a predetermined temperature based on the second processing condition.

상기 목적을 달성하기 위해서, 본 발명의 제 8 양상에 의하면, In order to achieve the above object, according to the eighth aspect of the present invention,

기판에 매트릭스 형상으로 설치된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 설치된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된 것으로 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 거쳐서 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A plurality of photoelectric conversion elements provided in a matrix form on the substrate, an insulating film formed on the substrate on which the plurality of photoelectric conversion elements are installed, a signal charge transfer electrode formed adjacent to the photoelectric conversion element and composed of a switching element and a wiring; And a recording medium for recording a program for causing a computer to execute a method for manufacturing a solid-state imaging element, the light shielding film comprising an interlayer insulating film formed on the signal charge transfer electrode and a metal film formed on the signal charge transfer electrode via the interlayer insulating film. To

상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 모듈과,A metal film deposition module for forming the metal film to form the light shielding film;

상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정의 패턴의 레지스트를 형성하는 레지스트 패터닝 모듈과,A resist patterning module for forming a resist having a predetermined pattern for forming the light shielding film on the formed metal film;

상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 모듈과,A patterning module that forms the light shielding film and the holes by patterning the insulating film by dry etching to the vicinity of the metal film and the photoelectric conversion element using the resist;

상기 레지스트를 제거하는 레지스트 제거 모듈과,A resist removal module for removing the resist;

상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 모듈과,A silicon nitride film forming module for forming a silicon nitride film into a recess defined by the light shielding film and the hole;

상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성하는 동시에 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 모듈과,A planarization film forming module for applying a transparent insulation material having a lower refractive index than the silicon nitride film to form a first insulation layer and simultaneously planarizing the first insulation layer to form a planarization film;

상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 모듈과,A color filter forming module for forming a color filter on the planarization film;

상기 칼라 필터상에 제 2 절연층을 형성하는 동시에 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 모듈을 포함하며,A protective film forming module for forming a protective film by forming a second insulating layer on the color filter and simultaneously thinning the second insulating layer;

상기 평탄화막 형성 모듈 및 상기 보호막 형성 모듈이 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함한 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 모듈을 각각 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체가 제공된다.The insulating film exposing module, wherein the planarization film forming module and the protective film forming module expose the first insulating layer and the second insulating layer to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure; There is provided a recording medium which records a program for causing a computer to execute a method of manufacturing a solid-state imaging device each including an insulating layer heating module for heating the first insulating layer and the second insulating layer exposed to a predetermined temperature to a predetermined temperature.

상기 목적을 달성하기 위해서, 본 발명의 제 9 양상에 의하면, In order to achieve the above object, according to the ninth aspect of the present invention,

고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method for manufacturing a solid-state imaging device,

수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 모듈과,A light receiving unit formation module for forming a plurality of light receiving units on the substrate for generating signal charges according to the light to be received;

상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 모듈과,An insulating film forming module for forming an insulating film on a substrate on which the light receiving part is formed;

상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 모듈과,A signal charge transfer unit formation module for forming a signal charge transfer unit for transferring the signal charges obtained from the plurality of light receiving units;

상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 모듈과,A light shielding film formation module for forming a conductive light shielding film on the signal charge transfer unit;

상기 절연막을 거쳐서 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 모듈을 포함하며,A light transmitting electrode forming module for forming a light transmitting electrode made of a thin film of amorphous silicon based on the plurality of light receiving parts through the insulating film and directly on the light blocking film by CVD;

상기 절연막 형성 모듈은 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 모듈과, 상기 도포된 절연재를 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 모듈을 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체가 제공된다.The insulating film forming module includes an insulating material coating module for coating an insulating material on a substrate on which the light receiving portion is formed to form the insulating film, and the coated insulating material in an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. There is provided a recording medium which records a program for causing a computer to execute a method for manufacturing a solid-state imaging device comprising an insulating film exposing module to be exposed and an insulating material heating module for heating the insulating material exposed to the atmosphere of the mixed gas to a predetermined temperature.

상기 목적을 달성하기 위해서, 본 발명의 제 10 양상에 의하면, In order to achieve the above object, according to the tenth aspect of the present invention,

기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨 터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method for manufacturing a thin film device for a CCD having a plurality of chips having the same shape pattern formed on a substrate and at least an optically transparent insulating thin film on its surface.

상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 모듈과,A film forming module for forming an insulating film to form the thin film;

상기 절연성의 막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 막노출 모듈과,A film exposure module for exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure;

상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 모듈과,A membrane heating module for heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature;

상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에 있어서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관하는 검사를 실행하는 막검사 모듈과,A film inspection module for inspecting a predetermined condition of the heated insulating film at a predetermined inspection point in each of the plurality of chips;

상기 막검사 모듈에 있어서 상기 각 칩에 있어서의 상기 검사 개소에 있어서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있을 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 모듈을 포함하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체가 제공된다.In the film inspection module, a CCD including a transport module for transporting the thin film device to move to the next step when the insulating film satisfies the predetermined condition at the inspection point of each chip. A recording medium is provided that records a program for causing a computer to execute a method for manufacturing a thin film device.

본 발명의 상기 및 다른 목적, 특징 및 장점은 첨부 도면과 관련하여 설명하는 하기의 상세한 설명으로부터 보다 명백해질 것이다.The above and other objects, features and advantages of the present invention will become more apparent from the following detailed description when read in conjunction with the accompanying drawings.

이하, 본 발명의 실시 형태에 대해서 도면을 참조하면서 설명한다. EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described, referring drawings.

우선, 본 발명의 실시 형태에 따른 기판의 처리 방법에 대해서 설명한다. First, the processing method of the board | substrate which concerns on embodiment of this invention is demonstrated.

도 1은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 개략 구성을 도시하는 평면도이다.1 is a plan view showing a schematic configuration of a substrate processing apparatus to which a substrate processing method according to the present embodiment is applied.

도 1에 있어서, 기판 처리 장치(10)는 전자 디바이스용의 웨이퍼(이하, 간단히 "웨이퍼"라고 한다)(기판)(W)에 반응성 이온 에칭(이하, "RIE"라고 한다) 처리를 실시하는 제 1 프로세스 장치(11)와, 상기 제 1 프로세스 장치(11)와 평행하게 배치되어, 웨이퍼(W)에 후술하는 COR(Chemical Oxide Removal) 처리 및 PHT(Post Heat Treatment) 처리를 실시하는 제 2 프로세스 장치(12)와, 제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12)가 각각 접속된 직사각형 형상의 공통 반송실로서의 로더 유닛(13)을 구비한다.In FIG. 1, the substrate processing apparatus 10 performs reactive ion etching (hereinafter referred to as "RIE") processing on a wafer (hereinafter, simply referred to as "wafer") (substrate) W for an electronic device. A second process unit 11 and a second process unit arranged in parallel with the first process unit 11 to perform a chemical oxide removal (COR) process and a post heat treatment (PHT) process described later on the wafer W; The process apparatus 12 and the loader unit 13 as a common conveyance chamber of the rectangular shape to which the 1st process apparatus 11 and the 2nd process apparatus 12 were respectively connected are provided.

로더 유닛(13)에는 상술한 제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12) 외에, 25장의 웨이퍼(W)를 수용하는 용기로서의 HOUP(Front Opening Unified Pod)(14)가 각각 탑재되는 3개의 HOUP 탑재대(15)와, HOUP(14)로 반출된 웨이퍼(W)의 위치를 프리얼라인먼트하는 오리엔터(16)와, 웨이퍼(W)의 표면 상태를 계측하는 제 1 및 제 2 IMS(Integrated Metrology System, 서마 웨이브, 인크.(Therma-Wave, Inc.) 제조)(17, 18)가 접속되어 있다.The loader unit 13 is equipped with a HOUP (Front Opening Unified Pod) 14 as a container for holding 25 wafers W, in addition to the above-described first process apparatus 11 and second process apparatus 12, respectively. Three HOUP mounts 15, an orienter 16 for prealigning the position of the wafer W carried out by the HOUP 14, and first and second IMS for measuring the surface state of the wafer W. (Integrated Metrology System, manufactured by Therma-Wave, Inc.) (17, 18) is connected.

제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12)는 로더 유닛(13)의 길이 방향에 있어서의 측벽에 접속되는 동시에 로더 유닛(13)을 협지해서 3개의 HOUP 탑재(15)와 대향하도록 배치되고, 오리엔터(16)는 로더 유닛(13)의 길이 방향에 관한 일단에 배치되고, 제 1 IMS(17)은 로더 유닛(13)의 길이 방향에 관한 타단에 배치되고, 제 2 IMS(18)은 3개의 HOUP 탑재대(15)와 병렬로 배치된다.The first process device 11 and the second process device 12 are connected to the side wall in the longitudinal direction of the loader unit 13 and sandwich the loader unit 13 so as to face the three HOUP mountings 15. The orienter 16 is disposed at one end of the loader unit 13 in the longitudinal direction, and the first IMS 17 is disposed at the other end of the loader unit 13 in the longitudinal direction, and the second IMS ( 18 is arranged in parallel with three HOUP mounts 15.

로더 유닛(13)은 내부에 배치된 웨이퍼(W)를 반송하는 스칼라형 듀얼 암 타 입의 반송 암 기구(19)와, 각 HOUP 탑재대(15)에 대응하도록 측벽에 배치된 웨이퍼(W)의 투입구로서의 3개의 로드 포트(20)를 구비한다. 반송 암 기구(19)는 HOUP 탑재대(15)에 탑재된 HOUP(14)로 웨이퍼(W)를 로드 포트(20)를 경유에서 취출하고, 상기 취출한 웨이퍼(W)를 제 1 프로세스 장치(11), 제 2 프로세스 장치(12), 오리엔터(16), 제 1 IMS(17)나 제 2 IMS(18)에 반출입한다.The loader unit 13 includes a scalar type dual arm type transfer arm mechanism 19 for carrying the wafer W disposed therein and a wafer W disposed on the side wall so as to correspond to each HOUP mount 15. Three load ports 20 are provided as the inlets of the. The transfer arm mechanism 19 takes out the wafer W via the load port 20 with the HOUP 14 mounted on the HOUP mounting table 15, and takes the taken out wafer W into the first process apparatus ( 11) It carries in and out to the 2nd process apparatus 12, the orienter 16, the 1st IMS 17 and the 2nd IMS 18. FIG.

제 1 IMS(17)는 광학계의 모니터이며, 반입된 웨이퍼(W)를 탑재하는 탑재대(21)와, 상기 탑재대(21)에 탑재된 웨이퍼(W)를 지향하는 광학 센서(22)를 구비하고, 웨이퍼(W)의 표면 형상, 예컨대 표면층의 막 두께 및 배선 홈이나 게이트 전극 등의 CD(Critical Dimension)값을 측정한다. 제 2 IMS(18)도 광학계의 모니터이며, 제 1 IMS(17)와 마찬가지로 탑재대(23)와 광학 센서(24)를 구비하고, 웨이퍼(W)의 표면에 있어서의 파티클 수를 계측한다.The first IMS 17 is a monitor of an optical system, and mounts a mounting table 21 on which the loaded wafer W is mounted, and an optical sensor 22 facing the wafer W mounted on the mounting table 21. And the surface shape of the wafer W, for example, the film thickness of the surface layer and CD (Critical Dimension) values such as wiring grooves and gate electrodes. The 2nd IMS 18 is also an optical system monitor, and is equipped with the mounting base 23 and the optical sensor 24 similarly to the 1st IMS 17, and measures the number of particles in the surface of the wafer W. As shown in FIG.

제 1 프로세스 장치(11)는 웨이퍼(W)에 RIE 처리를 실시하는 제 1 진공 처리실로서의 제 1 프로세스 유닛(25)과, 상기 제 1 프로세스 유닛(25)에 웨이퍼(W)를 주고받는 링크형 싱글 피크 타입의 제 1 반송 암(26)을 내장하는 제 1 로드록 유닛(27)을 갖는다.The 1st process apparatus 11 is a link type which exchanges the wafer W with the 1st process unit 25 as a 1st vacuum processing chamber which performs RIE process on the wafer W, and the said 1st process unit 25. As shown in FIG. It has the 1st load lock unit 27 which incorporates the 1st conveyance arm 26 of a single peak type.

제 1 프로세스 유닛(25)은 원통형의 처리실 용기(챔버)와, 상기 챔버내에 배치된 상부 전극 및 하부 전극을 구비하고, 상기 상부 전극과 하부 전극 사이의 거리는 웨이퍼(W)에 RIE 처리를 실시하기 위한 적절한 간격으로 설정되어 있다. 또한, 하부 전극은 웨이퍼(W)를 쿨롱력 등에 의해 척킹하는 ESC(39)를 그 정부(頂部)에 구비한다.The first process unit 25 has a cylindrical processing chamber container (chamber) and an upper electrode and a lower electrode disposed in the chamber, and the distance between the upper electrode and the lower electrode is for performing RIE processing on the wafer W. Are set at appropriate intervals. In addition, the lower electrode includes an ESC 39 at its front end that chucks the wafer W by a Coulomb force or the like.

제 1 프로세스 유닛(25)에서는 챔버 내부에 처리 가스를 도입하고, 상부 전극 및 하부 전극 사이에 전계를 발생시키는 것에 따라 도입된 처리 가스를 플라즈마화해서 이온 및 라디칼을 발생시키고, 상기 이온 및 라디칼에 의해 웨이퍼(W)에 RIE 처리를 실시한다.The first process unit 25 introduces a processing gas into the chamber, generates an electric field between the upper electrode and the lower electrode, thereby converting the introduced processing gas into plasma to generate ions and radicals, and to the ions and radicals. The RIE process is performed on the wafer W by this.

제 1 프로세스 장치(11)에서는 로더 유닛(13)의 내부 압력은 대기압으로 유지되는 한편, 제 1 프로세스 유닛(25)의 내부 압력은 진공으로 유지된다. 그 때문에, 제 1 로드록 유닛(27)은 제 1 프로세스 유닛(25)과의 연결부에 진공 게이트 밸브(29)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 게이트 밸브(30)를 구비하는 것에 따라, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다.In the first process apparatus 11, the internal pressure of the loader unit 13 is maintained at atmospheric pressure, while the internal pressure of the first process unit 25 is maintained at vacuum. Therefore, the 1st load lock unit 27 is equipped with the vacuum gate valve 29 in the connection part with the 1st process unit 25, and the standby gate valve 30 is provided in the connection part with the loader unit 13. As shown in FIG. As equipped, it is comprised as a vacuum preliminary conveyance chamber which can adjust the internal pressure.

제 1 로드록 유닛(27)의 내부에는 대략 중앙부에 제 1 반송 암(26)이 설치되고, 상기 제 1 반송 암(26)보다 제 1 프로세스 유닛(25)측에 제 1 버퍼(31)가 설치되고, 제 1 반송 암(26)보다 로더 유닛(13)측에는 제 2 버퍼(32)가 설치된다. 제 1 버퍼(31) 및 제 2 버퍼(32)는 제 1 반송 암(26)의 선단부에 배치된 웨이퍼(W)를 지지하는 지지부(피크)(33)가 이동하는 궤도상에 배치되고, RIE 처리가 실시된 웨이퍼(W)를 일시적으로 지지부(33)의 궤도의 상방에 대피시킴으로써, RIE 미처리의 웨이퍼(W)와 RIE 처리완료의 웨이퍼(W)의 제 1 프로세스 유닛(25)에 있어서의 원활한 교체를 가능하게 한다.Inside the first load lock unit 27, a first conveyance arm 26 is provided in a substantially central portion, and a first buffer 31 is provided on the first process unit 25 side than the first conveyance arm 26. The 2nd buffer 32 is provided in the loader unit 13 side rather than the 1st conveyance arm 26. As shown in FIG. The first buffer 31 and the second buffer 32 are disposed on the track on which the support part (peak) 33 for supporting the wafer W disposed on the tip end of the first transfer arm 26 moves. By temporarily evacuating the processed wafer W above the trajectory of the support part 33, the first processing unit 25 of the RIE unprocessed wafer W and the RIE processed wafer W are processed. Enables a smooth replacement

제 2 프로세스 장치(12)는, 웨이퍼(W)에 COR 처리를 실시하는 제 2 진공 처리실로서의 제 2 프로세스 유닛(34)과, 상기 제 2 프로세스 유닛(34)에 진공 게이트 밸브(35)를 거쳐서 접속된 웨이퍼(W)에 PHT 처리를 실시하는 제 3 진공 처리실 로서의 제 3 프로세스 유닛(36)과, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)에 웨이퍼(W)를 주고받는 링크형 싱글 피크 타입의 제 2 반송 암(37)을 내장하는 제 2 로드록 유닛(49)을 구비한다.The 2nd process apparatus 12 passes through the 2nd process unit 34 as a 2nd vacuum processing chamber which performs COR process on the wafer W, and the vacuum process valve 35 to the said 2nd process unit 34. As shown in FIG. The link which exchanges the wafer W with the 3rd process unit 36 as a 3rd vacuum processing chamber which performs a PHT process to the connected wafer W, and the 2nd process unit 34 and the 3rd process unit 36. The 2nd load lock unit 49 which incorporates the 2nd conveyance arm 37 of a type | mold single peak type is provided.

도 2는 도 1에 있어서의 제 2 프로세스 장치의 단면도이며, 도 2a는 도 1에 있어서의 선 Ⅱ-Ⅱ에 따른 단면도이며, 도 2b는 도 2a에 있어서의 A 부분의 확대도이다.FIG. 2 is a cross-sectional view of the second process apparatus in FIG. 1, FIG. 2A is a cross-sectional view taken along the line II-II in FIG. 1, and FIG. 2B is an enlarged view of a portion A in FIG. 2A.

도 2a에 있어서, 제 2 프로세스 유닛(34)은 원통형의 처리실 용기(챔버)(38)와, 상기 챔버(38)내에 배치된 웨이퍼(W)의 탑재대로서의 ESC(39)와, 챔버(38)의 상방에 배치된 샤워 헤드(40)와, 챔버(38)내의 가스 등을 배기하는 TMP(Turbo Molecular Pump)(41)와, 챔버(38)와 TMP(41) 사이에 배치되어, 챔버(38)내의 압력을 제어하는 가변식 나비꼴 밸브로서의 APC(Automatic Pressure Contro1) 밸브(42)를 구비한다.In FIG. 2A, the second process unit 34 includes a cylindrical processing chamber container (chamber) 38, an ESC 39 as a mounting table of the wafer W disposed in the chamber 38, and a chamber 38. Is disposed between the shower head 40 disposed above the), the turbo molecular pump (TMP) 41 for exhausting gas, etc. in the chamber 38, and the chamber 38 and the TMP 41. APC (Automatic Pressure Control) valve 42 as a variable butterfly valve for controlling the pressure in 38 is provided.

ESC(39)는 내부에 직류 전압이 인가되는 전극판(도시하지 않음)을 갖고, 직류 전압에 의해 발생하는 쿨롱력 또는 존슨-라벡(Johnsen-Rahbek)력에 의해 웨이퍼(W)를 흡착해서 유지한다. 또한, ESC(39)는 조온 기구(潮溫機構)로서 냉매실(도시하지 않음)을 구비한다. 이 냉매실에는 소정 온도의 냉매, 예컨대 냉각수나 갈덴(galden)액이 순환 공급되어, 상기 냉매의 온도에 의해 ESC(39)의 상면에 흡착유지된 웨이퍼(W)의 처리 온도가 제어된다. 또한, ESC(39)는 ESC(39)의 상면과 웨이퍼(W)의 이면과의 사이에 열전도 가스(헬륨 가스)를 구석구석까지 공급하는 열전도 가스 공급 계통(도시하지 않음)을 구비한다. 열전도 가스는 COR 처리의 사이, 냉 매에 의해 원하는 지정 온도로 유지된 ESC(39)와 웨이퍼(W)와의 열교환을 실행하고, 웨이퍼(W)를 효율적으로 또한 균일하게 냉각한다.The ESC 39 has an electrode plate (not shown) to which a direct current voltage is applied, and absorbs and holds the wafer W by a Coulomb force or a Johnson-Rahbek force generated by the direct current voltage. do. In addition, the ESC 39 is provided with a refrigerant chamber (not shown) as a temperature control mechanism. A refrigerant having a predetermined temperature, such as cooling water or galden liquid, is circulated and supplied to the refrigerant chamber, and the processing temperature of the wafer W adsorbed and held on the upper surface of the ESC 39 is controlled by the temperature of the refrigerant. The ESC 39 also includes a heat conduction gas supply system (not shown) for supplying heat conduction gas (helium gas) to every corner between the upper surface of the ESC 39 and the back surface of the wafer W. As shown in FIG. The heat conduction gas performs heat exchange between the ESC 39 and the wafer W held at the desired designated temperature by the refrigerant during the COR treatment, and efficiently cools the wafer W efficiently and uniformly.

또한, ESC(39)는 그 상면으로부터 돌출가능한 리프트 핀으로서의 복수의 푸셔 핀(56)을 구비하고, 이들 푸셔 핀(56)은 웨이퍼(W)가 ESC(39)에 흡착 유지될 때에는 ESC(39)에 수용되어, COR 처리가 실시된 웨이퍼(W)를 챔버(38)로부터 반출 할 때에는, ESC(39)의 상면으로부터 돌출해서 웨이퍼(W)를 상방으로 들어올린다.In addition, the ESC 39 has a plurality of pusher pins 56 as lift pins protruding from the upper surface thereof, and these pusher pins 56 have an ESC 39 when the wafer W is adsorbed and held by the ESC 39. ), And to carry out the COR-processed wafer W from the chamber 38, protrudes from the upper surface of the ESC 39 and lifts the wafer W upwards.

샤워 헤드(40)는 2층 구조를 갖고, 하층부(43) 및 상층부(44)의 각각 제 1 버퍼실(45) 및 제 2 버퍼실(46)을 구비한다. 제 1 버퍼실(45) 및 제 2 버퍼실(46)은 각각 가스 공기구멍(47, 48)을 거쳐서 챔버(38)내에 연통한다. 즉, 샤워 헤드(40)는 제 1 버퍼실(45) 및 제 2 버퍼실(46)에 각각 공급되는 가스의 챔버(38)내에의 내부 통로를 구비하고, 계단층 형상으로 중첩된 2개의 판형상체(하층부(43), 상층부(44))로 구성된다.The shower head 40 has a two-layer structure and includes a first buffer chamber 45 and a second buffer chamber 46 of the lower layer portion 43 and the upper layer portion 44, respectively. The first buffer chamber 45 and the second buffer chamber 46 communicate with the chamber 38 via the gas air holes 47 and 48, respectively. That is, the shower head 40 includes two passages each having an internal passage in the chamber 38 of the gas supplied to the first buffer chamber 45 and the second buffer chamber 46, respectively, and overlapping in a stepped layer shape. It consists of an upper body (lower layer part 43, upper layer part 44).

웨이퍼(W)에 COR 처리를 실시할 때, 제 1 버퍼실(45)에는 NH3(암모니아) 가스가 후술하는 암모니아 가스 공급관(57)으로부터 공급되고, 상기 공급된 암모니아 가스는 가스 공기구멍(47)을 거쳐서 챔버(38)내에 공급되는 동시에, 제 2 버퍼실(46)에는 HF(불화 수소) 가스가 후술하는 불화 수소 가스 공급관(58)으로부터 공급되고, 상기 공급된 불화 수소 가스는 가스 공기구멍(48)을 거쳐서 챔버(38)내에 공급된다.When COR processing is performed on the wafer W, NH 3 (ammonia) gas is supplied to the first buffer chamber 45 from an ammonia gas supply pipe 57, which will be described later, and the supplied ammonia gas is a gas air hole 47. HF (hydrogen fluoride) gas is supplied to the second buffer chamber 46 from the hydrogen fluoride gas supply pipe 58 described later, and the supplied hydrogen fluoride gas is supplied to the gas air hole. It is supplied into the chamber 38 via the 48.

또한, 샤워 헤드(40)는 히터(도시하지 않음), 예컨대 가열 소자를 내장한다. 이 가열 소자는 바람직하게는 상층부(44)상에 배치되어 제 2 버퍼실(46)내의 불화수소 가스의 온도를 제어한다.The shower head 40 also incorporates a heater (not shown), such as a heating element. This heating element is preferably arranged on the upper layer portion 44 to control the temperature of the hydrogen fluoride gas in the second buffer chamber 46.

또한, 도 2b에 도시하는 바와 같이, 가스 공기구멍(47, 48)에 있어서의 챔버(38)내로의 개구부는 점차로 끝쪽이 퍼지는 형상으로 형성된다. 이에 의해, 암모니아 가스나 블소가스를 챔버(38)내에 효율적으로 확산할 수 있다. 또한, 가스 공기구멍(47, 48)은 단면이 좁아지는 형상을 나타내므로, 챔버(38)에서 발생한 퇴적물이 가스 공기구멍(47, 48), 나아가서는 제 1 버퍼실(45)이나 제 2 버퍼실(46)로 역류하는 것을 방지할 수 있다. 또한, 가스 공기구멍(47, 48)은 나선형상의 공기구멍이여도 좋다.In addition, as shown in FIG. 2B, the openings into the chamber 38 in the gas air holes 47 and 48 are formed in such a shape that the ends thereof gradually spread. As a result, ammonia gas or bloso gas can be efficiently diffused into the chamber 38. In addition, since the gas air holes 47 and 48 have a shape in which the cross section becomes narrow, the deposits generated in the chamber 38 are formed in the gas air holes 47 and 48, and thus, the first buffer chamber 45 and the second buffer. Backflow to the seal 46 can be prevented. The gas air holes 47 and 48 may be spiral air holes.

이 제 2 프로세스 유닛(34)은 챔버(38)내의 압력과, 암모니아 가스 및 불화 수소 가스의 체적 유량비를 조정하는 것에 따라 웨이퍼(W)에 COR 처리를 실시한다. 또한, 이 제 2 프로세스 유닛(34)은 챔버(38)내에 있어서 처음으로 암모니아 가스 및 불화 수소 가스가 혼합하도록 설계되어 있기(포스트 믹스 설계) 때문에, 챔버(38)내에 상기 2종류의 가스가 도입될 때까지, 상기 2종류의 가스가 혼합하는 것을 방지하고, 불화 수소 가스와 암모니아 가스가 챔버(38)내에의 도입전에 반응하는 것을 방지한다.This second process unit 34 performs a COR process on the wafer W by adjusting the pressure in the chamber 38 and the volume flow rate ratios of ammonia gas and hydrogen fluoride gas. In addition, since the second process unit 34 is designed to mix ammonia gas and hydrogen fluoride gas for the first time in the chamber 38 (post mix design), the two kinds of gases are introduced into the chamber 38. Until the above two kinds of gases are mixed, the hydrogen fluoride gas and the ammonia gas are prevented from reacting before introduction into the chamber 38 until the gas is mixed.

또한, 제 2 프로세스 유닛(34)에서는, 챔버(38)의 측벽이 히터(도시하지 않음), 예컨대 가열 소자를 내장하고, 챔버(38)내의 분위기 온도가 저하하는 것을 방지한다. 이에 의해, COR 처리의 재현성을 향상시킬 수 있다. 또한, 측벽내의 가열 소자는 측벽의 온도를 제어하는 것에 의해 챔버(38)내에 발생한 부 생성물이 측 벽의 내측에 부착되는 것을 방지한다.In the second process unit 34, the side wall of the chamber 38 incorporates a heater (not shown), for example, a heating element, and prevents the ambient temperature in the chamber 38 from lowering. Thereby, the reproducibility of COR processing can be improved. In addition, the heating element in the side wall prevents side products generated in the chamber 38 from adhering to the inside of the side wall by controlling the temperature of the side wall.

도 1을 다시 참조하면, 제 3 프로세스 유닛(36)은 하우징체 형상의 처리실 용기(챔버)(50)와, 상기 챔버(50)내에 배치된 웨이퍼(W)의 탑재대로서의 스테이지 히터(51)와, 상기 스테이지 히터(51)의 주위에 배치되어, 스테이지 히터(51)에 탑재된 웨이퍼(W)를 상방으로 들어 올리는 버퍼 암(52)과, 챔버내 및 외부 분위기를 차단하는 개폐가능한 커버로서의 PHT 챔버 리드(도시하지 않음)를 갖는다.Referring again to FIG. 1, the third process unit 36 includes a process chamber container (chamber) 50 in the shape of a housing body, and a stage heater 51 as a mounting table of the wafer W disposed in the chamber 50. And a buffer arm 52 disposed around the stage heater 51 to lift the wafer W mounted on the stage heater 51 upward, and as an openable and openable cover to block the atmosphere inside and outside the chamber. PHT chamber leads (not shown).

스테이지 히터(51)는 표면에 산화 피막이 형성된 알루미늄으로 되고, 내장된 전열선 등에 의해 탑재된 웨이퍼(W)를 소정의 온도까지 가열한다. 구체적으로는, 스테이지 히터(51)는 탑재된 웨이퍼(W)를 적어도 1분간에 걸쳐서 100~200 ℃, 바람직하게는 약 135 ℃까지 직접 가열한다.The stage heater 51 is made of aluminum having an oxide film formed on its surface, and heats the wafer W mounted by a built-in heating wire or the like to a predetermined temperature. Specifically, the stage heater 51 directly heats the mounted wafer W to 100-200 degreeC, preferably about 135 degreeC over at least 1 minute.

PHT 챔버 리드에는 실리콘 고무제의 시트 히터가 배치된다. 또한, 챔버(50)의 측벽에는 카트리지 히터(도시하지 않음)가 내장되고, 상기 카트리지 히터는 챔버(50)의 측벽의 벽면 온도를 25~80 ℃로 제어한다. 이에 의해, 챔버(50)의 측벽에 부 생성물이 부착되는 것을 방지하고, 부착된 부 생성물에 기인하는 파티클의 발생을 방지해서 챔버(50)의 클리닝 주기를 연장한다. 또한, 챔버(50)의 외주는 열 차폐체에 의해 덮어져 있다.The sheet heater made of silicone rubber is disposed in the PHT chamber lid. In addition, a cartridge heater (not shown) is built in the side wall of the chamber 50, and the cartridge heater controls the wall surface temperature of the side wall of the chamber 50 to 25 to 80 ° C. As a result, the secondary product is prevented from adhering to the side wall of the chamber 50, and the generation of particles due to the attached secondary product is prevented, thereby extending the cleaning period of the chamber 50. Moreover, the outer periphery of the chamber 50 is covered by the heat shield.

웨이퍼(W)를 상방으로부터 가열하는 히터로서, 상술한 시트 히터의 대신에, 자외선 방사(UV radiation) 히터를 배치해도 좋다. 자외선 방사 히터로서는 파장 190~400㎚의 자외선을 방사하는 자외선 램프 등이 해당한다.As a heater for heating the wafer W from above, instead of the sheet heater described above, an ultraviolet radiation heater may be disposed. As an ultraviolet radiation heater, the ultraviolet lamp etc. which radiate the ultraviolet-ray of wavelength 190-400 nm correspond.

버퍼 암(52)은 COR 처리가 실시된 웨이퍼(W)를 일시적으로 제 2 반송 암(37) 에 있어서의 지지부(53)의 궤도의 상방에 대피시킴으로써, 제 2 프로세스 유닛(34)이나 제 3 프로세스 유닛(36)에 있어서의 웨이퍼(W)의 원활한 교체를 가능하게 한다.The buffer arm 52 temporarily evacuates the wafer W subjected to the COR treatment to the upper side of the trajectory of the support part 53 in the second transfer arm 37, whereby the second process unit 34 or the third It is possible to smoothly replace the wafer W in the process unit 36.

이 제 3 프로세스 유닛(36)은 웨이퍼(W)의 온도를 조정하는 것에 의해 웨이퍼(W)에 PHT 처리를 실시한다.This third process unit 36 performs a PHT process on the wafer W by adjusting the temperature of the wafer W. As shown in FIG.

제 2 로드록 유닛(49)은 제 2 반송 암(37)을 내장하는 하우징체 형상의 반송실(챔버)(70)을 갖는다. 또한, 로더 유닛(13)의 내부 압력은 대기압으로 유지되는 한편, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)의 내부 압력은 진공으로 유지된다. 그 때문에, 제 2 로드록 유닛(49)은 제 3 프로세스 유닛(36)과의 연결부에 진공 게이트 밸브(54)를 구비하는 동시에, 록 유닛(13)과의 연결부에 대기 도어 밸브(55)를 구비하는 것에 의해, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다.The 2nd load lock unit 49 has the conveyance chamber (chamber) 70 of the shape of the housing body in which the 2nd conveyance arm 37 is accommodated. In addition, the internal pressure of the loader unit 13 is maintained at atmospheric pressure, while the internal pressures of the second process unit 34 and the third process unit 36 are maintained in vacuum. Therefore, the second load lock unit 49 includes the vacuum gate valve 54 at the connection with the third process unit 36, and at the same time, the standby door valve 55 is provided at the connection with the lock unit 13. By providing, it is comprised as a vacuum preliminary conveyance chamber which can adjust the internal pressure.

도 3은 도 1에 있어서의 제 2 프로세스 장치의 개략 구성을 도시하는 사시도이다. FIG. 3 is a perspective view illustrating a schematic configuration of a second process apparatus in FIG. 1.

도 3에 있어서, 제 2 프로세스 유닛(34)은 제 1 버퍼실(45)로 암모니아 가스를 공급하는 암모니아 가스 공급관(57)과, 제 2 버퍼실(46)에 불화 수소 가스를 공급하는 불화 수소 가스 공급관(58)과, 챔버(38)내의 압력을 측정하는 압력 게이지(59)와, ESC(39)내에 배설된 냉각 계통에 냉매를 공급하는 칠러 유닛(60)을 구비한다.In FIG. 3, the second process unit 34 includes an ammonia gas supply pipe 57 for supplying ammonia gas to the first buffer chamber 45, and hydrogen fluoride for supplying hydrogen fluoride gas to the second buffer chamber 46. The gas supply pipe 58, the pressure gauge 59 which measures the pressure in the chamber 38, and the chiller unit 60 which supplies a refrigerant | coolant to the cooling system arrange | positioned in the ESC 39 are provided.

암모니아 가스 공급관(57)에는 MFC(Mass Flow Controller)(도시하지 않음)가 설치되고, 상기 MFC는 제 1 버퍼실(45)에 공급하는 암모니아 가스의 유량을 조정하는 동시에, 불화 수소 가스 공급관(58)에도 MFC(도시하지 않음)가 설치되고, 상기MFC는 제 2 버퍼실(46)에 공급하는 불화 수소 가스의 유량을 조정한다. 암모니아 가스 공급관(57)의 MFC와 불화 수소 가스 공급관(58)의 MFC는 협동해서, 챔버(38)에 공급되는 암모니아 가스와 불화 수소 가스의 체적 유량비를 조정한다.A mass flow controller (MFC) (not shown) is provided in the ammonia gas supply pipe 57, and the MFC adjusts the flow rate of the ammonia gas supplied to the first buffer chamber 45, and at the same time, the hydrogen fluoride gas supply pipe 58. ) MFC (not shown) is also provided, and the MFC adjusts the flow rate of the hydrogen fluoride gas supplied to the second buffer chamber 46. The MFC of the ammonia gas supply pipe 57 and the MFC of the hydrogen fluoride gas supply pipe 58 cooperate to adjust the volume flow rate ratio of the ammonia gas and the hydrogen fluoride gas supplied to the chamber 38.

또한, 제 2 프로세스 유닛(34)의 하방에는 DP(Dry Pump)(도시하지 않음)에 접속된 제 2 프로세스 유닛 배기계(61)가 배치된다. 제 2 프로세스 유닛 배기계(61)는 챔버(38)와 APC 밸브(42)의 사이에 배설된 배기 덕트(62)와 연통하는 배기관(63)과, TMP(41)의 하방(배기측)에 접속된 배기관(64)을 갖고, 챔버(38)내의 가스 등을 배기한다. 또한, 배기관(64)은 DP의 전방에서 배기관(63)에 접속된다.In addition, a second process unit exhaust system 61 connected to a DP (Dry Pump) (not shown) is disposed below the second process unit 34. The second process unit exhaust system 61 is connected to the exhaust pipe 63 communicating with the exhaust duct 62 disposed between the chamber 38 and the APC valve 42, and to the lower side (the exhaust side) of the TMP 41. The exhaust pipe 64 is provided to exhaust gas and the like in the chamber 38. In addition, the exhaust pipe 64 is connected to the exhaust pipe 63 in front of the DP.

제 3 프로세스 유닛(36)은 챔버(50)에 질소(N2) 가스를 공급하는 질소 가스 공급관(65)과, 챔버(50)내의 압력을 측정하는 압력 게이지(66)와, 챔버(50)내의 질소 가스 등을 배기하는 제 3 프로세스 유닛 배기계(67)를 구비한다.The third process unit 36 includes a nitrogen gas supply pipe 65 for supplying nitrogen (N 2 ) gas to the chamber 50, a pressure gauge 66 for measuring the pressure in the chamber 50, and the chamber 50. The 3rd process unit exhaust system 67 which exhausts nitrogen gas etc. inside is provided.

질소 가스 공급관(65)에는 MFC(도시하지 않음)이 설치되고, 상기 MFC는 챔버(50)에 공급되는 질소 가스의 유량을 조정한다. 제 3 프로세스 유닛 배기계(67)는 챔버(50)에 연통하는 동시에 DP에 접속된 주 배기관(68)과, 상기 주 배기관(68)의 도중에 배치된 APC 밸브(69)와, 주 배기관(68)으로부터 APC 밸브(69)를 회피하도록 분기하고, 또한 DP의 전방에 있어서 주 배기관(68)에 접속되는 부 배기관(68a)을 갖는다. APC 밸브(69)는 챔버(50)내의 압력을 제어한다.An MFC (not shown) is provided in the nitrogen gas supply pipe 65, and the MFC adjusts the flow rate of the nitrogen gas supplied to the chamber 50. The third process unit exhaust system 67 communicates with the chamber 50 and is connected to the DP at the same time, the APC valve 69 disposed in the middle of the main exhaust pipe 68, and the main exhaust pipe 68. Branching so as to avoid the APC valve 69 from the side, and further connected to the main exhaust pipe 68 in front of the DP. The APC valve 69 controls the pressure in the chamber 50.

제 2 로드록 유닛(49)은 챔버(70)에 질소 가스를 공급하는 질소 가스 공급관(71)과, 챔버(70)내의 압력을 측정하는 압력 게이지(72)와, 챔버(70)내의 질소 가스 등을 배기하는 제 2 로드록 유닛 배기계(73)와, 챔버(70)내를 대기 개방하는 대기 연통관(74)을 구비한다.The second load lock unit 49 includes a nitrogen gas supply pipe 71 for supplying nitrogen gas to the chamber 70, a pressure gauge 72 for measuring pressure in the chamber 70, and nitrogen gas in the chamber 70. A second load lock unit exhaust system 73 for exhausting the back and the like and an atmospheric communication tube 74 for atmospherically opening the inside of the chamber 70 are provided.

질소 가스 공급관(71)에는 MFC(도시하지 않음)이 설치되고, 상기 MFC는 챔버(70)에 공급되는 질소 가스의 유량을 조정한다. 제 2 로드록 유닛 배기계(73)는 1개의 배기관으로 되고, 상기 배기관은 챔버(70)에 연통하는 동시에, DP의 전방에 있어서 제 3 프로세스 유닛 배기계(67)에 있어서의 주 배기관(68)에 접속된다. 또한, 제 2 로드록 유닛 배기계(73) 및 대기 연통관(74)은 각각 개폐가능한 배기 밸브(75) 및 릴리프 밸브(76)를 갖고, 상기 배기 밸브(75) 및 릴리프 밸브(76)는 협동해서 챔버(70)내의 압력을 대기압에서 원하는 진공도까지 어느 하나로 조정한다.An MFC (not shown) is provided in the nitrogen gas supply pipe 71, and the MFC adjusts the flow rate of the nitrogen gas supplied to the chamber 70. The second load lock unit exhaust system 73 serves as one exhaust pipe, which communicates with the chamber 70 and is connected to the main exhaust pipe 68 in the third process unit exhaust system 67 in front of the DP. Connected. In addition, the second load lock unit exhaust system 73 and the atmospheric communication tube 74 each have an open / close exhaust valve 75 and a relief valve 76, and the exhaust valve 75 and the relief valve 76 cooperate with each other. The pressure in the chamber 70 is adjusted from either atmospheric pressure to the desired degree of vacuum.

도 4는 도 3에 있어서의 제 2 로드록 유닛의 유닛 구동용 드라이 에어 공급계의 개략 구성을 도시한 도면이다.It is a figure which shows schematic structure of the unit air dry air supply system of the 2nd load lock unit in FIG.

도 4에 있어서, 제 2 로드록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)의 드라이 에어 공급원으로서는, 대기 도어 밸브(55)가 갖는 슬라이드 도어 구동용의 도어 밸브 실린더, N2 퍼지 유닛으로서의 질소 가스 공급관(71)이 갖는 MFC, 대기 개방용의 릴리프 유닛으로서의 대기 연통관(74)이 갖는 릴리프 밸브(76), 진공 흡인 유닛으로서의 제 2 로드록 유닛 배기계(73)가 갖는 배기 밸브(75), 및 진공 게이트 밸브(54)가 갖는 슬라이드 게이트 구동용의 게이트 밸브 실린더가 해당 한다.4, the second load lock unit 49 of the unit driving dry air as dry air source of a supply system (77), air door door valve cylinder for driving a sliding door having a valve 55 for, N 2 The exhaust valve of the relief valve 76 which the MFC which the nitrogen gas supply pipe 71 as a purge unit has, the atmospheric communication pipe 74 which is a relief unit for opening the atmosphere, and the 2nd load lock unit exhaust system 73 as a vacuum suction unit The gate valve cylinder for slide gate drive which 75 and the vacuum gate valve 54 have is applicable.

유닛 구동용 드라이 에어 공급계(77)는 제 2 프로세스 장치(12)가 구비하는 주 드라이 에어 공급관(78)으로부터 분기된 부 드라이 에어 공급관(79)과, 상기 부 드라이 에어 공급관(79)에 접속된 제 1 솔레노이드 밸브(80) 및 제 2 솔레노이드 밸브(81)를 구비한다.The unit driving dry air supply system 77 is connected to the sub dry air supply pipe 79 branched from the main dry air supply pipe 78 included in the second process apparatus 12 and the sub dry air supply pipe 79. And a first solenoid valve 80 and a second solenoid valve 81.

제 1 솔레노이드 밸브(80)는 드라이 에어 공급관(82, 83, 84, 85)의 각각을 거쳐서 도어 밸브 실린더, MFC, 릴리프 밸브(76) 및 게이트 밸브 실린더에 접속되어, 이것들에의 드라이 에어의 공급량을 제어하는 것에 의해 각부의 동작을 제어한다. 또한, 제 2 솔레노이드 밸브(81)는, 드라이 에어 공급관(86)을 거쳐서 배기 밸브(75)에 접속되고, 배기 밸브(75)로의 드라이 에어의 공급량을 제어하는 것에 의해 배기 밸브(75)의 동작을 제어한다.The first solenoid valve 80 is connected to the door valve cylinder, the MFC, the relief valve 76 and the gate valve cylinder via each of the dry air supply pipes 82, 83, 84, and 85, and the supply amount of dry air to these By controlling the control of each part. In addition, the second solenoid valve 81 is connected to the exhaust valve 75 via the dry air supply pipe 86 and operates the exhaust valve 75 by controlling the supply amount of dry air to the exhaust valve 75. To control.

또한, 질소 가스 공급관(71)에 있어서의 MFC는 질소(N2) 가스 공급계(87)에도 접속되어 있다.The MFC in the nitrogen gas supply pipe 71 is also connected to the nitrogen (N 2 ) gas supply system 87.

또한, 제 2 프로세스 유닛(34)이나 제 3 프로세스 유닛(36)도, 상술한 제 2 로드록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)와 동일한 구성을 갖는 유닛 구동용 드라이 에어 공급계를 구비한다.Moreover, the 2nd process unit 34 and the 3rd process unit 36 also have the same structure as the unit drive dry air supply system 77 of the 2nd load lock unit 49 mentioned above. A supply system is provided.

도 1을 다시 참조하면, 기판 처리 장치(10)는 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어하는 시스템 컨트롤러와, 로더 유닛(13)의 길이 방향에 관한 일단에 배치된 오퍼레이션 제어기(88)를 구비한다.Referring back to FIG. 1, the substrate processing apparatus 10 includes a system controller for controlling operations of the first process apparatus 11, the second process apparatus 12, and the loader unit 13, and the loader unit 13. And an operation controller 88 disposed at one end in the longitudinal direction.

오퍼레이션 제어기(88)는 예를 들면 LCD(Liquid Crystal Display)로 이루어지는 표시부를 갖고, 상기 표시부는 기판 처리 장치(10)의 각 구성 요소의 동작 상황을 표시한다.The operation controller 88 has a display section made of, for example, a liquid crystal display (LCD), which displays the operation status of each component of the substrate processing apparatus 10.

또한, 도 5에 도시하는 바와 같이, 시스템 컨트롤러는 EC(Equipment Controller)(89)와, 3개의 MC(Module Controller)(90, 91, 92)와, EC(89) 및 각 MC를 접속하는 스위칭 허브(93)를 구비한다. 상기 시스템 컨트롤러는 EC(89)로부터 LAN(Local Area Network)(170)을 거쳐서, 기판 처리 장치(10)가 설치되어 있는 공장 전체의 제조 공정을 관리하는 MES(Manufacturing Execution System)로서의 PC(171)에 접속되어 있다. MES는 시스템 컨트롤러와 연계해서 공장에 있어서의 공정에 관한 리얼 타임 정보를 기간 업무 시스템(도시하지 않음)에 피드백(feedback) 하는 동시에, 공장 전체의 부하 등을 고려해서 공정에 관한 판단을 실행한다.In addition, as shown in FIG. 5, the system controller includes an EC (Equipment Controller) 89, three MC (Module Controllers) 90, 91, and 92, an EC 89, and switching that connects each MC. And a hub 93. The system controller is a PC 171 as an MES (Manufacturing Execution System) that manages the entire manufacturing process in which the substrate processing apparatus 10 is installed via the LAN (Local Area Network) 170 from the EC 89. Is connected to. The MES, in conjunction with the system controller, feeds back real-time information about the process in the factory to the main work system (not shown), and executes the process decision in consideration of the load of the entire plant and the like.

EC(89)는 각 MC를 통괄해서 기판 처리 장치(10) 전체의 동작을 제어하는 주 제어부(마스터 제어부)이다. 또한, EC(89)는 CPU, RAM, HDD 등을 구비하고, 오퍼레이션 제어기(88)에 있어서 유저 등에 의해 지정된 웨이퍼(W)의 처리 방법, 즉 레시피에 대응하는 프로그램에 따라 CPU가 각 MC에 제어 신호를 송신함으로써, 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어한다.EC 89 is a main control part (master control part) which controls each operation | movement of the board | substrate processing apparatus 10 as a whole. In addition, the EC 89 includes a CPU, a RAM, an HDD, and the like, and the CPU controls each MC according to the processing method of the wafer W designated by the user or the like in the operation controller 88, that is, the program corresponding to the recipe. By transmitting the signal, the operations of the first process device 11, the second process device 12, and the loader unit 13 are controlled.

스위칭 허브(93)는 EC(89)로부터의 제어 신호에 따라 EC(89)의 접속처로서의 MC을 바꾼다.The switching hub 93 changes the MC as the connection destination of the EC 89 according to the control signal from the EC 89.

MC(90, 91, 92)는 각각 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어하는 부 제어부(슬레이브 제어부)이다. 각 MC는 DIST(Distribution) 보드(96)에 의해 GHOST 네트워크(95)를 거쳐서 각 I/O(입출력) 모듈(97, 98, 99)에 각각 접속된다. GHOST 네트워크(95)는 MC가 갖는 MC 보드에 탑재된 GHOST(General High-Speed Optimum Scalable Transceiver)라고 칭하는 LSI에 의해 실현되는 네트워크이다. GHOST 네트워크(95)에는 최대로 31개의 I/O 모듈을 접속 가능하고, GHOST 네트워크(95)에서는 MC가 마스터에 해당하고, I/O 모듈이 슬레이브에 해당한다.The MCs 90, 91, and 92 are sub-controllers (slave controllers) that control the operations of the first process apparatus 11, the second process apparatus 12, and the loader unit 13, respectively. Each MC is connected to each I / O (input / output) module 97, 98, 99 via the GHOST network 95 by the DIST (Distribution) board 96, respectively. The GHOST network 95 is a network realized by LSI called General High-Speed Optimum Scalable Transceiver (GHOST) mounted on the MC board of the MC. A maximum of 31 I / O modules can be connected to the GHOST network 95. In the GHOST network 95, an MC corresponds to a master and an I / O module corresponds to a slave.

I/O 모듈(98)은 제 2 프로세스 장치(12)에 있어서의 각 구성요소(이하, 「엔드 디바이스」라고 한다)에 접속된 복수의 I/O부(100)로부터 되고, 각 엔드 디바이스에의 제어 신호 및 각 엔드 디바이스로부터의 출력 신호의 전달을 행한다. I/O 모듈(98)에 있어서 I/O부(100)에 접속되는 엔드 디바이스에는, 예컨대 제 2 프로세스 유닛(34)에 있어서의 암모니아 가스 공급관(57)의 MFC, 불화 수소 가스 공급관(58)의 MFC, 압력 게이지(59) 및 APC 밸브(42), 제 3 프로세스 유닛(36)에 있어서의 질소 가스 공급관(65)의 MFC, 압력 게이지(66), APC 밸브(69), 버퍼 암(52) 및 스테이지 히터(51), 제 2 로드록 유닛(49)에 있어서의 질소 가스 공급관(71)의 MFC, 압력 게이지(72) 및 제 2 반송 암(37), 및 유닛 구동용 드라이 에어 공급계(77)에 있어서의 제 1 솔레노이드 밸브(80) 및 제 2 솔레노이드 밸브(81) 등이 해당한다.The I / O module 98 is composed of a plurality of I / O units 100 connected to each component (hereinafter referred to as an "end device") in the second process apparatus 12, and is provided to each end device. Control signal and output signal from each end device are transferred. The end device connected to the I / O unit 100 in the I / O module 98 includes, for example, an MFC and a hydrogen fluoride gas supply pipe 58 of the ammonia gas supply pipe 57 in the second process unit 34. MFC, pressure gauge 59 and APC valve 42, MFC of nitrogen gas supply pipe 65 in the third process unit 36, pressure gauge 66, APC valve 69, buffer arm 52 ) And MFC of the nitrogen gas supply pipe 71 in the second load lock unit 49, the pressure gauge 72 and the second transfer arm 37, and the unit air dry air supply system. The 1st solenoid valve 80, the 2nd solenoid valve 81, etc. in 77 correspond.

또한, I/O 모듈(97, 99)은 I/O 모듈(98)과 마찬가지의 구성을 갖고, 제 1 프로세스 장치(11)에 대응하는 MC(90) 및 I/O 모듈(97)의 접속 관계, 및 로더 유닛(13)에 대응하는 MC(92) 및 I/O 모듈(99)의 접속 관계도, 상술한 MC(91) 및 I/O 모 듈(98)의 접속 관계와 마찬가지의 구성이기 때문에, 이것들의 설명은 생략한다.In addition, the I / O modules 97 and 99 have a configuration similar to that of the I / O module 98, and the connection between the MC 90 and the I / O module 97 corresponding to the first process apparatus 11 is performed. Relationship and the connection relationship between the MC 92 and the I / O module 99 corresponding to the loader unit 13 are also similar to the connection relationship between the MC 91 and the I / O module 98 described above. For this reason, description of these is omitted.

또한, 각 GHOST 네트워크(95)에는 I/O부(100)에 있어서의 디지탈 신호, 아날로그 신호 및 시리얼 신호의 입출력을 제어하는 I/O 보드(도시하지 않음)도 접속된다.Each GHOST network 95 is also connected to an I / O board (not shown) that controls input and output of digital signals, analog signals, and serial signals in the I / O unit 100.

기판 처리 장치(10)에 있어서, 웨이퍼(W)에 COR 처리를 실시하는 때는, COR 처리의 레시피에 대응하는 프로그램에 따라 EC(89)의 CPU가 스위칭 허브(93), MC(91), GHOST 네트워크(95) 및 I/O 모듈(98)에 있어서의 I/O부(100)를 거쳐서, 원하는 엔드 디바이스에 제어 신호를 송신함으로써 제 2 프로세스 유닛(34)에 있어서 COR 처리를 실행한다.In the substrate processing apparatus 10, when performing the COR processing on the wafer W, the CPU of the EC 89 switches the switching hub 93, MC 91, and GHOST according to a program corresponding to the recipe of the COR processing. COR processing is performed in the second process unit 34 by transmitting a control signal to a desired end device via the I / O unit 100 in the network 95 and the I / O module 98.

구체적으로는, CPU가 암모니아 가스 공급관(57)의 MFC 및 불화 수소 가스 공급관(58)의 MFC에 제어 신호를 송신함으로써 챔버(38)에 있어서의 암모니아 가스 및 불화 수소 가스의 체적 유량비를 원하는 값으로 조정하고, TMP(41) 및 APC 밸브(42)에 제어 신호를 송신함으로써 챔버(38)내의 압력을 원하는 값으로 조정한다. 또한, 이때 압력 게이지(59)가 챔버(38)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 상기 CPU는 송신된 챔버(38)내의 압력값에 근거하여, 암모니아 가스 공급관(57)의 MFC, 불화 수소 가스 공급관(58)의 MFC, APC 밸브(42)나 TMP(41)의 제어 파라메터를 결정한다.Specifically, the CPU transmits control signals to the MFC of the ammonia gas supply pipe 57 and the MFC of the hydrogen fluoride gas supply pipe 58 to set the volume flow rate ratio of the ammonia gas and the hydrogen fluoride gas in the chamber 38 to a desired value. The pressure in the chamber 38 is adjusted to a desired value by transmitting control signals to the TMP 41 and the APC valve 42. Further, at this time, the pressure gauge 59 transmits the pressure value in the chamber 38 to the CPU of the EC 89 as an output signal, which is based on the pressure value in the transmitted chamber 38 to supply the ammonia gas supply pipe ( The control parameters of the MFC of 57), the MFC of the hydrogen fluoride gas supply pipe 58, the APC valve 42, and the TMP 41 are determined.

또한, 웨이퍼(W)에 PHT 처리를 실시하는 때는, PHT 처리의 레시피에 대응하는 프로그램에 따라 EC(89)의 CPU가 원하는 엔드 디바이스에 제어 신호를 송신함으로써 제 3 프로세스 유닛(36)에 있어서 PHT 처리를 실행한다.In addition, when performing a PHT process on the wafer W, the PHT in the 3rd process unit 36 transmits a control signal to the desired end device by the CPU of the EC 89 according to the program corresponding to the recipe of the PHT process. Run the process.

구체적으로는, CPU가 질소 가스 공급관(65)의 MFC 및 APC 밸브(69)에 제어 신호를 송신함으로써 챔버(50)내의 압력을 원하는 값으로 조정하고, 스테이지 히터(51)에 제어 신호를 송신함으로써 웨이퍼(W)의 온도를 원하는 온도로 조정한다. 또한, 이때 압력 게이지(66)가 챔버(50)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 상기 CPU는 송신된 챔버(50)내의 압력값에 근거하여, APC 밸브(69)나 질소 가스 공급관(65)의 MFC의 제어 파라메터를 결정한다.Specifically, the CPU adjusts the pressure in the chamber 50 to a desired value by transmitting control signals to the MFC and APC valve 69 of the nitrogen gas supply pipe 65, and transmits the control signal to the stage heater 51. The temperature of the wafer W is adjusted to a desired temperature. In addition, at this time, the pressure gauge 66 transmits the pressure value in the chamber 50 to the CPU of the EC 89 as an output signal, which is based on the pressure value in the transmitted chamber 50, and thus the APC valve 69. ) And the control parameters of the MFC of the nitrogen gas supply pipe 65.

도 5의 시스템 컨트롤러에서는, 복수의 엔드 디바이스가 EC(89)에 직접 접속되는 일이 없이, 상기 복수의 엔드 디바이스에 접속된 I/O부(100)가 모듈화되어서 I/O 모듈을 구성하고, 상기 I/O 모듈이 MC 및 스위칭 허브(93)를 거쳐서 EC(89)에 접속되기 때문에, 통신 계통을 간소화 할 수 있다.In the system controller of FIG. 5, the I / O unit 100 connected to the plurality of end devices is modularized to form an I / O module without the plurality of end devices being directly connected to the EC 89. Since the I / O module is connected to the EC 89 via the MC and the switching hub 93, the communication system can be simplified.

또한, EC(89)의 CPU가 송신하는 제어 신호에는 소망의 엔드 디바이스에 접속된 I/O부(100)의 어드레스, 및 상기 I/O부(100)를 포함하는 I/O 모듈의 어드레스가 포함되어 있기 때문에, 스위칭 허브(93)는 제어 신호에 있어서의 I/O 모듈의 어드레스를 참조하고, MC의 GHOST가 제어 신호에 있어서의 I/O부(100)의 어드레스를 참조함으로써, 스위칭 허브(93)나 MC가 CPU에 제어 신호의 송신처의 문의를 행할 필요를 없앨 수 있고, 이에 의해 제어 신호의 원활한 전달을 실현할 수 있다.The control signal transmitted by the CPU of the EC 89 includes the address of the I / O unit 100 connected to the desired end device, and the address of the I / O module including the I / O unit 100. Since the switching hub 93 refers to the address of the I / O module in the control signal, and the GHOST of the MC refers to the address of the I / O unit 100 in the control signal, the switching hub 93 It is possible to eliminate the need for the 93 and the MC to inquire the CPU of the control signal transmission destination, thereby realizing smooth transmission of the control signal.

그런데, 상술한 바와 같이, 고체 촬상 소자의 집광성을 향상시키기 위해서, 제조시에 위치 정밀도를 향상시키기 위해서는, 절연막(SiO2 막)을 박막화하는 것이 필요하다. 또한, 절연막의 박막화에 있어서 고체 촬상 소자에 손상을 주는 것을 방지할 필요가 있다.By the way, in order to improve the positional accuracy at the time of manufacture, in order to improve the house light component of the solid state image pickup device as described above, the insulating film (SiO 2 Film). In addition, it is necessary to prevent damage to the solid-state imaging device in thinning the insulating film.

본 실시 형태에 따른 기판의 처리 방법은, 이것에 대응해서 고체 촬상 소자에 손상을 주지 않고, 절연막을 박막화하기 위해, 웨이퍼(W)에 COR 처리와 PHT 처리를 실시한다.In the substrate processing method according to the present embodiment, COR processing and PHT processing are performed on the wafer W in order to thin the insulating film without damaging the solid-state imaging element.

COR 처리는 피 처리체의 산화막과 가스 분자를 화학 반응시켜서 생성물을 생성하는 처리이며, PHT 처리는 COR 처리가 실시된 피 처리체를 가열하고, COR 처리의 화학 반응에 의해 피 처리체에 생성한 생성물을 기화·열산화(Thermal Oxidation)시켜서 피 처리체로부터 제거하는 처리이다. 이상과 같이, COR 처리 및 PHT 처리, 특히 COR 처리는 플라즈마를 이용하지 않고, 또한 물 성분을 이용하지 않고 피 처리체의 산화막을 제거하는 처리이기 때문에, 플라즈마 에칭 처리 및 드라이 클리닝 처리(건조 세정 처리)에 해당한다.The COR treatment is a treatment that chemically reacts the oxide film of the workpiece with gas molecules to produce a product. The PHT treatment heats the workpiece to which the COR treatment has been applied, and generates a product on the workpiece by a chemical reaction of the COR treatment. It is a process which removes from a to-be-processed object by vaporizing and thermally oxidizing a product. As described above, since the COR treatment and the PHT treatment, particularly the COR treatment, are treatments for removing the oxide film of the object without using plasma and without using water components, plasma etching treatment and dry cleaning treatment (dry cleaning treatment). Corresponds to).

본 실시 형태에 따른 기판의 처리 방법에서는, 가스로서 암모니아 가스 및 불화 수소 가스를 이용한다. 여기에서, 불화 수소 가스는 SiO2 층의 부식을 촉진하고, 암모니아 가스는 산화막과 불화 수소 가스와의 반응을 필요에 따라서 제한하고, 최종적으로는 정지시키기 위한 반응 부 생성물(By-product)을 합성한다. 구체적으로는, COR 처리 및 PHT 처리에 있어서 이하의 화학 반응을 이용함으로써, SiO2로 이루어지는 절연막의 상층을 제거해서 절연막의 막 두께를 원하는 막 두께로 한다.In the substrate processing method according to the present embodiment, ammonia gas and hydrogen fluoride gas are used as the gas. Here, the hydrogen fluoride gas is SiO 2 Promotes corrosion of the layer and ammonia gas synthesizes a reaction by-product to finally limit the reaction between the oxide film and the hydrogen fluoride gas, as necessary. Specifically, in the COR treatment and the PHT treatment, by using the following chemical reaction, the upper layer of the insulating film made of SiO 2 is removed to make the film thickness of the insulating film the desired film thickness.

(COR 처리)(COR processing)

SiO2+4HF→SiF4+2H2O↑SiO 2 + 4HF → SiF 4 + 2H 2 O ↑

SiF4+2NH3+2HF→(NH4)2SiF6 SiF 4 + 2NH 3 + 2HF → (NH 4 ) 2 SiF 6

(PHT 처리)(PHT processing)

(NH4)2SiF6→SiF4↑+2NH3↑+2HF↑(NH 4 ) 2 SiF 6 → SiF 4 ↑ + 2NH 3 ↑ + 2HF ↑

상술한 화학 반응을 이용한 COR 처리 및 PHT 처리는 이하의 특성을 갖는 것이 본 발명자에 의해 확인되었다. 또한, PHT 처리에 있어서는, N2 및 H2도 약간량 발생한다.It was confirmed by the present inventors that the COR treatment and PHT treatment using the above-described chemical reaction had the following characteristics. In the PHT treatment, a slight amount of N 2 and H 2 is also generated.

1) 열산화막의 선택비(제거 속도)가 높다.1) The selectivity (removal rate) of thermal oxide film is high.

구체적으로는, COR 처리 및 PHT 처리는 열산화막의 선택비가 높은 반면, 폴리 실리콘의 선택비가 낮다. 따라서, 열산화막인 SiO2 막으로 이루어지는 절연막의 표층이나 SiO2 막과 마찬가지의 특성을 갖는 유사 SiO2 층을 효율적으로 제거할 수 있다. 또한, 이 유사 SiO2 층은 「변질층」이나 「희생층」이라고 한다.Specifically, the COR treatment and the PHT treatment have a high selectivity of the thermal oxide film, while a low selectivity of polysilicon. Therefore, SiO 2 which is a thermal oxide film SiO 2 surface layer and film Similar SiO 2 with the same properties as the film The layer can be removed efficiently. In addition, this similar SiO 2 The layer is called a "damage layer" or a "sacrifice layer".

2) 표층 등이 제거된 절연막의 표면에 있어서의 자연 산화막의 성장 속도가 느리다.2) The growth rate of the native oxide film on the surface of the insulating film from which the surface layer or the like has been removed is slow.

구체적으로는, 웨트 에칭에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연 산화막의 성장 시간이 10분인 것에 대해, COR 처리 및 PHT 처리에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연 산화막의 성장 시간은 2시간 이상이다. 따라서, 전자 디바이스의 제조 공정에 있어서 불필요한 산 화막이 발생하는 일이 없고, 전자 디바이스의 신뢰성을 향상시킬 수 있다.Specifically, on the surface of the insulating film from which the upper layer was removed by wet etching, while the growth time of the natural oxide film having a thickness of 3 kPa was 10 minutes, on the surface of the insulating film from which the upper layer was removed by COR treatment and PHT treatment, the thickness was thick. The growth time of the 3 Å natural oxide film is 2 hours or more. Therefore, unnecessary oxide film does not arise in the manufacturing process of an electronic device, and the reliability of an electronic device can be improved.

3) 드라이 환경에 있어서 반응이 진행한다.3) The reaction proceeds in a dry environment.

구체적으로는, COR 처리에 있어서 물을 반응에 이용하는 일이 없고, 또한 COR 처리에 의해 발생한 물도 PHT 처리에 의해 기화되기 때문에, 상층이 제거된 절연막의 표면에 OH기가 배치되는 일이 없다. 따라서, 절연막의 표면이 친수성이 되는 일이 없고, 그리고 상기 표면은 흡습하는 일이 없기 때문에, 전자 디바이스의 배선 신뢰성의 저하를 방지할 수 있다.Specifically, in the COR treatment, water is not used for the reaction, and since water generated by the COR treatment is also vaporized by the PHT treatment, no OH group is disposed on the surface of the insulating film from which the upper layer is removed. Therefore, since the surface of the insulating film does not become hydrophilic and the surface does not absorb moisture, it is possible to prevent a decrease in the wiring reliability of the electronic device.

4) 생성물의 생성량은 소정 시간이 경과하면 포화한다.4) The amount of product produced is saturated after a predetermined time.

구체적으로는, 소정 시간이 경과하면, 그 이후 절연막을 암모니아 가스 및 불화 수소 가스의 혼합 기체에 노출이 계속되어도, 생성물의 생성량은 증가하지 않는다. 또한, 생성물의 생성량은 혼합 기체의 분압, 체적 유량비 등의 혼합 기체의 파라메터나, 챔버(38)내의 압력이나 스테이지 히터(51)에 있어서의 가열 온도 등의 파라메터에 의해 결정된다. 따라서, 절연막의 제거량의 제어를 정확 또한 용이하게 실행할 수 있다.Specifically, when a predetermined time elapses, even if the insulating film is subsequently exposed to a mixed gas of ammonia gas and hydrogen fluoride gas, the amount of product generated does not increase. The amount of product to be produced is determined by parameters of the mixed gas such as the partial pressure of the mixed gas, the volume flow rate ratio, parameters such as the pressure in the chamber 38 and the heating temperature in the stage heater 51. Therefore, control of the removal amount of the insulating film can be performed accurately and easily.

5) 파티클의 발생이 매우 적다.5) Particles are generated very little.

구체적으로는, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)에 있어서, 2000장의 웨이퍼(W)에 있어서의 절연막의 상층의 제거를 실행해도, 챔버(38)이나 챔버(50)의 내벽 등에 파티클의 부착이 거의 관측되지 않는다. 따라서, 전자 디바이스에 있어서 파티클을 통한 배선의 단락 등이 발생하는 일이 없고, 전자 디바이스의 신뢰성을 향상시킬 수 있다.Specifically, in the second process unit 34 and the third process unit 36, even if the upper layer of the insulating film in the 2000 wafers W is removed, the chamber 38 and the chamber 50 may be removed. Hardly any particle adhesion is observed on the inner wall or the like. Therefore, in the electronic device, a short circuit of the wiring through the particles does not occur and the reliability of the electronic device can be improved.

다음에, 본 실시 형태에 따른 기판의 처리 방법에 대해서 설명한다.Next, the processing method of the board | substrate which concerns on this embodiment is demonstrated.

본 처리에 있어서는, 전자 디바이스의 제조 공정에 있어서, SiO2에 의해 형성되는 절연막의 막 두께를 원하는 두께로 에칭하는 처리를 실행한다. 구체적으로는, 전자 디바이스로서의 CCD 센서의 제조 공정에 있어서, 칼라 필터가 형성되는 평탄화막이나 칼라 필터의 보호막을 원하는 막 두께로 에칭하는 처리를 실행한다.In the present process, a manufacturing process of the electronic device, and executes a process of etching a film thickness of the insulating film formed by the SiO 2 to a desired thickness. Specifically, in the manufacturing process of a CCD sensor as an electronic device, the process of etching the planarization film in which a color filter is formed, or the protective film of a color filter to a desired film thickness is performed.

도 6a 및 도 6b는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 CCD 센서의 개략 구성을 도시한 도면이며, 도 6a는 CCD 센서에 있어서 웨이퍼(W)상의 소자를 설명하는 도면이며, 도 6b는 CCD 센서의 부분 단면도이다.6A and 6B show a schematic configuration of a CCD sensor to which a substrate processing method according to the present embodiment is applied, and FIG. 6A illustrates a device on a wafer W in a CCD sensor, and FIG. 6B. Is a partial cross-sectional view of a CCD sensor.

도 6a에 도시하는 바와 같이, CCD 센서(200)는 웨이퍼(W)와, 웨이퍼(W)상에 매트릭스 형상으로 설치된 복수의 수광부로서의 광전 변환 소자(칩)(210)와, 광전 변환 소자(210)의 도면중 종방향의 각 열에 따라 설치된 복수의 수직 전송 레지스터부(220)와, 수직 전송 레지스터부(220)의 도면중 상방에 있어서 광전 변환 소자(210)의 도면중 횡방향을 따라 설치된 수평 전송 레지스터부(230)와, 수평 전송 레지스터부(240)에 접속된 출력부(240)를 구비한다.As shown in FIG. 6A, the CCD sensor 200 includes a wafer W, a photoelectric conversion element (chip) 210 as a plurality of light receiving portions provided in a matrix form on the wafer W, and a photoelectric conversion element 210. ), A plurality of vertical transfer registers 220 provided along each column in the longitudinal direction in the figure, and horizontally installed along the transverse direction in the figure of the photoelectric conversion element 210 above the figure of the vertical transfer register 220. The transfer register unit 230 and the output unit 240 connected to the horizontal transfer register unit 240 are provided.

광전 변환 소자(210)는 예를 들면 포토다이오드의 구성을 갖고 있고, 수광면에서 입사한 광을 그 광량에 대응한 신호 전하로 변환한다. 수직 전송 레지스터부(220)는 도시하지 않는 스위칭 소자와 배선을 구비하고, 각 광전 변환 소자(210)에 축적된 신호 전하를 도시하지 않은 판독 게이트부를 거쳐서 수신해서 수직 방향으로 전송한다. 수평 전송 레지스터부(230)는 수직 전송 레지스터부(220)로부터 수 신한 신호 전하를 수평 방향으로 전송해서 출력부(240)에 송신한다. 출력부(240)는 수평 전송 레지스터부(230)로부터 수신한 신호 전하를 화상 신호로서 출력한다.The photoelectric conversion element 210 has a configuration of a photodiode, for example, and converts light incident on the light receiving surface into signal charges corresponding to the amount of light. The vertical transfer register unit 220 includes a switching element and wiring not shown, and receives signal charges accumulated in each photoelectric conversion element 210 through a read gate unit not shown and transmits them in the vertical direction. The horizontal transfer register unit 230 transmits the signal charges received from the vertical transfer register unit 220 in the horizontal direction to the output unit 240. The output unit 240 outputs the signal charge received from the horizontal transfer register unit 230 as an image signal.

또한, 도 6b에 도시하는 바와 같이, 웨이퍼(W)상에는 SiO2 산화막으로 이뤄지는 절연막(251)이 형성되어 있고, 수직 전송 레지스터부(220)는 절연막(251)상에 형성된 전송 전극(신호 전하 전송부)(221)과, 층간 절연막(222)을 거쳐서 전송 전극(221)을 커버하도록 형성된 알루미늄 등의 금속으로 이루어지는 차광막(223)을 구비한다. 또한, CCD 센서(200)는 광전 변환 소자(210) 및 수직 전송 레지스터부(220)를 커버하도록 웨이퍼(W)상에 형성된 Si3N4로 이루어지는 보호막으로서의 실리콘 질화막(252)과, 실리콘 질화막(252)을 커버하도록 형성되어 있는 동시에 상면이 평탄화된 실리콘막(252)보다 굴절율이 낮은 절연 재료(SiO2)로 이루어지는 평탄화막(253)과, 평탄화막(253)상에 형성된 녹색 칼라 필터(255), 적색 칼라 필터(256), 및 청색 칼라 필터(257)로 이루어지는 칼라 필터(254)와, 칼라 필터(254)상에 형성된 절연재료(SiO2)로 이루어지는 보호막(258)과, 보호막(258)상에 형성된 마이크로 렌즈(259)를 구비한다.In addition, as shown in FIG. 6B, on the wafer W, SiO 2 is used. An insulating film 251 made of an oxide film is formed, and the vertical transfer register part 220 includes a transfer electrode (signal charge transfer part) 221 and an interlayer insulating film 222 formed on the insulating film 251. A light shielding film 223 made of a metal such as aluminum formed to cover the 221 is provided. In addition, the CCD sensor 200 includes a silicon nitride film 252 as a protective film made of Si 3 N 4 formed on the wafer W so as to cover the photoelectric conversion element 210 and the vertical transfer register portion 220, and a silicon nitride film ( A planarization film 253 formed of an insulating material SiO 2 having a refractive index lower than that of the silicon film 252 which is formed to cover the 252 and the planarized top surface, and a green color filter 255 formed on the planarization film 253. ), A color filter 254 composed of a red color filter 256 and a blue color filter 257, a protective film 258 made of an insulating material (SiO 2 ) formed on the color filter 254, and a protective film 258. A microlens 259 formed above.

도 7a 및 도 7b는 본 실시 형태에 따른 기판의 처리 방법을 도시한 도면이다.7A and 7B are diagrams showing a processing method of a substrate according to the present embodiment.

이후에 있어서는, 도 6a 및 도 6b에 도시하는 CCD 센서(200)에 있어서의 평탄화막(253)을 형성하기 위해서, 본 처리가 실행될 경우에 대해서 설명한다. 보호막(258)을 형성할 경우에 있어서도 본 처리가 실행되지만, 평탄화막(253)을 형성할 때와 마찬가지로 처리되므로 설명을 생략한다.Subsequently, a description will be given of the case where the present process is performed to form the flattening film 253 in the CCD sensor 200 shown in FIGS. 6A and 6B. This process is performed also in the case of forming the protective film 258, but since it is processed similarly to the formation of the planarization film 253, description is abbreviate | omitted.

우선, 본 처리에 앞서, 광전 변환 소자(210)가 매트릭스 형상으로 형성된 웨이퍼(W)상에 절연막(251)을 성막하고, 폴리 실리콘이나 비결정 실리콘 등의 도전성 재료로 구성되는 도전성막을 성막하고, 전송 전극(221)을 형성하기 위해 소정의 패턴에 포토레지스트층을 형성한다. 다음에, 이 포토레지스트층을 마스크로서 이용해서 RIE 처리에 의해 도전성막을 에칭하고, 전송 전극(221)을 형성한다.First, prior to this processing, an insulating film 251 is formed on the wafer W in which the photoelectric conversion element 210 is formed in a matrix shape, and a conductive film made of a conductive material such as polysilicon or amorphous silicon is formed and then transferred. A photoresist layer is formed on a predetermined pattern to form the electrode 221. Next, using this photoresist layer as a mask, the conductive film is etched by RIE processing to form the transfer electrode 221.

다음에, 층간 절연막(222)을 형성하기 위해 절연막을 성막하고, 마찬가지로 포토레지스트층을 마스크로서 이용해서 절연막을 RIE 처리에 의해 에칭하고, 층간 절연막(222)을 형성한다. 이어서, 차광막(223)을 형성하기 위해 도전성 금속막을 성막해서, 마찬가지로 포토레지스트층을 마스크로서 이용해서 금속막 및 광전 변환 소자(210)의 바로 근방까지 절연막(251)을 RIE 처리에 의해 에칭해서 차광막(223) 및 구멍(251a)을 형성한다. 그리고, Si3N4로 이루어지는 실리콘 질화막(252)을 전면에 형성하고, SiO2로 이루어지는 소정의 두께의 절연막(261)을 전면에 형성한다(도 7a 참조). 절연막(261)은 본 기판의 처리에 의해 원하는 두께의 평탄화막(253)이 형성되도록, 평탄화막(253)의 소망의 두께보다 두꺼운 막 두께로 되도록 형성되어 있다.Next, an insulating film is formed to form the interlayer insulating film 222. Similarly, using the photoresist layer as a mask, the insulating film is etched by RIE processing to form the interlayer insulating film 222. Subsequently, in order to form the light shielding film 223, a conductive metal film is formed, and similarly using the photoresist layer as a mask, the insulating film 251 is etched by RIE processing to the immediate vicinity of the metal film and the photoelectric conversion element 210, and the light shielding film is formed. 223 and the hole 251a are formed. Then, a silicon nitride film 252 made of Si 3 N 4 is formed over the entire surface, and an insulating film 261 having a predetermined thickness made of SiO 2 is formed over the entire surface (see FIG. 7A). The insulating film 261 is formed so as to have a film thickness thicker than the desired thickness of the planarizing film 253 so that the planarizing film 253 having a desired thickness is formed by the processing of the substrate.

우선, 상술의 원하는 두께의 평탄화막(253)을 형성하기 위해서 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 제 2 프로세스 유닛(34)의 챔버(38)에 수용하고, 상기 챔버(38)내의 압력을 소정의 압력으로 조정하고, 챔버(38)내에 암모니아 가스, 불화 수소 가스 및 희석 가스로서의 아르곤(Ar) 가스를 도입하고, 챔버(38)내를 이것들로 이루어지는 혼합 기체의 분위기로 해서 절연막(261)을 소정의 압력 이하에 있어서 혼합 기체의 분위기에 노출한다(절연막 노출 단계)(도 7b 참조). 이에 의해, 절연막(261)을 형성하는 SiO2가 암모니아 가스 및 불화 수소 가스로부터 착체(錯體) 구조를 갖는 생성물을 생성해서 절연막(261)의 상층을 생성물로 이루어지는 생성물층(262)으로 변질시킨다(도 7c 참조).First, in order to form the planarization film 253 of the desired thickness, the wafer W (see FIG. 7A) on which the insulating film 261 is formed is accommodated in the chamber 38 of the second process unit 34, and the chamber The pressure in the 38 is adjusted to a predetermined pressure, the ammonia gas, the hydrogen fluoride gas, and the argon (Ar) gas as a dilution gas are introduced into the chamber 38, and the atmosphere of the mixed gas including these in the chamber 38 is made. As a result, the insulating film 261 is exposed to the atmosphere of the mixed gas under a predetermined pressure (insulating film exposing step) (see FIG. 7B). As a result, SiO 2 forming the insulating film 261 produces a product having a complex structure from ammonia gas and hydrogen fluoride gas, and deteriorates the upper layer of the insulating film 261 to a product layer 262 composed of the product. (See FIG. 7C).

다음에, 생성물층(262)이 형성된 웨이퍼(W)를 제 3 프로세스 유닛(36)의 챔버(50)내의 스테이지 히터(51)상에 탑재하고, 상기 챔버(50)내의 압력을 소정의 압력으로 조정하고, 챔버(50)내에 질소 가스를 도입해서 점성류를 생기게 하고, 스테이지 히터(51)에 의해 웨이퍼(W)를 소정의 온도로 가열한다(절연막 가열 단계). 이 때, 열에 의해 생성물층(262)의 생성물의 착체 구조가 분해하고, 생성물은 4불화 규소(SiF4), 암모니아, 불화 수소로 분리해서 기화한다(도 7d 참조). 기화한 이것들의 분자는 점성류에 감아들어가서 제 3 프로세스 유닛 배기계(67)에 의해 챔버(50)로부터 배출된다. 이에 의해, 절연막(261)은 상층이 제거되어서 원하는 두께의 평탄화막(253)이 형성된다(도 7e 참조).Next, the wafer W on which the product layer 262 is formed is mounted on the stage heater 51 in the chamber 50 of the third process unit 36, and the pressure in the chamber 50 is set to a predetermined pressure. Then, nitrogen gas is introduced into the chamber 50 to generate a viscous flow, and the wafer W is heated to a predetermined temperature by the stage heater 51 (insulating film heating step). At this time, the complex structure of the product of the product layer 262 is decomposed by heat, and the product is separated into silicon tetrafluoride (SiF 4 ), ammonia and hydrogen fluoride and vaporized (see FIG. 7D). These vaporized molecules are wound in viscous flow and are discharged from the chamber 50 by the third process unit exhaust system 67. As a result, the upper layer of the insulating film 261 is removed to form a planarization film 253 having a desired thickness (see Fig. 7E).

상술의 처리에 있어서, 형성하는 평탄화막(253)의 막 두께는 생성물층(262)의 두께에 의해 결정된다. 생성물의 생성량은 암모니아 가스 및 불화 수소 가스의 혼합 기체의 분압이나 암모니아 가스에 관한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력이나 스테이지 히터(51)에 탑재된 웨이퍼 (W)의 가열 온도 등의 파라메터에 의해 결정된다. 이 때문에, 생성물층(262)의 두께는 상술의 혼합 기체의 파라메터 등을 제어하는 것에 의해 용이하게 조정할 수 있다. 따라서, 혼합 기체의 압력이나 체적 유량비 등의 혼합 기체의 파라메터 등을 제어하는 것에 의해, 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있다. 이에 의해, CCD 센서(200)에 있어서, 평탄화막(253)을 박막화할 수 있다.In the above processing, the film thickness of the planarization film 253 to be formed is determined by the thickness of the product layer 262. The amount of product generated is determined by the parameters of the mixed gas such as the partial pressure of the mixed gas of the ammonia gas and the hydrogen fluoride gas, the volume flow rate ratio of the hydrogen fluoride gas to the ammonia gas, the pressure in the chamber 38 and the wafer mounted on the stage heater 51 ( It is determined by parameters such as the heating temperature of W). For this reason, the thickness of the product layer 262 can be easily adjusted by controlling the parameter etc. of the above-mentioned mixed gas. Therefore, the planarization film 253 can be formed accurately to a desired thickness by controlling the parameters of the mixed gas such as the pressure of the mixed gas, the volume flow rate ratio and the like. Thereby, in the CCD sensor 200, the planarization film 253 can be thinned.

생성물층(262)의 두께의 조정, 즉 평탄화막(253)의 막 두께의 제어 방법에 대해서 구체적으로 설명한다. 우선, 웨이퍼(W)에 COR 처리를 실시하기 전에, 절연막(261)의 표면 형상, 예컨대 막 두께의 CD값을 측정한다(처리전 형상 측정 단계). 이어서, EC(89)의 CPU가 측정된 표면 형상의 측정값과 미리 설정해 둔 평탄화막(253)의 소망하는 막 두께를 비교하고, 절연막(261)의 표면 형상 및 평탄화막(253)의 소망하는 막 두께에 관한 절연막(261)의 상층의 제거량과의 관계를 나타내는 제거량 데이터에 의거하여, COR 처리 조건 파라메터(제 1 처리 조건) 및 PHT 처리 조건 파라메터(제 2 처리 조건)를 결정한다(처리 조건 결정 단계). 상술의 제거량 데이터는 예를 들면 실험에 의해 미리 설정되어 있고, 이 제거량 데이터 및 평탄화막(253)의 소망하는 막 두께의 데이터는 미리 EC(89)의 기억부에 기억되어 있다. 또한 상술한 바와 같이, COR 처리 조건 파라메터로서는 암모니아 가스 및 불화 수소 가스의 혼합 기체의 분압이나 암모니아 가스에 대한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력 등이 있고, PHT 처리 조건 파라메터로서는 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등이 있다. 이에 의해, 절연막(261)의 상층의 제거량(생성물층(262)의 막 두께의 성장량)의 제어 를 정확하게 실행할 수 있고, 따라서 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 향상시킬 수 있다.The method of adjusting the thickness of the product layer 262, that is, controlling the film thickness of the planarization film 253 will be described in detail. First, before the COR treatment is performed on the wafer W, the surface shape of the insulating film 261, for example, the CD value of the film thickness, is measured (pre-process shape measurement step). Subsequently, the CPU 89 of the EC 89 compares the measured value of the measured surface shape with the desired film thickness of the planarization film 253 set in advance, and the desired surface shape of the insulating film 261 and the desired planarization film 253. Based on the removal amount data indicating the relationship with the removal amount of the upper layer of the insulating film 261 regarding the film thickness, the COR processing condition parameter (first processing condition) and the PHT processing condition parameter (second processing condition) are determined (processing condition). Decision steps). The removal amount data described above is set in advance by an experiment, for example, and the removal amount data and data of the desired film thickness of the planarization film 253 are stored in advance in the storage unit of the EC 89. As described above, the COR treatment condition parameters include a partial pressure of the mixed gas of ammonia gas and hydrogen fluoride gas, a parameter of the mixed gas such as a volume flow rate ratio of the hydrogen fluoride gas to the ammonia gas, a pressure in the chamber 38, and the like. Examples of the PHT processing condition parameters include a heating temperature of the wafer W mounted on the stage heater 51. As a result, it is possible to accurately control the removal amount of the upper layer of the insulating film 261 (the growth amount of the film thickness of the product layer 262), so that the planarization film 253 can be formed accurately to a desired thickness, and the planarization film ( 253) can be thinned. In addition, the efficiency of thinning the planarization film 253 can be improved.

다음에, COR 처리 및 PHT 처리후의 절연막(261)의 표면 형상을 측정한다(처리후 형상 측정 단계). EC(89)의 CPU가 측정된 표면 형상의 측정값과 평탄화막(253)의 소망하는 막 두께를 비교하고, 상술의 제거량 데이터에 의거하여, 상술한 바와 같이 결정된 COR 처리 조건 파라메터 및 PHT 처리 조건 파라메터를 변경한다(처리 조건 변경 단계). 이에 의해, 절연막(261)의 상층의 제거량의 제어를 또한 정확하게 실행할 수 있고, 그에 따라 평탄화막(253)을 원하는 두께로 또한 정확하게 형성할 수 있고, 평탄화막(253)을 보다 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 보다 향상시킬 수 있다.Next, the surface shape of the insulating film 261 after the COR treatment and the PHT treatment is measured (post-process shape measurement step). The CPU of the EC 89 compares the measured value of the measured surface shape with the desired film thickness of the planarization film 253 and based on the removal amount data described above, the COR processing condition parameter and PHT processing condition determined as described above. Change the parameter (process condition change step). Thereby, control of the removal amount of the upper layer of the insulating film 261 can also be performed correctly, and accordingly, the planarization film 253 can be formed correctly and desired thickness, and the planarization film 253 can be made thinner. In addition, the efficiency of thinning the planarization film 253 can be further improved.

또한, 웨이퍼(W)에 COR 처리를 실시하기 전에, 절연막(261)의 표면 형상, 예컨대, 막 두께의 CD값을 측정하고, 측정된 표면 형상의 측정값에 따라, EC(89)의 CPU가 절연막(261)의 표면 형상과 절연막(261)의 상층의 제거량에 관련되는 처리 조건 파라메터와의 소정의 관계에 의거하여, COR 처리 또는PHT 처리에 있어서의 처리 조건 파라메터의 값을 결정하는 것도 바람직하다. 이에 의해, 절연막(261)의 상층의 제거량(생성물층(262)의 막 두께의 성장량)의 제어를 정확하게 실행할 수 있고, 그에 따라 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 향상시킬 수 있다.In addition, before the COR processing is performed on the wafer W, the surface shape of the insulating film 261, for example, the CD value of the film thickness is measured, and the CPU of the EC 89 determines the measured value of the measured surface shape. On the basis of a predetermined relationship between the surface shape of the insulating film 261 and the processing condition parameter related to the removal amount of the upper layer of the insulating film 261, it is also preferable to determine the value of the processing condition parameter in the COR process or the PHT process. . As a result, it is possible to accurately control the removal amount of the upper layer of the insulating film 261 (the amount of growth of the film thickness of the product layer 262), whereby the planarization film 253 can be formed accurately to a desired thickness, and the planarization film 253 can be thinned. In addition, the efficiency of thinning the planarization film 253 can be improved.

상기 소정의 관계는 복수의 웨이퍼(W)를 처리하는 로트의 초기에 있어서, 제 1 IMS(17)에 의해 측정된 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(261)의 표면 형상의 차, 즉 COR 처리 및 PHT 처리에 의한 절연막(261)의 상층의 제거량과, 이 때의 COR 처리 및 PHT 처리에 있어서의 처리 조건 파라메터에 의거해서 설정된다. 처리 조건 파라메터로서는, 상술한 바와 같이, 암모니아 가스 및 불화 수소 가스의 혼합 기체의 압력이나 암모니아 가스에 대한 불화 수소 가스의 체적 유량비, 챔버(38)내의 소정의 압력이나 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등이 해당한다. 이렇게 하여 설정된 소정의 관계는 EC(89)의 HDD 등에 저장되고, 로트의 초기 이후에 있어서의 웨이퍼(W)의 처리에 있어서 상술한 바와 같이 처리 조건 파라메터로서 참조된다.The predetermined relationship is the surface of the insulating film 261 before and after performing the COR processing and the PHT processing measured by the first IMS 17 at the beginning of the lot processing the plurality of wafers W. FIG. It sets based on the difference of shape, ie, the removal amount of the upper layer of the insulating film 261 by COR processing and PHT processing, and the processing condition parameter in COR processing and PHT processing at this time. As the processing condition parameter, as described above, the pressure of the mixed gas of the ammonia gas and the hydrogen fluoride gas, the volume flow rate ratio of the hydrogen fluoride gas to the ammonia gas, the predetermined pressure in the chamber 38 and the stage heater 51 are mounted. The heating temperature of the wafer W, etc. correspond. The predetermined relationship thus set is stored in the HDD of the EC 89 or the like and referred to as the processing condition parameter as described above in the processing of the wafer W after the initial stage of the lot.

또한, 어떤 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(261)의 표면 형상의 차에 의거하여, 상기 웨이퍼(W)에 두 번째 COR 처리 및 PHT 처리를 실시할 것인가 아닌가를 결정해도 좋고, 또한 두 번째 COR 처리 및 PHT 처리를 실시할 경우에는, EC(89)의 CPU가 상기 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시한 후에 있어서의 절연막(261)의 표면 형상에 따라, 상기 소정의 관계에 의거해서 COR 처리 및 PHT 처리의 조건 파라메터를 결정해도 좋다.Further, based on the difference in the surface shape of the insulating film 261 before and after the COR processing and the PHT processing of a certain wafer W, the second COR processing and the PHT processing are performed on the wafer W. In the case where the second COR process and the PHT process are performed, the CPU of the EC 89 performs the COR process and the PHT process of the wafer W. Depending on the surface shape, the condition parameters of the COR treatment and the PHT treatment may be determined based on the predetermined relationship.

또한, 제 1 IMS(17)에 의해 측정된 COR 처리 및 PHT 처리를 실시한 후에 있어서의 절연막(261)의 표면 형상을 광전 변환 소자(210)의 각각에 있어서 미리 설정된 측정 점에 있어서 측정하고, 모든 측정 점에 있어서 원하는 막 두께까지 절연막(261)이 제거되었을 경우만, 웨이퍼(W)를 다음 공정으로 이동하기 위해서 반송하 도록 해도 좋다. 이에 의해, CCD 센서(200)의 양품율을 향상시킬 수 있다.In addition, the surface shape of the insulating film 261 after performing the COR process and PHT process measured by the 1st IMS 17 is measured in the measurement point preset in each of the photoelectric conversion elements 210, and all Only when the insulating film 261 is removed to the desired film thickness at the measurement point, the wafer W may be transported in order to move to the next step. As a result, the yield rate of the CCD sensor 200 can be improved.

제 2 프로세스 유닛(34)에 있어서, 불화 수소 가스는 수분과 반응하기 쉽기 때문에, 챔버(38)에 있어서의 암모니아 가스의 체적과 불화 수소 가스의 체적보다 많게 설정하는 것이 바람직하고, 또한 챔버(38)에 있어서의 물 분자는 될 수 있는 한 제거하는 것이 바람직하다. 구체적으로는, 챔버(38)내의 혼합 기체에 있어서의 암모니아 가스에 관한 불화 수소 가스의 체적 유량(SCCM)비는 1~½인 것이 바람직하고, 또한, 챔버(38)내의 소정의 압력은 6.7×10-2~4.0 Pa(0.5~30 mTorr)인 것이 바람직하다. 이에 의해, 챔버(38)내의 혼합 기체의 유량비 등을 안정하기 위해서, 생성물의 생성을 조장할 수 있다.In the second process unit 34, since the hydrogen fluoride gas easily reacts with moisture, it is preferable to set the volume of the ammonia gas and the volume of the hydrogen fluoride gas in the chamber 38 to be larger than that. It is desirable to remove the water molecules in) as much as possible. Specifically, the volume flow rate (SCCM) ratio of the hydrogen fluoride gas to the ammonia gas in the mixed gas in the chamber 38 is preferably 1 to 1/2, and the predetermined pressure in the chamber 38 is 6.7 ×. 10-2 ~ preferably from 4.0 Pa (0.5 ~ 30 mTorr) . Thereby, in order to stabilize the flow rate ratio of the mixed gas in the chamber 38, etc., production | generation of a product can be encouraged.

또한, 챔버(38)내의 소정의 압력을 6.7×10-2~4.0 pa(0.5~30 mTorr)로 하면, 생성물의 생성량을 소정 시간 경과 후에 확실하게 포화시킬 수 있고, 이에 의해, 에칭 깊이(제거량)를 확실하게 제어 할 수 있다(셀프 리미티드). 예를 들면, 챔버(38)내의 소정의 압력이 1.3 Pa(10 mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분 경과 후에 정지한다. 이 때의 에칭 깊이는 대략 15 ㎚이다. 또한, 챔버(38)내의 소정의 압력이 2.7 Pa(20 mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분 경과 후에 정지한다. 이 때의 에칭 깊이는 대략 24 ㎚이다.In addition, if the predetermined pressure in the chamber 38 is set to 6.7 × 10 −2 to 4.0 pa (0.5 to 30 mTorr), the amount of product generated can be saturated reliably after a predetermined period of time, whereby the etching depth (removal amount) Can be controlled reliably (self-limited). For example, when the predetermined pressure in the chamber 38 is 1.3 Pa (10 mTorr), the progress of etching stops after about 3 minutes from the start of the COR process. The etching depth at this time is approximately 15 nm. In addition, when the predetermined pressure in the chamber 38 is 2.7 Pa (20 mTorr), the progress of etching is stopped about 3 minutes after the start of the COR process. The etching depth at this time is approximately 24 nm.

또한, 반응물은 상온 근방에서 반응이 촉진되기 때문에, 웨이퍼(W)를 탑재하는 ESC(39)는 내장하는 조온 기구(도시하지 않음)에 의해 그 온도가 25 ℃로 설정되는 것이 바람직하다. 또한, 온도가 높은 정도 챔버(38)내에 발생한 부 생성물이 부착되기 어렵기 때문에, 챔버(38)내의 내벽 온도는 측벽에 매설된 히터(도시하지 않음)에 의해 50 ℃로 설정되는 것이 바람직하다.In addition, since the reaction is accelerated in the vicinity of room temperature, it is preferable that the temperature of the ESC 39 on which the wafer W is mounted is set to 25 ° C by an internal temperature control mechanism (not shown). In addition, since the side products which generate | occur | produced in the chamber 38 to a high degree are hard to adhere, it is preferable that the inner wall temperature in the chamber 38 is set to 50 degreeC by the heater (not shown) embedded in the side wall.

제 3 프로세스 유닛(36)에 있어서, 반응물은 배위결합을 포함하는 착화합물(Complex compound)이며, 착화합물은 결합력이 약하고, 비교적 저온에 있어서도 열분해가 촉진되므로, 웨이퍼(W)의 소정의 온도는 80~200 ℃인 것이 바람직하고, 또한 웨이퍼(W)에 PHT 처리를 실시하는 시간은 60~180 초인 것이 바람직하다. 또한, 챔버(50)에 점성류를 생기게 하기 위해서는, 챔버(50)내의 진공도를 높이는 것은 바람직하지 못하고, 또한 일정한 유량의 가스류가 필요하다. 따라서, 상기 챔버(50)에 있어서의 소정의 압력은 6.7x10~1.3x102 Pa(500 mTorr~1 Torr)인 것이 바람직하고, 질소 가스의 유량은 500~3000 SCCM인 것이 바람직하다. 이에 의해, 챔버(50)내에 있어서 점성류를 확실하게 생기게 할 수 있기 때문에, 생성물의 열분해에 의해 생긴 기체 분자를 확실하게 제거할 수 있다.In the third process unit 36, the reactant is a complex compound containing a coordination bond, and the complex compound has a weak bonding force, and thermal decomposition is promoted even at a relatively low temperature, so that the predetermined temperature of the wafer W is 80-. It is preferable that it is 200 degreeC, and it is preferable that the time which PHT process is given to the wafer W is 60-180 second. In order to generate viscous flow in the chamber 50, it is not desirable to increase the degree of vacuum in the chamber 50, and a gas flow with a constant flow rate is required. Therefore, the predetermined pressure in the chamber 50 is preferably 6.7x10 to 1.3x10 2 Pa (500 mTorr to 1 Torr), and the flow rate of nitrogen gas is preferably 500 to 3000 SCCM. Thereby, since viscous flow can be reliably produced in the chamber 50, gas molecules produced by the thermal decomposition of the product can be reliably removed.

본 처리에 의해, 평탄화막(253)이 형성된 웨이퍼(W)는, 다음에 칼라 필터(254)가 형성되고, 상술의 평탄화막(253)의 형성과 마찬가지로 본 처리에 의해 보호막(258)이 형성되고, 마이크로 렌즈(259)가 형성되고, CCD 센서(200)가 제조된다.In the wafer W on which the planarization film 253 is formed by this process, the color filter 254 is formed next, and the protective film 258 is formed by this process similarly to the formation of the planarization film 253 described above. The microlens 259 is formed, and the CCD sensor 200 is manufactured.

상술과 같이, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 원하는 두께의 평탄화막(253)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)가 소정의 압력 이하에 있어서 암모니아 가스, 불화 수소 가스 및 아르곤 가스로 이루어지는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 웨이퍼(W)가 소정의 온도로 가열된다. 이에 의해, 절연막(261)을 형성하는 SiO2, 암모니아 가스, 및 불화 수소 가스로 착체 구조를 갖는 생성물이 생성되어서 원하는 두께의 생성물층(262)이 생성된다. 상기 생성된 생성물에 있어서 생성물의 착체 구조가 열에 의해 분해하고, 생성물은 4불화규소, 암모니아, 불화 수소에 분리해서 기화한다. 이 생성물의 기화에 의해, 절연막(261)의 상층의 생성물층(262)을 제거하고, 원하는 두께의 평탄화막(253)을 형성할 수 있다.As described above, according to the method for processing a substrate according to the present embodiment, in order to form the planarization film 253 having a desired thickness, the wafer W on which the insulating film 261 having a predetermined thickness of SiO 2 is formed has a predetermined pressure. Below, the wafer W exposed to the atmosphere of the mixed gas consisting of ammonia gas, hydrogen fluoride gas, and argon gas, and exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. As a result, a product having a complex structure is formed of SiO 2 , ammonia gas, and hydrogen fluoride gas forming the insulating film 261, thereby producing a product layer 262 having a desired thickness. In the resulting product, the complex structure of the product is decomposed by heat, and the product is separated and vaporized into silicon tetrafluoride, ammonia and hydrogen fluoride. By vaporizing this product, the product layer 262 of the upper layer of the insulating film 261 can be removed, and the planarization film 253 of desired thickness can be formed.

이 때, 생성물의 생성량, 즉 생성물층(262)의 두께는 암모니아 가스 및 불화 수소 가스의 혼합 기체의 압력이나, 암모니아 가스에 대한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력이나, 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등의 파라메터에 의해 제어할 수 있다. 따라서, 혼합 기체 등의 파라미터를 제어하는 것에 의해 생성되는 생성물층(262)의 두께를 정확하게 제어할 수 있고, 절연막(261)의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 이러한 등방성 에칭에 의해, 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 이 때문에, CCD 센서(200)의 집광성을 향상시켜서 광전 변환 소자(210)의 감도를 향상시킬 수 있고, 또 CCD 센서(200)의 제조에 있어서 기초 디바이스에 관한 상층의 구성 요소의 위치 맞춤을 정확하게 실행할 수 있다.At this time, the amount of production of the product, that is, the thickness of the product layer 262 is a parameter of the mixed gas such as the pressure of the mixed gas of ammonia gas and hydrogen fluoride gas, the volume flow rate ratio of the hydrogen fluoride gas to the ammonia gas, and the chamber 38. It can control by parameters, such as an internal pressure, the heating temperature of the wafer W mounted in the stage heater 51, and the like. Therefore, the thickness of the product layer 262 produced | generated by controlling parameters, such as a mixed gas, can be controlled correctly, and control of the removal amount of the insulating film 261 can be performed correctly. As a result, by the isotropic etching, the planarization film 253 can be formed accurately to a desired thickness, and the planarization film 253 can be thinned. For this reason, the light condensing property of the CCD sensor 200 can be improved, and the sensitivity of the photoelectric conversion element 210 can be improved, and in the manufacture of the CCD sensor 200, alignment of the upper components with respect to the base device is carried out. You can run it correctly.

또한, 생성물의 생성량은 소정 시간이 경과하면 포화하므로, 본 처리에 있어 서 절연막(261)이 모두 제거되는 일은 없다. 따라서, 웨이퍼(W)로 제조되는 CCD 센서의 배선 신뢰성의 저하를 방지할 수 있다.In addition, since the amount of production of the product is saturated after a predetermined time, not all of the insulating film 261 is removed in this process. Therefore, the fall of the wiring reliability of the CCD sensor manufactured from the wafer W can be prevented.

또한, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 웨이퍼(W)에 플라즈마 에칭 처리가 실시되어서 절연막(261)의 상층이 제거되므로, 웨이퍼(W)로 제조되는 CCD 센서(200)에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화막의 열화나 파괴를 방지하는 것이 가능하고, 에너지 입자가 전자 디바이스에 조사되는 일이 없기 때문에, CCD 센서(200)에 있어서의 결정 결함의 발생을 방지하는 것이 가능하고, 또한 플라즈마에 기인하는 예기하지 않는 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지하는 것이 가능하고, 이에 의해 챔버(38)나 챔버(50)내가 오염되는 것을 방지할 수 있다.In addition, according to the substrate processing method according to the present embodiment, since the plasma etching treatment is performed on the wafer W to remove the upper layer of the insulating film 261, the CCD sensor 200 manufactured from the wafer W, Since charges do not accumulate in the gate electrode, it is possible to prevent deterioration and destruction of the gate oxide film, and energy particles are not irradiated to the electronic device, thereby preventing the occurrence of crystal defects in the CCD sensor 200. In addition, since an unexpected chemical reaction due to plasma does not occur, it is possible to prevent the generation of impurities, thereby preventing contamination of the chamber 38 and the chamber 50.

또한, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 웨이퍼(W)에 드라이 클리이닝 처리가 실시되어서 절연막(261)의 상층이 제거되므로, 웨이퍼(W)의 표면의 물성의 변화를 억제할 수 있고, 또한 웨이퍼(W)로 제조되는 CCD 센서(200)에 있어서의 배선 신뢰성의 저하를 확실하게 방지할 수 있다.In addition, according to the substrate processing method of the present embodiment, since the dry cleaning process is performed on the wafer W to remove the upper layer of the insulating film 261, the change in the physical properties of the surface of the wafer W can be suppressed. In addition, the degradation of the wiring reliability in the CCD sensor 200 manufactured from the wafer W can be prevented reliably.

따라서, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 전자 디바이스에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.Therefore, according to the processing method of the board | substrate which concerns on this embodiment, control of the removal amount of an insulating film can be performed correctly, without damaging an electronic device. As a result, the insulating film can be thinned.

본 실시 형태에 따른 기판의 처리 방법은, 상술한 바와 같이, CCD 센서의 칼라 필터를 형성하는 평탄화막이나 칼라 필터의 보호막을 원하는 두께로 형성할 경 우에 적용되는 것으로 한정되지 않고, 다른 전자 디바이스에 있어서 SiO2로 이루어지는 절연막의 두께를 원하는 두께로 정확하게 형성할 경우에도 적용 가능하다. 예를 들면, 본 기판의 처리 방법은 웨이퍼(W)의 바로 위에 성막하는 절연막이나 층간 절연막의 박막화에 적용할 수 있다.As described above, the substrate processing method according to the present embodiment is not limited to the case where the planarization film forming the color filter of the CCD sensor or the protective film of the color filter is formed to a desired thickness, and is applied to other electronic devices. It is also applicable to the case where the thickness of the insulating film made of SiO 2 is accurately formed to a desired thickness. For example, the processing method of the present substrate can be applied to thinning of the insulating film or the interlayer insulating film formed directly on the wafer W.

또한, 본 실시 형태에 따른 기판의 처리 방법은, 상술한 바와 같이, CCD 센서를 제조하기 위해서 이용하는 것으로 한정되지 않고, 다른 전자 디바이스, 예컨대 적어도 표면에 광학적으로 투명한 절연성의 박막을 갖는 CCD용의 박막 디바이스 등을 제조하기 위해서 이용할 수 있는 것이라도 좋다.In addition, the method of processing the substrate according to the present embodiment is not limited to use for manufacturing a CCD sensor as described above, but is a thin film for CCD having an insulating thin film of another electronic device, for example, at least on the surface thereof. What may be used in order to manufacture a device etc. may be used.

또한, CCD 센서는, 상술의 CCD 센서(200)로 한정되지 않고, 다른 구성을 갖는 것이라도 좋다. 예를 들면, CCD 센서(200)는 실리콘 질화막(252) 대신에 CVD법에 의해 형성된 부정형의 박막으로 이루어지는 광투과 전극을 구비하는 것이라도 좋다.In addition, a CCD sensor is not limited to the CCD sensor 200 mentioned above, It may have a different structure. For example, the CCD sensor 200 may include a light transmitting electrode made of an amorphous thin film formed by the CVD method instead of the silicon nitride film 252.

본 발명은, 상술의 실시 형태에 한정되는 아니고, 예컨대, 상술의 기판의 처리 방법을 구비하는 전자 디바이스의 제조 방법, 고체 촬상 소자의 제조 방법, CCD용의 박막 디바이스의 제조 방법이여도 좋다.This invention is not limited to embodiment mentioned above, For example, the manufacturing method of the electronic device provided with the processing method of the above-mentioned board | substrate, the manufacturing method of a solid-state image sensor, and the manufacturing method of the thin film device for CCD may be sufficient.

도 8은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 1 변형예의 개략 구성을 도시하는 평면도이다. 또한, 도 8에 있어서는, 도 1의 기판 처리 장치(10)에 있어서의 구성 요소와 동일한 구성 요소에는 동일한 도면부호를 붙이고, 그 설명을 생략한다.8 is a plan view illustrating a schematic configuration of a first modification of the substrate processing apparatus to which the substrate processing method according to the present embodiment is applied. In addition, in FIG. 8, the same code | symbol is attached | subjected to the same component as the component in the substrate processing apparatus 10 of FIG. 1, and the description is abbreviate | omitted.

도 8에 있어서, 기판 처리 장치(137)는 평면에서 보아 6각형의 트랜스퍼 유닛(138)과, 상기 트랜스퍼 유닛(138)의 주위에 있어서 방사상으로 배치된 4개의 프로세스 유닛(139~142)과, 로더 유닛(13)과, 트랜스퍼 유닛(138)과 로더 유닛(13) 사이에 배치되어, 트랜스퍼 유닛(138) 및 로더 유닛(13)을 연결하는 2개의 로드록 유닛(143, 144)을 구비한다.In FIG. 8, the substrate processing apparatus 137 includes a hexagonal transfer unit 138 in plan view, four process units 139 to 142 disposed radially around the transfer unit 138, and It is provided between the loader unit 13, the transfer unit 138, and the loader unit 13, and has two load lock units 143 and 144 connecting the transfer unit 138 and the loader unit 13 to each other. .

트랜스퍼 유닛(138) 및 각 프로세스 유닛(139~142)은 내부의 압력이 진공으로 유지되고, 트랜스퍼 유닛(138)과 각 프로세스 유닛(139~142)은 각각 진공 게이트 밸브(145~148)를 거쳐서 접속된다.The internal pressure of the transfer unit 138 and each of the process units 139 to 142 is maintained in a vacuum, and the transfer unit 138 and each of the process units 139 to 142 respectively pass through the vacuum gate valves 145 to 148. Connected.

기판 처리 장치(137)에서는 로더 유닛(13)의 내부 압력이 대기압으로 유지되는 한편, 트랜스퍼 유닛(138)의 내부 압력은 진공으로 유지된다. 그 때문에, 각 로드록 유닛(143, 144)은 각각 트랜스퍼 유닛(138)과의 연결부에 진공 게이트 밸브(149, 150)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 도어 밸브(151, 152)를 구비하는 것에 의해, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다. 또한, 각 로드록 유닛(143, 144)은 로더 유닛(13)과 트랜스퍼 유닛(138) 사이에 있어서 주고 받는 웨이퍼(W)를 일시적으로 탑재하기 위한 웨이퍼 탑재대(153, 154)를 갖는다.In the substrate processing apparatus 137, the internal pressure of the loader unit 13 is maintained at atmospheric pressure, while the internal pressure of the transfer unit 138 is maintained at vacuum. Therefore, each load lock unit 143, 144 has the vacuum gate valves 149, 150 in the connection part with the transfer unit 138, respectively, and the standby door valve 151 in the connection part with the loader unit 13, respectively. 152, it is comprised as a vacuum preliminary conveyance chamber which can adjust the internal pressure. Each of the load lock units 143 and 144 has wafer mounting tables 153 and 154 for temporarily mounting the wafers W exchanged between the loader unit 13 and the transfer unit 138.

트랜스퍼 유닛(138)은 그 내부에 배치된 굴신 및 선회 가능하게 이루어진 프로그 레그 타입(frog leg type)의 반송 암(155)을 구비하고, 상기 반송 암(155)은 각 프로세스 유닛(139~142)이나 각 로드록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.The transfer unit 138 has a transfer leg type transfer arm 155 configured to be bent and pivotable disposed therein, and each of the transfer arms 155 has respective process units 139 to 142. The wafer W is transported between the load lock units 143 and 144.

각 프로세스 유닛(139~142)은 각각 처리가 실시되는 웨이퍼(W)를 탑재하는 탑재대(156~159)를 구비한다. 여기에서, 프로세스 유닛(140)은 기판 처리 장치(10)에 있어서의 제 1 프로세스 유닛(25)과 동일한 구성을 갖고, 프로세스 유닛(141)은 제 2 프로세스 유닛(34)과 동일한 구성을 갖고, 프로세스 유닛(142)은 제 3 프로세스 유닛(36)과 동일한 구성을 갖는다. 따라서, 프로세스 유닛(140)은 웨이퍼(W)에 RIE 처리를 실시하고, 프로세스 유닛(141)은 웨이퍼(W)에 COR 처리를 실시하고, 프로세스 유닛(142)은 웨이퍼(W)에 PHT 처리를 실시할 수 있다.Each process unit 139-142 is equipped with the mounting base 156-159 which mounts the wafer W in which processing is performed, respectively. Here, the process unit 140 has the same configuration as the first process unit 25 in the substrate processing apparatus 10, and the process unit 141 has the same configuration as the second process unit 34, The process unit 142 has the same configuration as the third process unit 36. Therefore, the process unit 140 performs the RIE process on the wafer W, the process unit 141 performs the COR process on the wafer W, and the process unit 142 performs the PHT process on the wafer W. It can be carried out.

기판 처리 장치(137)에서는 상술의 기판 처리 장치(10)와 마찬가지로 원하는 두께의 평탄화막(253)이나 보호막(258)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 또한 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시 형태에 따른 기판의 처리 방법을 실행한다.In the substrate processing apparatus 137, a wafer in which an insulating film 261 having a predetermined thickness of SiO 2 is formed in order to form the planarization film 253 or the protective film 258 having a desired thickness, as in the substrate processing apparatus 10 described above ( W) (see FIG. 7A) is carried in to the process unit 141 to carry out the COR process, and also to the process unit 142 to carry out the PHT process, thereby executing the substrate processing method according to the above-described embodiment. .

또한, 기판 처리 장치(137)에 있어서의 각 구성 요소의 동작은 기판 처리 장치(10)에 있어서의 시스템 컨트롤러와 동일한 구성을 갖는 시스템 컨트롤러에 의해 제어된다.In addition, the operation of each component in the substrate processing apparatus 137 is controlled by the system controller which has the same structure as the system controller in the substrate processing apparatus 10.

도 9는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 2 변형예의 개략 구성을 도시하는 평면도이다. 또한, 도 9에 있어서는, 도 1의 기판 처리 장치(10) 및 도 8의 기판 처리 장치(137)에 있어서의 구성 요소와 동일한 구성 요소에는 동일한 도면부호를 붙이고, 그 설명을 생략한다.9 is a plan view showing a schematic configuration of a second modification of the substrate processing apparatus to which the substrate processing method according to the present embodiment is applied. In addition, in FIG. 9, the same code | symbol is attached | subjected to the component same as the component in the substrate processing apparatus 10 of FIG. 1, and the substrate processing apparatus 137 of FIG. 8, and the description is abbreviate | omitted.

도 9에 있어서, 기판 처리 장치(160)는 도 8의 기판 처리 장치(137)에 대하여, 2개의 프로세스 유닛(161, 162)이 추가되고, 이것에 대응해서 트랜스퍼 유닛(163)의 형상도 기판 처리 장치(137)에 있어서의 트랜스퍼 유닛(138)의 형상과 상이하다. 추가된 2개의 프로세스 유닛(161, 162)은 각각 진공 게이트 밸브(164, 165)를 거쳐서 트랜스퍼 유닛(163)과 접속되는 동시에, 웨이퍼(W)의 탑재대(166, 167)를 갖는다.9, two process units 161 and 162 are added to the substrate processing apparatus 137 of FIG. 8, and the shape of the transfer unit 163 is also corresponding to the substrate processing apparatus 137 of FIG. It is different from the shape of the transfer unit 138 in the processing apparatus 137. The two additional process units 161, 162 are connected to the transfer unit 163 via the vacuum gate valves 164, 165, respectively, and have mounts 166, 167 of the wafer W.

또한, 트랜스퍼 유닛(163)은, 2개의 스칼라 암 타입의 반송 암으로 이루어지는 반송 암 유닛(168)을 구비한다. 상기 반송 암 유닛(168)은 트랜스퍼 유닛(163)내에 배설된 가이드 레일(169)에 따라 이동하고, 각 프로세스 유닛(139~142, 161, 162)이나 각 로드록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.In addition, the transfer unit 163 includes a transfer arm unit 168 composed of two scalar arm type transfer arms. The transfer arm unit 168 moves along the guide rail 169 disposed in the transfer unit 163, and is located between each of the process units 139 to 142, 161 and 162 or between the load lock units 143 and 144. Wafer W is conveyed.

기판 처리 장치(160)에서는, 기판 처리 장치(137)와 마찬가지로 원하는 두께의 평탄화막(253)이나 보호막(258)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 또한 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시 형태에 따른 기판의 처리 방법을 실행한다.In the substrate processing apparatus 160, similar to the substrate processing apparatus 137, the wafer W on which the insulating film 261 having a predetermined thickness of SiO 2 is formed to form the planarization film 253 or the protective film 258 having a desired thickness. ) (See FIG. 7A) is carried out to the process unit 141 to carry out COR processing, and also to the process unit 142 to carry out PHT processing, thereby executing the substrate processing method according to the above-described embodiment.

또한, 기판 처리 장치(160)에 있어서의 각 구성 요소의 동작도, 기판 처리 장치(10)에 있어서의 시스템 컨트롤러와 동일한 구성을 갖는 시스템 컨트롤러에 의해 제어된다.In addition, the operation of each component in the substrate processing apparatus 160 is also controlled by the system controller which has the same structure as the system controller in the substrate processing apparatus 10.

또한, 상술한 전자 디바이스에는 소위 반도체 디바이스 이외에, 강유전체, 고유전체 등의 절연성 금속 산화물, 특히 페로브스카이트(Perovskite)형 결정 구조를 갖는 물질로 되는 박막을 갖는 비휘발성 또는 대용량의 메모리 소자도 포함한다. 페로브스카이트(Perovskite)형 결정 구조를 갖는 물질로서는 티탄산 지르콘산납(PZT), 티탄산 바륨 스트론튬(BST), 및 탄탈산 니오브 스트론튬 비스무트(SBNT) 등이 해당한다.In addition to the so-called semiconductor devices, the above-mentioned electronic devices also include nonvolatile or large-capacity memory devices having thin films made of insulating metal oxides such as ferroelectrics and high dielectric materials, in particular, materials having a perovskite crystal structure. do. Examples of the material having a perovskite crystal structure include lead zirconate titanate (PZT), barium strontium titanate (BST), and niobium strontium bismuth tantalate (SBNT).

또한, 본 발명의 목적은 상술한 본 실시 형태의 기능을 실현하는 소프트웨어의 프로그램 코드를 기록한 기록 매체를 시스템 또는 장치(EC(89))에 공급하고, EC(89)의 컴퓨터(또는 CPU나 MPU 등)가 기록 매체에 저장한 프로그램 코드를 판독해서 실행함으로써 달성된다.In addition, an object of the present invention is to supply a system or apparatus (EC 89) with a recording medium on which program code of software for realizing the functions of the above-described embodiments is supplied to a computer (or CPU or MPU) of the EC 89. Etc.) is achieved by reading out and executing the program code stored in the recording medium.

이 경우, 기록 매체로부터 판독된 프로그램 코드 자체가 상술한 본 실시 형태의 기능을 실현하는 것으로 되고, 그 프로그램 코드 및 상기 프로그램 코드를 기록한 기록 매체는 본 발명을 구성하게 된다.In this case, the program code itself read out from the recording medium realizes the functions of the above-described embodiment, and the program code and the recording medium on which the program code is recorded constitute the present invention.

또한, 프로그램 코드를 공급하기 위한 기록 매체로서는, 예컨대 플로피(등록상표) 디스크, 하드 디스크, 광자기 디스크, CD-ROM, CD-R, CD-RW, DVD-ROM, DVD-RAM, DVD-RW, DVD+RW 등의 광 디스크, 자기 테이프, 비휘발성의 메모리 카드, ROM 등을 이용할 수 있다. 또한, 프로그램 코드를 네트워크를 거쳐서 다운로드해도 좋다.As a recording medium for supplying the program code, for example, a floppy disk, a hard disk, a magneto-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, a DVD-RW Optical discs, such as DVD + RW, magnetic tapes, nonvolatile memory cards, ROMs, and the like. In addition, the program code may be downloaded via a network.

또한, 컴퓨터가 판독한 프로그램 코드를 실행함으로써, 상기 본 실시 형태의 기능이 실현될 뿐만 아니라, 그 프로그램 코드의 지시에 의거하여, 컴퓨터상에서 가동하고 있는 OS(오퍼레이팅 시스템) 등이 실제의 처리의 일부 또는 전부를 행하 고, 그 처리에 의해 상술한 본 실시 형태의 기능이 실현될 경우도 포함된다.In addition, by executing the program code read by the computer, not only the function of the present embodiment is realized but also an OS (operating system) or the like operating on the computer based on the instruction of the program code is part of the actual processing. Or when all of them are performed and the above-described functions of the present embodiment are realized.

또한, 기록 매체로부터 판독된 프로그램 코드가 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 갖춰지는 메모리에 기입된 후, 그 프로그램 코드의 지시에 의거하여, 그 확장 기능을 확장 보드나 확장 유닛에 갖춰지는 CPU 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 본 실시 형태의 기능이 실현될 경우도 포함된다.Also, after the program code read from the recording medium is written into the memory provided in the function expansion board inserted into the computer or the function expansion unit connected to the computer, the expansion function is changed according to the instruction of the program code. This includes the case where a CPU or the like provided in the expansion unit performs part or all of the actual processing and the above-described functions of the present embodiment are realized by the processing.

상기 프로그램 코드의 형태는 오브젝트 코드(object code), 인터프리터(interpreter)에 의해 실행되는 프로그램 코드, OS에 공급되는 스크립트 데이터 등의 형태로부터 이루어져도 좋다.The program code may be in the form of an object code, a program code executed by an interpreter, or script data supplied to an OS.

본 발명의 고체 촬상 소자의 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 의거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노 출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있는 효과가 있으며, 이에 의해 절연막을 박막화할 수 있는 효과가 있다.According to the manufacturing method of the solid-state image sensor of this invention, the insulating film of the board | substrate of a solid-state image sensor is exposed to the atmosphere of the mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, and was exposed to the atmosphere of the mixed gas. It is heated to a predetermined temperature. When the insulating film is exposed to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure, a product based on the insulating film and the mixed gas is generated, and the insulating film exposed to the atmosphere of the mixed gas is heated to a predetermined temperature. The resulting product is heated to vaporize. By vaporization of this product, the upper layer of the insulating film can be removed. At this time, the production amount of the product, that is, the removal amount (film thickness) of the upper layer of the insulating film can be accurately controlled by the parameter of the mixed gas. In addition, exposure to a mixed gas and heating do not damage each element with which the board | substrate of a solid-state image sensor is equipped. Therefore, there is an effect that it is possible to accurately control the removal amount of the insulating film without damaging the solid-state imaging device made of the substrate, thereby making it possible to thin the insulating film.

Claims (17)

고체 촬상 소자의 제조 방법에 있어서,In the manufacturing method of a solid-state image sensor, 상기 고체 촬상 소자의 기판이 구비하는 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와,An insulating film exposure step of exposing the insulating film of the substrate of the solid-state imaging device to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride at a predetermined pressure or less; 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 단계를 포함하는 Heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature; 고체 촬상 소자 제조 방법.Solid-state image sensor manufacturing method. 제 1 항에 있어서,The method of claim 1, 상기 절연막 노출 단계는 상기 기판에 플라즈마 에칭 처리를 실시하는The insulating film exposing step may be performed by performing a plasma etching process on the substrate. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 1 항에 있어서,The method of claim 1, 상기 절연막 노출 단계는 상기 기판에 건조 세정 처리를 실시하는The insulating film exposing step may be performed by performing a dry cleaning process on the substrate. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 1 항에 있어서,The method of claim 1, 상기 절연막의 형상을 측정하고, 상기 측정된 형상에 따라 상기 혼합 기체에 있어서의 상기 암모니아에 관한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력중 적어도 하나를 결정하는 생성물 생성 조건 결정 단계를 더 포함하는Determining a shape of the insulating film, and determining a product generation condition for determining at least one of the volume flow rate ratio of the hydrogen fluoride with respect to the ammonia in the mixed gas, and the predetermined pressure according to the measured shape. doing 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 1 항에 있어서,The method of claim 1, 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비는 1~½이며, 상기 소정의 압력은 6.7×10-2~4.0 pa인 The volume flow rate ratio of the hydrogen fluoride to the ammonia in the mixed gas is 1 to 1/2, and the predetermined pressure is 6.7 x 10 -2 to 4.0 pa. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 1 항에 있어서,The method of claim 1, 상기 소정의 온도는 80~200 ℃인 The predetermined temperature is 80 ~ 200 ℃ 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 고체 촬상 소자의 제조 방법에 있어서,In the manufacturing method of a solid-state image sensor, 상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와, A film thickness determining step of determining a desired film thickness of the insulating film included in the substrate of the solid-state imaging device; 상기 절연막의 형상을 측정하는 처리전 형상 측정 단계와,A shape measurement step before processing of measuring the shape of the insulating film; 상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 단계와,A treatment condition determining step of comparing the measured shape with the determined film thickness to determine a first treatment condition and a second treatment condition; 상기 제 1 처리 조건에 의거해서 상기 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와,An insulating film exposure step of exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure based on the first processing condition; 상기 제 2 처리 조건에 의거해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 단계를 포함하는Heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature based on the second processing condition. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 7 항에 있어서,The method of claim 7, wherein 상기 절연막 가열 단계의 후에 상기 절연막의 형상을 측정하는 처리후 형상 측정 단계와, A post shape measurement step of measuring a shape of the insulating film after the insulating film heating step; 상기 처리후 형상 측정 단계에 있어서 측정된 형상과 상기 결정된 막 두께를 비교해서 상기 제 1 처리 조건 및 상기 제 2 처리 조건을 변경하는 처리 조건 변경 단계를 더 포함하는And a processing condition changing step of changing the first processing condition and the second processing condition by comparing the measured shape with the determined film thickness in the post-process shape measurement step. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 처리 조건은 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력중 적어도 하나이며, 상기 제 2 처리 조건은 상기 소정의 온도인 The first processing condition is at least one of a volume flow rate ratio of the hydrogen fluoride to the ammonia in the mixed gas, and the predetermined pressure, and the second processing condition is the predetermined temperature. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 기판에 매트릭스 형상으로 설치된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 설치된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된 것으로 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 거쳐서 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법에 있어서,A plurality of photoelectric conversion elements provided in a matrix form on the substrate, an insulating film formed on the substrate on which the plurality of photoelectric conversion elements are installed, a signal charge transfer electrode formed adjacent to the photoelectric conversion element and composed of a switching element and a wiring; And a light shielding film comprising an interlayer insulating film formed on the signal charge transfer electrode and a metal film formed on the signal charge transfer electrode via the interlayer insulating film. 상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 단계와,A metal film deposition step of forming the metal film to form the light shielding film; 상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정의 패턴의 레지스트를 형성하는 레지스트 패터닝 단계와,A resist patterning step of forming a resist having a predetermined pattern for forming the light shielding film on the formed metal film; 상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 단계와,A patterning step of forming the light shielding film and the holes by patterning the insulating film by dry etching to the vicinity of the metal film and the photoelectric conversion element using the resist; 상기 레지스트를 제거하는 레지스트 제거 단계와,A resist removal step of removing the resist; 상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 단계와,A silicon nitride film forming step of forming a silicon nitride film into a recess defined by the light shielding film and the hole; 상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성하는 동시에 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 단계와,A flattening film forming step of applying a transparent insulating material having a lower refractive index than the silicon nitride film to form a first insulating layer and simultaneously flattening the first insulating layer to form a flattening film; 상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 단계와,A color filter forming step of forming a color filter on the planarization film; 상기 칼라 필터상에 제 2 절연층을 형성하는 동시에 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 단계를 포함하며,Forming a protective film by forming a second insulating layer on the color filter and simultaneously thinning the second insulating layer; 상기 평탄화막 형성 단계 및 상기 보호막 형성 단계가 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함한 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 단계를 각각 포함하는 The insulating film exposing step of forming the planarizing film and forming the protective film exposing the first insulating layer and the second insulating layer to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure; An insulating layer heating step of heating the first insulating layer and the second insulating layer exposed to an atmosphere of a predetermined temperature, respectively; 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 고체 촬상 소자의 제조 방법에 있어서,In the manufacturing method of a solid-state image sensor, 수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 단계와,A light receiving portion forming step of forming a plurality of light receiving portions that generate signal charges on the substrate in accordance with the light to be received; 상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 단계와,An insulating film forming step of forming an insulating film on the substrate on which the light receiving portion is formed; 상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 단계와,A signal charge transfer unit forming step of forming a signal charge transfer unit for transferring the signal charges obtained from the plurality of light receiving units; 상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 단계와,A light shielding film forming step of forming a conductive light shielding film on the signal charge transfer unit; 상기 절연막을 거쳐서 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 단계를 포함하며,A light transmitting electrode forming step of forming a light transmitting electrode made of an amorphous silicon based thin film on the plurality of light receiving parts and directly on the light blocking film via the insulating film, 상기 절연막 형성 단계는 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 단계와, 상기 도포된 절연재를 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 단계를 포함하는The insulating film forming step includes an insulating material coating step of coating an insulating material on a substrate on which the light receiving portion is formed to form the insulating film, and the coated insulating material in an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. Exposing the insulating film to be exposed; and heating the insulating material exposed to the atmosphere of the mixed gas to a predetermined temperature. 고체 촬상 소자의 제조 방법.The manufacturing method of a solid-state image sensor. 기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법에 있어서,In the manufacturing method of the thin film device for CCD provided with the some chip | tip which has the same shape pattern formed on the board | substrate, and the insulating thin film which is optically transparent at least on the surface, 상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 단계와,A film forming step of forming an insulating film to form the thin film; 상기 절연성의 막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 막노출 단계와,A film exposure step of exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure; 상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 단계와,A film heating step of heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature; 상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에 있어서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관하는 검사를 실행하는 막검사 단계와,A film inspection step of performing inspection on a predetermined condition of the heated insulating film at a predetermined inspection point in each of the plurality of chips; 상기 막검사 단계에 있어서 상기 각 칩에 있어서의 상기 검사 개소에 있어서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있을 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 단계를 포함하는And a conveyance step of conveying the thin film device to move to the next step when the insulating film satisfies the predetermined condition at the inspection point in the respective chips in the film inspection step. CCD용의 박막 디바이스의 제조 방법.The manufacturing method of the thin film device for CCD. 기판의 처리 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium on which a program for causing a computer to execute a processing method of a substrate is recorded. 상기 기판이 구비하는 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,An insulation film exposure module for exposing the insulation film provided on the substrate to a atmosphere of a mixed gas containing ammonia and hydrogen fluoride at a predetermined pressure or less; 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 포함하는And an insulation film heating module for heating the insulation film exposed to the atmosphere of the mixed gas to a predetermined temperature. 기판의 처리 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체.The recording medium which recorded the program which makes a computer execute the processing method of a board | substrate. 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method for manufacturing a solid-state imaging device, 상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과, A film thickness determining module for determining a desired film thickness of the insulating film included in the substrate of the solid-state imaging device; 상기 절연막의 형상을 측정하는 처리전 형상 측정 모듈과,A shape measuring module before processing for measuring the shape of the insulating film; 상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 모듈과,A processing condition determination module for comparing the measured shape with the determined film thickness to determine a first processing condition and a second processing condition; 상기 제 1 처리 조건에 의거해서 상기 절연막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,An insulating film exposure module for exposing the insulating film to a atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure based on the first processing condition; 상기 제 2 처리 조건에 의거해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 모듈을 포함하는And a module for heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature based on the second processing condition. 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체.The recording medium which recorded the program which makes a computer execute the manufacturing method of a solid-state image sensor. 기판에 매트릭스 형상으로 설치된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 설치된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된 것으로 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 거쳐서 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A plurality of photoelectric conversion elements provided in a matrix form on the substrate, an insulating film formed on the substrate on which the plurality of photoelectric conversion elements are installed, a signal charge transfer electrode formed adjacent to the photoelectric conversion element and composed of a switching element and a wiring; And a recording medium for recording a program for causing a computer to execute a method for manufacturing a solid-state imaging element, the light shielding film comprising an interlayer insulating film formed on the signal charge transfer electrode and a metal film formed on the signal charge transfer electrode via the interlayer insulating film. To 상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 모듈과,A metal film deposition module for forming the metal film to form the light shielding film; 상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정의 패턴의 레지스트를 형성하는 레지스트 패터닝 모듈과,A resist patterning module for forming a resist having a predetermined pattern for forming the light shielding film on the formed metal film; 상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 모듈과,A patterning module that forms the light shielding film and the holes by patterning the insulating film by dry etching to the vicinity of the metal film and the photoelectric conversion element using the resist; 상기 레지스트를 제거하는 레지스트 제거 모듈과,A resist removal module for removing the resist; 상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하 는 실리콘 질화막 성막 모듈과,A silicon nitride film forming module for forming a silicon nitride film into a recess defined by the light shielding film and the hole; 상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성하는 동시에 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 모듈과,A planarization film forming module for applying a transparent insulation material having a lower refractive index than the silicon nitride film to form a first insulation layer and simultaneously planarizing the first insulation layer to form a planarization film; 상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 모듈과,A color filter forming module for forming a color filter on the planarization film; 상기 칼라 필터상에 제 2 절연층을 형성하는 동시에 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 모듈을 포함하며,A protective film forming module for forming a protective film by forming a second insulating layer on the color filter and simultaneously thinning the second insulating layer; 상기 평탄화막 형성 모듈 및 상기 보호막 형성 모듈이 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함한 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 모듈을 각각 포함하는 The insulating film exposing module, wherein the planarization film forming module and the protective film forming module expose the first insulating layer and the second insulating layer to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure; An insulation layer heating module for heating the first insulation layer and the second insulation layer exposed to an atmosphere of a predetermined temperature, respectively; 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체.The recording medium which recorded the program which makes a computer execute the manufacturing method of a solid-state image sensor. 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method for manufacturing a solid-state imaging device, 수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 모듈과,A light receiving unit formation module for forming a plurality of light receiving units on the substrate for generating signal charges according to the light to be received; 상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 모듈과,An insulating film forming module for forming an insulating film on a substrate on which the light receiving part is formed; 상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 모듈과,A signal charge transfer unit formation module for forming a signal charge transfer unit for transferring the signal charges obtained from the plurality of light receiving units; 상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 모듈과,A light shielding film formation module for forming a conductive light shielding film on the signal charge transfer unit; 상기 절연막을 거쳐서 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 모듈을 포함하며,A light transmitting electrode forming module for forming a light transmitting electrode made of a thin film of amorphous silicon based on the plurality of light receiving parts through the insulating film and directly on the light blocking film by CVD; 상기 절연막 형성 모듈은 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 모듈과, 상기 도포된 절연재를 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 모듈을 포함하는The insulating film forming module includes an insulating material coating module for coating an insulating material on a substrate on which the light receiving portion is formed to form the insulating film, and the coated insulating material in an atmosphere of a mixed gas containing ammonia and hydrogen fluoride under a predetermined pressure. And an insulation film exposing module for exposing and an insulation material heating module for heating the insulation material exposed to the atmosphere of the mixed gas to a predetermined temperature. 고체 촬상 소자의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체.The recording medium which recorded the program which makes a computer execute the manufacturing method of a solid-state image sensor. 기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,A recording medium having recorded thereon a program for causing a computer to execute a method of manufacturing a thin film device for a CCD having a plurality of chips having the same shape pattern formed on a substrate and at least an optically transparent insulating thin film on the surface thereof. 상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 모듈과,A film forming module for forming an insulating film to form the thin film; 상기 절연성의 막을 소정의 압력 이하에 있어서 암모니아와 불화 수소를 포 함하는 혼합 기체의 분위기에 노출하는 막노출 모듈과,A film exposure module for exposing the insulating film to an atmosphere of a mixed gas containing ammonia and hydrogen fluoride below a predetermined pressure; 상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 모듈과,A membrane heating module for heating the insulating film exposed to the atmosphere of the mixed gas to a predetermined temperature; 상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에 있어서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관하는 검사를 실행하는 막검사 모듈과,A film inspection module for inspecting a predetermined condition of the heated insulating film at a predetermined inspection point in each of the plurality of chips; 상기 막검사 모듈에 있어서 상기 각 칩에 있어서의 상기 검사 개소에 있어서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있을 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 모듈을 포함하는And a conveyance module for conveying the thin film device in order to move to the next step when the insulating film satisfies the predetermined condition at the inspection point of each chip in the film inspection module. CCD용의 박막 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체.The recording medium which recorded the program which makes a computer execute the manufacturing method of the thin film device for CCD.
KR1020060015273A 2005-02-18 2006-02-16 Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium KR100924841B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00042579 2005-02-18
JP2005042579 2005-02-18
JP2005278842A JP4933763B2 (en) 2005-02-18 2005-09-26 Solid-state imaging device manufacturing method, thin-film device manufacturing method, and program
JPJP-P-2005-00278842 2005-09-26

Publications (2)

Publication Number Publication Date
KR20060022726A true KR20060022726A (en) 2006-03-10
KR100924841B1 KR100924841B1 (en) 2009-11-02

Family

ID=37100473

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060015273A KR100924841B1 (en) 2005-02-18 2006-02-16 Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium

Country Status (2)

Country Link
JP (1) JP4933763B2 (en)
KR (1) KR100924841B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100892542B1 (en) * 2006-11-01 2009-04-09 도쿄엘렉트론가부시키가이샤 Substrate processing method and substrate processing system
KR101150268B1 (en) * 2008-03-27 2012-06-12 도쿄엘렉트론가부시키가이샤 Heat treatment apparatus and treatment system
KR20130141566A (en) * 2010-11-11 2013-12-26 도쿄엘렉트론가부시키가이샤 Device for producing and method for producing semiconductor device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206605B2 (en) 2006-11-01 2012-06-26 Tokyo Electron Limited Substrate processing method and substrate processing system
KR100917814B1 (en) 2007-11-05 2009-09-18 주식회사 동부하이텍 Method for fabricating of CMOS Image sensor
JP7038564B2 (en) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 Film forming method and substrate processing equipment

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03196622A (en) * 1989-12-26 1991-08-28 Nec Corp Reactive ion etching device
JP3516459B2 (en) * 1992-10-19 2004-04-05 ソニー株式会社 Method for manufacturing solid-state imaging device
JPH06302557A (en) * 1993-04-15 1994-10-28 Nec Yamaguchi Ltd Dry-etching apparatus
JPH06310701A (en) * 1993-04-23 1994-11-04 Sony Corp Solid state image pickup element
KR960012185B1 (en) * 1994-09-26 1996-09-16 주식회사 선경인더스트리 Polyester finished fabric's making method
JPH0982933A (en) * 1995-09-12 1997-03-28 Toshiba Corp Solid state image sensing device and its manufacture
JP2001189443A (en) * 1999-12-28 2001-07-10 Sony Corp Solid-state image pickup device and method of manufacturing the same
JP2003124448A (en) * 2001-10-19 2003-04-25 Sony Corp Method of forming monitoring region for measurement of film thickness of intralayer lens in solid-state image pickup element
KR100399952B1 (en) * 2001-11-16 2003-09-29 주식회사 하이닉스반도체 Method of image sensor for reducing dark current
US6541351B1 (en) 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100892542B1 (en) * 2006-11-01 2009-04-09 도쿄엘렉트론가부시키가이샤 Substrate processing method and substrate processing system
KR101150268B1 (en) * 2008-03-27 2012-06-12 도쿄엘렉트론가부시키가이샤 Heat treatment apparatus and treatment system
KR20130141566A (en) * 2010-11-11 2013-12-26 도쿄엘렉트론가부시키가이샤 Device for producing and method for producing semiconductor device

Also Published As

Publication number Publication date
JP4933763B2 (en) 2012-05-16
KR100924841B1 (en) 2009-11-02
JP2006261633A (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7622392B2 (en) Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
KR100830736B1 (en) Substrate processing method, electronic device manufacturing method and program recording medium
US8293016B2 (en) Apparatus for efficient removal of halogen residues from etched substrates
US8241511B2 (en) Substrate processing method
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7585686B2 (en) Method and apparatus for processing a wafer
US7846845B2 (en) Integrated method for removal of halogen residues from etched substrates in a processing system
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US20050221020A1 (en) Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20080286697A1 (en) Method and apparatus for processing a wafer
KR100924841B1 (en) Substrate processing method, solid state imaging device manufacturing method, thin film device manufacturing method, and program recording medium
US20050221619A1 (en) System and method for etching a mask
US8329050B2 (en) Substrate processing method
KR100832164B1 (en) Substrate surface processing method, substrate cleaning method and medium for recording program
JP2006522480A (en) Method and apparatus for dry development of multilayer photoresist
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
KR100852520B1 (en) Electronic device manufacturing method, and program recording medium

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 11