KR20050095320A - Washing methods based on pulse laser-induced shock wave and evaporation of liquid film and apparatus thereof - Google Patents

Washing methods based on pulse laser-induced shock wave and evaporation of liquid film and apparatus thereof Download PDF

Info

Publication number
KR20050095320A
KR20050095320A KR1020040020662A KR20040020662A KR20050095320A KR 20050095320 A KR20050095320 A KR 20050095320A KR 1020040020662 A KR1020040020662 A KR 1020040020662A KR 20040020662 A KR20040020662 A KR 20040020662A KR 20050095320 A KR20050095320 A KR 20050095320A
Authority
KR
South Korea
Prior art keywords
laser
liquid film
cleaning
laser pulse
time
Prior art date
Application number
KR1020040020662A
Other languages
Korean (ko)
Other versions
KR100589673B1 (en
Inventor
김동식
임현규
장덕석
Original Assignee
학교법인 포항공과대학교
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 학교법인 포항공과대학교 filed Critical 학교법인 포항공과대학교
Priority to KR1020040020662A priority Critical patent/KR100589673B1/en
Publication of KR20050095320A publication Critical patent/KR20050095320A/en
Application granted granted Critical
Publication of KR100589673B1 publication Critical patent/KR100589673B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser

Abstract

본 발명은 세정물의 표면에 부착된 불순물을 제거하는 방법에 관한 것으로, 상기 세정물에 액막을 도포하는 단계; 하나 이상의 광학부를 통과한 레이저 펄스를 상기 세정물위의 소정 거리로 떨어진 곳에서 포커싱(focusing)하여 플라즈마를 발생시켜 충격파를 생성하는 단계; 상기 액막이 도포된 세정물 표면에 레이저를 조사(照射)하여 액막을 기화시키는 단계; 상기 충격파가 상기 불순물에 도달되는 시간과 상기 세정물 위에서 액막의 증발이 일어나는 시간을 동기화하는 단계; 그리고, 도달시간이 동기화된 증발압력과 충격파로 불순물을 제거하는 단계;를 포함해서 이루어진다.The present invention relates to a method for removing impurities adhered to a surface of a cleaning product, the method comprising: applying a liquid film to the cleaning product; Generating a plasma by focusing a laser pulse that has passed through at least one optical unit at a predetermined distance on the cleaning material to generate a plasma; Vaporizing the liquid film by irradiating a laser onto the surface of the cleaning film to which the liquid film is applied; Synchronizing a time at which the shock wave reaches the impurity and a time at which evaporation of the liquid film occurs on the cleaning material; And removing impurities by the evaporation pressure and the shock wave whose arrival time is synchronized.

Description

레이저 유기 충격파와 액막의 기화를 이용한 세정 방법 및 그 장치{Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof}Cleaning method based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus

본 발명은 불순물을 제거하는 세정 방법에 관한 것으로서, 특히 레이저 유기 충격파와 액막에서 유도되는 증발 압력을 동기화해서 불순물을 제거하는 세정 방법 및 그 장치와 관련된다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a cleaning method for removing impurities, and more particularly, to a cleaning method and apparatus for removing impurities by synchronizing a laser organic shock wave and an evaporation pressure induced in a liquid film.

세정물(洗淨物)의 표면에 붙어 있는 입자 형태의 불순물을 제거하는 방법 중 하나로 화학 방법이 있다.One method of removing impurities in the form of particles adhering to the surface of cleaning materials is a chemical method.

화학 방법의 대표적인 것은 습식 화학 공정으로써, 염산과 같은 산성 용액을 사용해서 금속 표면의 불순물을 제거하는 방식이다.A typical chemical method is a wet chemical process in which an acidic solution such as hydrochloric acid is used to remove impurities from the metal surface.

그러나, 화학 공정은 용수를 많이 필요로 하고, 세정공정에서 발생하는 폐수로 인해 환경오염을 유발시키기도 한다. 또한, 세척 용액으로 사용되는 산(예, 염산)은 제품의 신뢰성을 떨어트리고, 세척시간이 오래 걸린다는 등의 단점이 있다.However, chemical processes require a lot of water and sometimes cause environmental pollution due to wastewater generated in the cleaning process. In addition, the acid (eg, hydrochloric acid) used as the cleaning solution has disadvantages such as poor reliability of the product and a long washing time.

때문에, 현재에 들어서는 환경 친화적으로 불순물을 제거할 수 있는 메가소닉 세정(megasonic cleansing), 극저온 세정(cryogenic cleansing), 레이저 충격파 세정(laser shock cleansing) 기법에 대한 연구가 활발히 이루어지고 있다.For this reason, there are active researches on megasonic cleansing, cryogenic cleansing, and laser shock cleansing techniques that can remove impurities in an environmentally friendly manner.

메가소닉 세정은 불균일 유동으로 발생하는 유체역학적 양력 및 항력을 이용하는 세정 방식이다. 세정물의 벽면 부근에 형성된 유동 경계층의 두께를 입자 크기 이하가 되게 메가 헤르쯔(MHz) 수준의 초음파를 이용한 방식이나, 입자 크기가 나노미터(nm) 수준으로 작아지면 불순물의 부착력은 상대적으로 크게 증가한다. 따라서, 분균일 유동으로 얻어지는 제거력은 부착력에 반비례하게 감소함으로, 이 메가소닉 세정 기법은 입자의 크기가 작아질수록 초음파의 대역폭이 커지는 문제가 있다.Megasonic cleaning is a cleaning method that uses hydrodynamic lift and drag caused by uneven flow. The method uses ultrasonic waves at the megahertz level to reduce the thickness of the flow boundary layer formed near the wall of the cleaning material to the particle size, but when the particle size is reduced to the nanometer level, the adhesion of impurities increases relatively. . Therefore, the removal force obtained by the homogeneous flow decreases in inverse proportion to the adhesion force, so this megasonic cleaning technique has a problem that the bandwidth of the ultrasonic wave becomes larger as the particle size decreases.

그리고, 극저온 세정은 저온, 고압의 액체 상태로 저장된 세정 기체를 사용해서 불순물을 제거하는 방식으로, 대기중으로 분사된 기체는 압력과 온도의 열역학적 변화로 인해 드라이아이스나 에어로졸로 상태 변화를 일으키는데, 이때 발생하는 열역학적 에너지와 입자 운동량을 불순물의 제거력으로 사용한다. 그러나, 이 세정 방식은 기계적 입자 충돌로 인한 표면 손상 및 열 충격으로 인해 세정물을 손상시킬 우려가 크다.In addition, the cryogenic cleaning is a method of removing impurities using a cleaning gas stored in a liquid state of low temperature and high pressure, and the gas injected into the air causes a state change with dry ice or aerosol due to thermodynamic change of pressure and temperature. The thermodynamic energy and particle momentum generated are used to remove impurities. However, this cleaning method has a high risk of damaging the cleaning material due to surface damage and thermal shock due to mechanical particle collision.

레이저 충격파 세정(laser shock cleansing) 기법은 건조상태에서 레이저 펄스에 의한 공기의 절연파괴(breakdown)효과를 이용하여 충격파를 발생시키고, 이를 제거력으로 사용하는 방식이다.The laser shock cleansing technique generates a shock wave by using the breakdown effect of air caused by a laser pulse in a dry state, and uses it as a removal force.

레이저를 이용하는 또 하나의 세정 기법으로 액막 보조 레이저 세정 기법이 있는데, 얇은 액막이 도포된 세정물에 레이저 펄스를 직접 조사해서 불순물을 제거하는 세정 방법으로, 세정물과 불순물의 계면에서 발생한 증발 압력을 제거력으로 사용하는 방식이다.Another cleaning technique using a laser is liquid film assisted laser cleaning. A cleaning method that removes impurities by directly irradiating a laser pulse on a thin liquid film-coated cleaning product to remove evaporation pressure generated at the interface between the cleaning material and impurities. This is how you use it.

한편, 나노 수준으로 제품을 생산하는 반도체 산업 분야에서 불순물은 제품의 성능에 치명적인 영향을 미친다. 따라서, 반도체를 제작하는 공정 중 불순물을 제거하기 위한 세정 공정은 필수적인 요소 중의 하나인데, 현재까지 제안된 세정 방식들은 나노 수준의 불순물을 제거하는데 바람직하지 못하다. 예를 들어서, 메가소닉 세정은 입자 크기가 작은 불순물을 제거하기 위해서는 수 기가헤르쯔(GHz)의 초음파를 사용해야 하며, 이 경우에도 그 작업 효율에 대한 신뢰성을 기대하기가 어렵다. 또한, 극저온 세정 기법은 기계적인 충돌로 인해 세정물에 물리적인 손상을 야기시킬 우려가 크며, 또한 수십 나노 크기의 불순물을 정확히 조준하는 것 역시 힘든 문제이다. On the other hand, in the semiconductor industry that produces products at the nano level, impurities have a fatal effect on product performance. Therefore, a cleaning process for removing impurities in the process of manufacturing a semiconductor is one of the essential elements, and the cleaning methods proposed to date are not preferable for removing impurities at the nano level. For example, megasonic cleaning uses ultrasonic waves of several gigahertz (GHz) to remove impurities having a small particle size, and in this case, it is difficult to expect reliability of the work efficiency. In addition, cryogenic cleaning techniques are more likely to cause physical damage to the cleaning materials due to mechanical collisions, and it is also difficult to accurately aim at dozens of nanoscale impurities.

이에 본 발명은 상술한 문제점을 해결하고자 창안된 것으로, 본 발명의 목적은 레이저를 사용해서 레이저 유기 충격파와 액막으로 유도되는 증발 압력을 동기화하여, 이를 불순물의 제거력으로 사용하는 세정 방법 및 그 장치를 제공하는데 있다.Therefore, the present invention was devised to solve the above-mentioned problems, and an object of the present invention is to use a laser to synchronize a laser organic shock wave and an evaporation pressure induced by a liquid film, and to use the cleaning method and apparatus for removing the impurities. To provide.

상기와 같은 목적을 달성하기 위하여 본 발명의 일 태양에서 제공하는 세정 방법은 In order to achieve the above object, the cleaning method provided by one aspect of the present invention is

상기 세정물에 액막을 도포하는 단계;Applying a liquid film to the cleaning material;

하나 이상의 광학부를 통과한 레이저 펄스를 상기 세정물위의 소정 거리로 떨어진 곳에서 포커싱(focusing)하여 플라즈마를 발생시켜 충격파를 생성하는 단계;Generating a plasma by focusing a laser pulse that has passed through at least one optical unit at a predetermined distance on the cleaning material to generate a plasma;

상기 액막이 도포된 세정물 표면에 레이저를 조사(照射)하여 액막을 기화시키는 단계;Vaporizing the liquid film by irradiating a laser onto the surface of the cleaning film to which the liquid film is applied;

상기 충격파가 상기 불순물에 도달되는 시간과 상기 세정물 위에서 액막의 증발이 일어나는 시간을 동기화하는 단계; 그리고,Synchronizing a time at which the shock wave reaches the impurity and a time at which evaporation of the liquid film occurs on the cleaning material; And,

도달시간이 동기화된 증발압력과 충격파로 불순물을 제거하는 단계;를 포함한다.And removing impurities by the evaporation pressure and the shock wave whose arrival time is synchronized.

또한, 본 발명의 다른 태양에서 제공하는 세정 방법은,Moreover, the washing | cleaning method provided by another aspect of this invention is

세정물에 액막을 도포하는 단계;Applying a liquid film to the washings;

레이저로부터 방사된 레이저 펄스를 제1 및 제2 레이저 펄스로 스플릿하는 단계;Splitting the laser pulses emitted from the laser into first and second laser pulses;

하나 이상의 광학부를 통과한 제1 레이저 펄스를 상기 세정물위의 소정 거리로 떨어진 곳에서 포커싱(focusing)하여 플라즈마를 발생시켜 충격파를 생성하는 단계;Generating a shock wave by focusing a first laser pulse that has passed through at least one optical part at a predetermined distance above the cleaning material to generate a plasma;

상기 제2 레이저 펄스를 상기 액막이 도포된 세정물에 조사(照射)하여 액막을 기화시키는 단계;Vaporizing the liquid film by irradiating the second laser pulse to the cleaning product to which the liquid film is applied;

상기 충격파가 상기 불순물에 도달하는 시간과 상기 세정물 위에서 액막의 증발이 일어나는 시간을 동기화하는 단계; 그리고,Synchronizing a time at which the shock wave reaches the impurity and a time at which evaporation of the liquid film occurs on the cleaning material; And,

도달시간이 동기화된 증발압력과 충격파로 불순물을 제거하는 단계;를 포함한다.And removing impurities by the evaporation pressure and the shock wave whose arrival time is synchronized.

본 발명에서, 상기 동기화 단계는 ±0.3㎲의 오차 범위내에서 시간적으로 동기화가 이루어짐이 바람직하다.In the present invention, the synchronization step is preferably synchronized in time within an error range of ± 0.3 ±.

본 발명에서, 상기 세정물의 표면에서 액막을 형성하는 액상 물질은 물, 알코올 또는 이들의 혼합물임이 바람직하다.In the present invention, the liquid substance that forms the liquid film on the surface of the cleaning material is preferably water, alcohol or a mixture thereof.

보다 바람직하게, 상기 액막의 가열은 시간적으로 액막이 세정물에 균일하게 도포된 이후에 이루어지며, 상기 레이저로는 Nd:YAG 레이저, 엑시머 레이저, 펄스형 기체 레이저, 고출력 다이오드 레이저, 펄스형 고상 레이저, 구리 증기 레이저인 것이 바람직하다.More preferably, the liquid film is heated after the liquid film is uniformly applied to the cleaning material in time, and the laser may include Nd: YAG laser, excimer laser, pulsed gas laser, high power diode laser, pulsed solid state laser, It is preferred that it is a copper vapor laser.

또한, 본 발명에서 상술한 세정 방법을 구현하기 위해서In addition, in order to implement the cleaning method described above in the present invention

상기 세정물에 액막을 형성하기 위한 액막 형성부;A liquid film forming unit for forming a liquid film on the cleaning material;

상기 액막으로 유도되는 증발 압력을 만들기 위한 레이저 펄스를 방출하는 제1 레이저;A first laser emitting a laser pulse to create an evaporation pressure directed to the liquid film;

상기 세정물의 소정 높이에서 충격파를 생성하기 위한 레이저 펄스를 방출하는 제2 레이저;A second laser that emits a laser pulse for generating a shock wave at a predetermined height of the cleaning product;

상기 증발 압력과 상기 충격파가 동일시간에 상기 불순물에 도달하게 상기 제1 및 제2 레이저의 동작을 제어하는 펄스 발생기; 및,A pulse generator for controlling the operation of the first and second lasers such that the evaporation pressure and the shock wave reach the impurities at the same time; And,

상기 제2 레이저에서 방출된 레이저 펄스를 포커싱하는 광학부;를 포함하여 세정 장치를 구성한다.And an optical unit for focusing the laser pulses emitted from the second laser.

또한, 본 발명의 다른 태양에서 제공하는 세정 장치는,Moreover, the washing | cleaning apparatus provided in another aspect of this invention is

상기 세정물에 액막을 형성하기 위한 액막 형성부;A liquid film forming unit for forming a liquid film on the cleaning material;

레이저 펄스를 방출하는 레이저;A laser that emits a laser pulse;

상기 레이저에서 방출된 레이저 펄스를 제1 및 제2 레이저 펄스로 스플릿하는 분할기;A divider for splitting the laser pulses emitted from the laser into first and second laser pulses;

상기 제1 레이저 펄스를 세정물의 소정 높이에서 포커싱하여 레이저 유기 충격파의 생성을 유도하는 광학부; 그리고,An optical unit focusing the first laser pulse at a predetermined height of a cleaning object to induce generation of a laser induced shock wave; And,

상기 충격파가 상기 불순물에 전달되는 시간과 동일시간으로 액막의 증발 압력이 상기 불순물에 전달되게 상기 제2 레이저 펄스의 진행 시간을 조정하는 동조화부;를 포함해서 구성된다.And a synchronizing unit for adjusting the advancing time of the second laser pulse such that the evaporation pressure of the liquid film is transmitted to the impurity at the same time as the time when the shock wave is transmitted to the impurity.

이때, 상기 액막 형성부는,At this time, the liquid film forming unit,

액상 물질을 저장하는 용기;A container for storing a liquid substance;

상기 액상 물질이 용기로부터 배출되는 양을 단속하는 솔레노이드 밸브; 및,A solenoid valve for controlling an amount of the liquid substance discharged from the container; And,

상기 용기로부터 배출된 액막 형성용 증기를 상기 세정물로 안내하는 노즐;을 포함해서 구성됨이 바람직하다.And a nozzle for guiding the liquid film forming vapor discharged from the container to the cleaning material.

또한, 상기 동조화부는,In addition, the synchronization unit,

상기 레이저 펄스의 진행 경로를 변경하는 거울; 및,A mirror for changing a propagation path of the laser pulse; And,

상기 레이저 펄스가 소정의 시간차로 상기 세정물에 도달하게 시간을 조절하는 빔 조절기;를 더 포함해서 구성됨이 바람직하다.And a beam conditioner for adjusting the time for the laser pulse to reach the cleaning object by a predetermined time difference.

이하 첨부된 도면을 참조로 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 도 1은 본 발명의 제1 실시예에 따른 세정 방법을 설명하는 흐름도이고, 도 2는 도 1의 세정 방법을 구현하는 일 예의 장치이고, 도 3은 세정물의 부분 모습을 확대한 것이다. 이를 참조로 본 발명의 제1 실시예에 따른 세정 방법을 설명하면 다음과 같다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. 1 is a flowchart illustrating a cleaning method according to a first embodiment of the present invention, FIG. 2 is an example device for implementing the cleaning method of FIG. 1, and FIG. 3 is an enlarged view of a part of the cleaning material. Referring to this, the cleaning method according to the first embodiment of the present invention will be described.

본 발명의 세정 장치는, 레이저 펄스를 방출하는 2대의 레이저(11, 12)와, 상기 레이저의 동작을 제어하는 펄스 발생기(13)를 구비하며, 세정물에 액막을 도포하는 액막 형성부가 상기 펄스 발생기(13)의 제어 하에 동작하도록 구성되어 있다.The cleaning apparatus of the present invention includes two lasers (11, 12) for emitting a laser pulse, and a pulse generator (13) for controlling the operation of the laser, and the liquid film forming portion for applying a liquid film to the cleaning material is the pulse. It is configured to operate under the control of the generator 13.

상기 액막 형성부는, 액막을 제공하는 액상 물질을 저장하는 용기(14)와, 상기 용기(14)내로 압축 기체를 인입하는 솔레노이드 밸브(15)가 상기 펄스 발생기(13)의 제어 하에 동작하도록 구비된다. 그리고, 상기 용기(14)에는 상기 압축 기체에 의해서 발생한 증기를 유도해서 세정물에 액막을 도포하는 노즐(141)이 연결되어 있다.The liquid film forming unit is provided such that a container 14 for storing a liquid substance for providing a liquid film and a solenoid valve 15 for introducing compressed gas into the container 14 operate under the control of the pulse generator 13. . The container 14 is connected with a nozzle 141 which induces vapor generated by the compressed gas to apply a liquid film to the cleaning product.

바람직하게, 상기 용기(14)의 아래로는 액상 물질(16)을 소정의 온도로 가열하는 히터(17)(미도시)가 더 설치될 수 있다. 때문에, 세정물(25)에 도포된 액막이 기화되는 시간을 줄일 수 있는 장점이 있다.Preferably, a heater 17 (not shown) for heating the liquid material 16 to a predetermined temperature may be further provided below the container 14. Therefore, there is an advantage that can reduce the time for the liquid film applied to the cleaning material 25 is evaporated.

한편, 상기 세정물(25)은 마이크로 스테이지(18)에 놓이게 되는데, 상기 마이크로 스테이지(18)는 바람직한 높이에서 레이저 펄스가 포커싱되게 높이를 미세하게 조절해주는 장치이다.On the other hand, the cleaning material 25 is placed on the micro stage 18, which is a device for finely adjusting the height so that the laser pulse is focused at the desired height.

본 발명에서, 레이저(11, 12)는 Nd:YAG 레이저, 엑시머(excimer) 레이저, 펄스형 기체레이저(CO2 레이저 등), 고출력 다이오드레이저, 펄스형 고상레이저(Er:YAG 등), 구리 증기레이저(copper vapor laser) 등이 사용될 수 있으며, 상기 레이저를 통해서 방출된 레이저 펄스는 거울(19)을 통해서 경로가 수정되며, 블록 렌즈(22)로 집광된다.In the present invention, the lasers 11 and 12 are Nd: YAG lasers, excimer lasers, pulsed gas lasers (CO 2 lasers, etc.), high power diode lasers, pulsed solid state lasers (Er: YAG, etc.), copper vapor. A copper vapor laser or the like may be used, and the laser pulses emitted through the laser are modified through the mirror 19 and condensed by the block lens 22.

즉, 본 발명의 세정장치에서 제1 레이저(11)와 세정물(25) 사이에는 레이저 펄스를 바람직한 경로로 수정하는 거울(19)이 구비되어 있으며, 경로 수정된 레이저 펄스가 세정물의 액막(27)에 제공되기 전에 펄스를 균일화하는 정합부(20), 또는( 및) 펄스를 확대하는 증폭부(21)가 부가적으로 구비될 수 있다.That is, in the cleaning apparatus of the present invention, a mirror 19 is provided between the first laser 11 and the cleaning product 25 to correct the laser pulse in a desired path, and the path-modified laser pulse is applied to the liquid film 27 of the cleaning product. ) May be additionally provided with a matching unit 20 for equalizing the pulses, or an amplifier 21 for enlarging the pulses.

한편, 레이저(12)로부터 방출된 레이저 펄스를 집광하기 위해서 상기 레이저(12)와 세정물(25) 사이에는 블록 렌즈(22)가 위치하게 된다. 따라서, 상기 제2 레이저로부터 방출된 레이저 펄스는 상기 블록 렌즈를 통과하면서 집광되어 세정물 근처에 플라즈마(28)를 발생시켜, 이로부터 충격파(29)를 유도할 수 있다.On the other hand, in order to collect the laser pulses emitted from the laser 12, the block lens 22 is positioned between the laser 12 and the cleaning material 25. Accordingly, the laser pulse emitted from the second laser may be focused while passing through the block lens to generate a plasma 28 near the cleaning material, thereby inducing the shock wave 29.

한편, 도 1에서 제2 레이저(12)와 세정물(25) 사이에 단순히 블록 렌즈(22)만이 구비된 것으로 도시하고 있으나, 상술한 정합부(20), 증폭부(21)가 추가로 구성될 수 있음은 당연하다.Meanwhile, in FIG. 1, only the block lens 22 is provided between the second laser 12 and the cleaning material 25, but the matching unit 20 and the amplifier 21 are further configured. It can be natural.

이처럼 구성된 세정 장치가 본 발명의 제1 실시예에 따른 세정 방법을 구현하는 과정을 설명하면,When the cleaning device configured as described above implements the cleaning method according to the first embodiment of the present invention,

본 발명의 세정 방법은 레이저(11. 12)를 통해서 방출된 레이저 펄스를 이용해서 세정물(25)의 액막(27)을 가열해 불순물(26)과 세정물(25)의 경계면에서 액막(27)이 기화되는 것으로 발생하는 '증발 압력'과, 세정물의 소정 높이에서 공기의 절연파괴로 유도된 '충격파'(29)를 시간적으로 동기화하여 세정물의 표면에 붙어 있는 불순물을 제거하는 방식이다.In the cleaning method of the present invention, the liquid film 27 of the cleaning material 25 is heated by using the laser pulse emitted through the laser 11.12, so that the liquid film 27 at the interface between the impurity 26 and the cleaning material 25. Evaporation pressure caused by evaporation) and 'shock wave' 29 induced by breakdown of air at a predetermined height of the cleaning material are synchronized in time to remove impurities adhering to the surface of the cleaning product.

S11 단계에서, 용기에 담겨진 액상 물질(16)을 사용해서 세정물(25)에 액막(27)을 도포한다. 상기 액상 물질로는 물, 알코올 또는 이들의 혼합물이 바람직하게 사용될 수 있다. 이때, 액막(27)이 세정물(25)에 고르게 도포된 경우에 세정 효과가 더욱 좋으므로 세정물의 크기에 따라 적정한 시간동안 액막(27)을 도포하게 된다. 그리고, 액막 두께 역시 세정 효과에 영향을 주므로 이 역시 고려됨이 바람직하다.In step S11, the liquid film 27 is applied to the cleaning material 25 using the liquid material 16 contained in the container. As the liquid substance, water, alcohol or a mixture thereof may be preferably used. At this time, when the liquid film 27 is evenly applied to the cleaning material 25, the cleaning effect is better, so that the liquid film 27 is applied for a proper time depending on the size of the cleaning material. And, since the liquid film thickness also affects the cleaning effect, it is preferable to consider this too.

상기 액상 물질(16)은 용기 내에 담겨져 있다가 노즐(141)을 통해서 증기 형태로 분사되어 세정물의 표면에 액막(27)을 형성하게 된다. 이때, 액막(27)이 기화점에 도달하는 시점을 촉진하기 위해서 상기 액상 물질을 소정의 온도로 가열하는 전처리 단계를 선행할 수도 있다.The liquid material 16 is contained in the container and is sprayed in the form of vapor through the nozzle 141 to form the liquid film 27 on the surface of the cleaning material. In this case, the pretreatment step of heating the liquid substance to a predetermined temperature may be preceded in order to promote the point of time when the liquid film 27 reaches the vaporization point.

S12 단계에서, 레이저(12)를 사용해서 레이저 펄스를 방출하는데, 상기 레이저 펄스는 거울을 사용해서 바람직한 진행 경로로 경로를 수정해 줄 수 있다. 그리고, 볼록 렌즈(22)를 사용해서 상기 레이저 펄스를 세정물의 소정 높이에서 집광시켜 충격파를 유도하게 된다(S13). 따라서, 거울로 레이저 펄스의 진행 경로를 바람직한 형태로 수정한 다음에 상기 볼록 렌즈를 사용해서 레이저 펄스를 집광시키게 된다. 한편, 상기 블록 렌즈를 대신해서 곡면 거울 역시 바람직하게 사용될 수 있을 것이다. In step S12, the laser 12 is used to emit a laser pulse, which can be modified to a path along the desired path using a mirror. Then, the convex lens 22 is used to condense the laser pulse at a predetermined height of the cleaning object to induce a shock wave (S13). Thus, the convex lens is used to condense the laser pulse after modifying the path of the laser pulse to the desired shape with a mirror. Meanwhile, a curved mirror may also be preferably used instead of the block lens.

선택적으로, 레이저 펄스를 균일화하거나 확대하기 위한 부재들이 선택적으로 레이저 펄스의 진행 경로에 배열될 수도 있을 것이다. 이때, 상기 레이저 펄스가 블록 렌즈를 통해 집광되기 전에 상기 부재(20, 21)들이 위치하게 된다.Optionally, members for equalizing or enlarging the laser pulse may optionally be arranged in the path of travel of the laser pulse. In this case, the members 20 and 21 are positioned before the laser pulse is focused through the block lens.

세정물의 소정 높이에서 포커싱된 레이저 펄스는 대기의 절연파괴를 일으키고, 이로써 고온 고압의 플라즈마(28)가 생성된다. 이때, 플라즈마의 생성을 보다 촉진하기 위해서 비활성 기체를 상기 플라즈마가 생성되는 공간상에 제공할 수도 있다. 이때, 상기 비활성 기체로는 헬륨, 아르곤과 같은 것들을 사용할 수 있다.Laser pulses focused at a predetermined height of the cleaning products cause breakdown of the atmosphere, thereby creating a plasma 28 of high temperature and high pressure. In this case, an inert gas may be provided on the space in which the plasma is generated in order to further promote the generation of the plasma. At this time, helium, argon, and the like may be used as the inert gas.

또한 이때 발생하는 충격파가 상기 세정물을 향해 발생하게 된다. 이때, 상기 충격파(29)가 불순물(26)에 전달되기까지 걸리는 시간은 에너지 변환 효율을 고려함으로써 구해질 수 있다. 예를 들어, 충격파(W)가 2mm를 진행하는데 소요되는 시간은 에너지 변환 효율 65%을 참조할 때 약 0.77㎲로 계산된다(도 8참조).In addition, a shock wave generated at this time is generated toward the cleaning material. In this case, the time taken for the shock wave 29 to be delivered to the impurity 26 may be obtained by considering energy conversion efficiency. For example, the time taken for the shock wave W to proceed 2 mm is calculated to be about 0.77 kHz when referring to 65% of the energy conversion efficiency (see FIG. 8).

따라서, 다음 과정으로 상기 충격파(29)의 도달 시간을 고려하여 세정물(25)에서 액막의 기화를 발생시키게 된다.Therefore, in the next process, the vaporization of the liquid film occurs in the cleaning product 25 in consideration of the arrival time of the shock wave 29.

S14 단계에서, 상기 충격파(28)가 불순물(26)에 도착하는 시간과 동기된 시간으로 레이저(11)로부터 레이저 펄스를 발생시켜 세정물(25)의 액막(A)을 가열하게 된다. 예로써, 충격파(29)가 불순물(26)에 도달하기까지 1㎲가 걸린다면, S12 단계의 레이저 펄스 발생 후, 1㎲후에 세정물의 액막(27)에 레이저 펄스를 조사한다. In step S14, the liquid film A of the cleaning material 25 is heated by generating a laser pulse from the laser 11 at a time synchronized with the time when the shock wave 28 arrives at the impurity 26. For example, if it takes 1 ms before the shock wave 29 reaches the impurity 26, the laser pulse is irradiated to the liquid film 27 of the cleaning product after 1 ms after the generation of the laser pulse in step S12.

따라서, S12 단계에서 방출되는 레이저 펄스와 본 단계(S14)에서 방출되는 레이저 펄스는 다른 레이저로부터 방출됨이 바람직하다. 한편, 방출된 레이저 펄스는 상술한 거울 및 블록 렌즈를 통과하도록 이루어질 수 있다. 이에 부가해서 빔의 균일화 및 증폭을 위한 부재(20, 21) 역시 상기 레이저 펄스의 진행 경로 상에 부가될 수 있음은 물론이다.Therefore, the laser pulses emitted in step S12 and the laser pulses emitted in step S14 are preferably emitted from other lasers. On the other hand, the emitted laser pulse may be made to pass through the mirror and the block lens described above. In addition, the members 20 and 21 for homogenizing and amplifying the beam may also be added on the path of the laser pulse.

이로써, 세정물에 부착된 불순물에는 충격파가 전달되는 시간과 동기된 시간에 액막의 기화로 유도된 증발 압력이 전달되어 불순물이 제거된다.As a result, the impurities attached to the cleaning material are transferred to the evaporation pressure induced by the vaporization of the liquid film at a time synchronized with the time when the shock wave is transmitted to remove the impurities.

도 4는 본 발명의 제2 실시예에 따른 세정 방법의 순서를 보여주는 흐름도이고, 도 5는 이를 구현하도록 구비된 세정 장치의 일 예이다. 이를 참조로 제2 실시예의 세정 방법을 설명하면 다음과 같다.4 is a flowchart illustrating a procedure of a cleaning method according to a second embodiment of the present invention, and FIG. 5 is an example of a cleaning device equipped to implement the same. Referring to this, the cleaning method of the second embodiment is described below.

이 실시예에서 사용되는 세정 장치는 레이저 펄스를 방출하는 1대의 레이저(31)와, 레이저 펄스를 스플릿하는 분할기(32)와, 레이저 펄스의 진행 시간을 조정하는 빔 조절기(33)를 포함해서 구성된다. 물론, 상술한 액막 형성부과, 마이크로 스테이지(18)와 같은 구성들 역시 포함하나, 상술하였으므로 여기에서는 설명을 생략한다.The cleaning apparatus used in this embodiment includes one laser 31 that emits laser pulses, a divider 32 that splits the laser pulses, and a beam conditioner 33 for adjusting the advancing time of the laser pulses. do. Of course, the liquid film forming unit and the same configuration as the micro stage 18 are also included, but the description is omitted here.

상기 분할기(32)는 입사된 레이저 펄스를 제1(P1) 및 제2 레이저 펄스(P2)로 분할하는 구성이며, 통상 빛의 편광 성질을 이용해서 입사된 레이저 펄스를 분할하는데 본 발명이 이에 한정될 필요는 없다.The divider 32 is configured to divide the incident laser pulse into the first (P 1 ) and the second laser pulse (P 2 ), and the present invention divides the incident laser pulse by using the polarization property of light. There is no need to be limited to this.

한편, 상기 분할기(32)와 세정물(25) 사이에는 상기 분할기(32)를 통과한 제1 레이저 펄스(P1)를 상기 세정물(25)의 소정 높이에서 포커싱하여 레이저 유기 충격파를 유도하는 블록 렌즈(34)가 구비된다.Meanwhile, a first laser pulse P 1 passing through the divider 32 is focused at a predetermined height between the divider 32 and the cleansing material 25 to induce a laser organic shock wave. A block lens 34 is provided.

그리고, 제1 레이저 펄스 및 제2 레이저 펄스의 진행 시간을 동기하는 동조화부를 구비한다. 상기 동조화부는 적어도 상기 충격파(29)가 세정물(25)에 전달되는 시간과 같은 시간으로 상기 제2 레이저 펄스(P2)의 진행 시간을 조정하는 조절기(33)와, 제2 레이저 펄스의 진행 경로를 수정하는 거울(35)을 포함해서 이루어진다. 이때, 상기 조절기(33)는 상기 거울(35)과 분할기(32) 사이에 위치한다.And a synchronization unit for synchronizing the advancing times of the first laser pulse and the second laser pulse. The synchronization unit adjusts the progress time of the second laser pulse P 2 to at least the same time that the shock wave 29 is transmitted to the cleaning material 25, and the progress of the second laser pulse. It includes a mirror 35 to modify the path. At this time, the adjuster 33 is located between the mirror 35 and the divider 32.

한편, 상기 조절기(33)에서 진행시간이 조정된 제2 레이저 펄스(P2)는 세정물(25)에 도포된 액막(27)으로 제공되게 상기 조절기(33)와 상기 세정물(25) 사이에는 거울(35)이 더 구비되는 것이 바람직하다.On the other hand, the second laser pulse P 2 whose advancing time is adjusted in the controller 33 is provided between the controller 33 and the cleaning product 25 to be provided to the liquid film 27 applied to the cleaning product 25. It is preferable that the mirror 35 is further provided.

이처럼 구성된 세정 장치를 사용해서 본 발명의 제2 실시예에 따른 세정 방법은 다음과 같이 구현된다.The cleaning method according to the second embodiment of the present invention using the cleaning device configured as described above is implemented as follows.

액막 형성부를 사용해서 세정물이 액막으로 도포된 후에, 레이저(31)로부터 레이저 펄스를 방출시킨다(S21). 그리고, 상기 레이저 펄스를 거울을 사용해서 진행 경로를 조절하거나 직접 분할기로 입사시켜서 제1 및 제2 레이저 펄스로 스플릿(split)한다(S22). 이때, 제1 레이저 펄스는 분할기(32)를 그대로 투과하나, 제2 레이저 펄스는 분할기(32)에 반사되어 진행 경로가 수정된다.After the cleaning product is applied to the liquid film using the liquid film forming portion, the laser pulse is emitted from the laser 31 (S21). In addition, the laser pulse is split into first and second laser pulses by adjusting a propagation path using a mirror or directly entering the splitter (S22). At this time, the first laser pulse passes through the divider 32 as it is, but the second laser pulse is reflected by the divider 32 so that the traveling path is modified.

이중, 제1 레이저 펄스는 분할기(32)를 투과한 직후 세정물(25)의 소정 높이에서 볼록 렌즈(34)로 집광시켜 충격파를 유도한다(S23). 이때, 상기 제1 레이저 펄스가 볼록 렌즈(34)를 통과하기 전에 거울을 통한 레이저 펄스의 진행 경로 수정, 또는 레이저 펄스를 균일화하거나 확대하기 위한 부재(20, 21)들이 선택적으로 위치할 수도 있다.Of these, the first laser pulse is focused on the convex lens 34 at a predetermined height of the cleaning material 25 immediately after passing through the divider 32 to induce a shock wave (S23). In this case, before the first laser pulse passes through the convex lens 34, members 20 and 21 may be selectively positioned to correct the path of the laser pulse through the mirror or to uniformize or enlarge the laser pulse.

한편, 분할기(32)에서 반사된 제2 레이저 펄스는 거울(35)을 사용해서 조절기(33)에 입사되도록 진행 경로를 수정한다(S24). 이때, 상기 제2 레이저 펄스는 상기 조절기(33)를 통과하면서 진행시간이 조정된다. 즉, 상술한 바처럼 제1 레이저 펄스에 의해서 유도된 충격파(29)가 불순물에 전달되는 시간과 동기된 시간으로 제2 레이저 펄스의 시간을 조정하고, 이를 세정물(25)의 액막에 제공한다. 이때, 상기 제2 레이저 펄스의 진행 경로 상에도 상술한 레이저 펄스를 균일화하거나 확대하기 위한 부재(20, 21)들이 선택적으로 위치할 수 있다On the other hand, the second laser pulse reflected from the divider 32 modifies the traveling path to be incident on the adjuster 33 by using the mirror 35 (S24). At this time, the advancing time is adjusted while the second laser pulse passes through the controller 33. That is, as described above, the time of the second laser pulse is adjusted to the time synchronized with the time when the shock wave 29 induced by the first laser pulse is transmitted to the impurity, and is provided to the liquid film of the cleaning material 25. . In this case, the members 20 and 21 for uniformizing or enlarging the above-described laser pulse may be selectively positioned on the traveling path of the second laser pulse.

이로써, 세정물에 부착된 불순물에는 충격파가 전달되는 시간과 동일 시간에 액막의 기화로 유도된 증발 압력이 전달되어 불순물이 제거되는 효과를 얻을 수 있다.As a result, an evaporation pressure induced by vaporization of the liquid film is transmitted to the impurities attached to the cleaning material at the same time as the shock wave is delivered, thereby obtaining the effect of removing the impurities.

이하에서는 실험예를 통해서 본 발명에서 제공하는 세정 방법에 대한 세정 효과를 확인한다.Hereinafter, confirming the cleaning effect on the cleaning method provided by the present invention through an experimental example.

(실험예 1)Experimental Example 1

실험예 1은 평균 5㎛ 크기의 알루미나(Al2O3) 입자로 오염된 실리콘 웨이퍼를 시편으로 제작하였으며, 오염된 모습을 도 5의 (가)에 도시하였다. 그리고, Nd:YAG 레이저를 사용해서 입사 에너지가 140(mJ)인 레이저 펄스를 실험에 사용하였다.In Experimental Example 1, a silicon wafer contaminated with alumina (Al 2 O 3 ) particles having an average size of 5 μm was manufactured as a specimen, and the contaminated state is shown in FIG. And the laser pulse whose incident energy is 140 (mJ) was used for the experiment using the Nd: YAG laser.

실험은 종래의 세정 방식들(비교예)을 사용해서 시편에 대한 세정 작업을 실시하고, 동일 조건으로 본 발명에 따른 세정 작업을 실시한 다음, 그 결과를 비교하여 보았다.The experiment was performed by cleaning the specimens using conventional cleaning methods (comparative examples), performing cleaning operations according to the present invention under the same conditions, and then comparing the results.

먼저, 도 5의 (나)는 건식 레이저 충격파 세정 방식으로 3회 실시한 시편의 표면 상태를 보여준다. 그리고, 도 5의 (다)는 액막 보조 레이저 세정 방식(플루언스: 240mJ/cm2, 알코올:물=1:4, 온도: 85℃)으로 시편을 3회 세정한 모습이며, 도 5의 (라)는 건식 레이저 충격파 세정 방식으로 시편에 대해 3회 세정 작업을 실시한 후, 액막 보조 레이저 세정 방식으로 시편을 3회 다시 세정한 결과를 보여준다. 마지막으로, 도 5의 (마)는 본 발명에 따른 세정 방식으로 시편을 세정한 결과를 보여준다.First, Figure 5 (b) shows the surface state of the specimen three times carried out by dry laser shock wave cleaning method. 5C is a view in which the specimen is washed three times by a liquid film assisted laser cleaning method (fluence: 240 mJ / cm 2 , alcohol: water = 1: 4, temperature: 85 ° C.). D) shows the result of cleaning the specimen three times by liquid film assisted laser cleaning after performing the cleaning operation three times on the specimen by dry laser shock wave cleaning method. Finally, Figure 5 (e) shows the result of cleaning the specimen by the cleaning method according to the present invention.

실험 결과, 비교예에서는 세정 방식에 차이 없이 전체적으로 불순물들이 많이 제거되기는 하였지만, 부분적으로 시편에 잔존함을 볼 수 있다(도 5의 (나) 내지 (라) 참조). As a result, in the comparative example, although a large amount of impurities are removed as a whole without any difference in the cleaning method, it can be seen partially remaining in the specimen (see (b) to (d) of FIG. 5).

이와 비교해서, 본 발명의 세정 방식은 시편에서 불순물을 완벽하게 제거하였다(도 5의 (마) 참조). 따라서, 본 발명에 따른 세정 방법이 종래의 세정 방식에 비해 높은 불순물 제거 효율을 나타냄을 알 수 있다.In comparison, the cleaning method of the present invention completely removed impurities from the specimen (see (e) of FIG. 5). Therefore, it can be seen that the cleaning method according to the present invention exhibits higher impurity removal efficiency than the conventional cleaning method.

(실험예 2)Experimental Example 2

실험예 2는 본 발명의 충격파와 증발 압력의 발생 시간차에 따른 세정 효과를 알아보기 위한 실험이다. 실험은 실험예 1과 동일 조건 하에서, 충격파는 시편으로부터 2mm 떨어진 곳에서 발생시켰다. 이때, 충격파 발생 후 0.20(㎲), 0.40 (㎲), 0.80(㎲), 1.20(㎲), 1.60(㎲), 2.00(㎲)의 시간차를 두고서 각각 액막을 가열하였으며, 이때 시편의 표면 모습을 도 6의 (가), (나), (다), (라), (마), (바)에 각각 도시하였다.Experimental Example 2 is an experiment to determine the cleaning effect according to the time difference between the shock wave and the evaporation pressure of the present invention. The experiment was conducted under the same conditions as in Experimental Example 1, where the shock wave was 2 mm away from the specimen. At this time, the liquid film was heated with a time difference of 0.20 (㎲), 0.40 (㎲), 0.80 (㎲), 1.20 (㎲), 1.60 (㎲), and 2.00 (㎲) after the shock wave was generated. It is shown in (a), (b), (c), (d), (e) and (b) of FIG.

그 결과, (다)를 통해 알 수 있듯이 액막 가열 0.80(㎲) 전에 충격파가 생성될 때 세정 효율이 가장 높게 나왔다. 에너지 140(mJ)의 Nd:YAG 레이저에 의해 유도되는 충격파가 2mm 진행하는데 소요되는 시간은 이론적으로 0.77(㎲)로 구해진다(도 7참조). 따라서, 충격파가 도달하는 시점과 시편에서 증발이 일어나는 시점이 동일할수록, 높은 세정 효율을 보임을 알 수 있다.As a result, as can be seen from (C), the cleaning efficiency was the highest when the shock wave was generated before the liquid film heating 0.80 (㎲). The time taken for the 2 mm travel of the shock wave induced by the energy 140 (mJ) Nd: YAG laser is theoretically determined to be 0.77 (mW) (see Fig. 7). Accordingly, it can be seen that the higher the time point at which the shock wave reaches the evaporation point in the specimen, the higher the cleaning efficiency.

이상과 같이, 본 발명은 비록 한정된 실시예와 도면에 의해 설명되었으나, 본 발명은 이것에 의해 한정되지 않으며 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 본 발명의 기술사상과 아래에 기재될 특허청구범위의 균등범위 내에서 다양한 수정 및 변형이 가능함은 물론이다.As described above, although the present invention has been described by way of limited embodiments and drawings, the present invention is not limited thereto and is intended by those skilled in the art to which the present invention pertains. Of course, various modifications and variations are possible within the scope of equivalents of the claims to be described.

본 발명의 세정 방법에 따르면, 세정물의 표면에 액막으로부터 유발되는 증발 압력과, 세정물의 소정 높이에서 레이저 펄스를 집속시켜 발생한 충격파를 동기하여 이를 세정력으로 사용함으로써, 세정물에 부착된 입자 형태의 불순물을 종래 방식들보다 높은 효율로 제거할 수 있다.According to the cleaning method of the present invention, the impurity in the form of particles attached to the cleaning material by synchronizing the evaporation pressure caused by the liquid film on the surface of the cleaning material and the shock wave generated by concentrating a laser pulse at a predetermined height of the cleaning material in synchronization Can be removed with higher efficiency than conventional methods.

본 명세서에 첨부되는 다음의 도면들은 본 발명의 바람직한 실시예를 예시하는 것이며, 후술하는 발명의 상세한 설명과 함께 본 발명의 기술사상을 더욱 이해시키는 역할을 하는 것이므로, 본 발명은 그러한 도면에 기재된 사항에만 한정되어 해석되어서는 아니된다. The following drawings attached to this specification are illustrative of preferred embodiments of the present invention, and together with the detailed description of the invention to serve to further understand the technical spirit of the present invention, the present invention is a matter described in such drawings It should not be construed as limited to

도 1은 본 발명의 제1 실시예에 따른 세정 방법을 설명하는 흐름도이다.1 is a flowchart illustrating a cleaning method according to a first embodiment of the present invention.

도 2는 도 1의 제1 실시예에 따라 세정 방법을 구현하는 장치의 일 예를 도시한 블록도이다.FIG. 2 is a block diagram illustrating an example of an apparatus for implementing a cleaning method according to the first embodiment of FIG. 1.

도 3은 세정물에서 불순물이 제거되는 모습을 확대해서 보여주는 예시도이다.Figure 3 is an exemplary view showing an enlarged view of the impurities are removed from the cleaning.

도 4는 본 발명의 제2 실시예에 따른 세정 방법을 설명하는 흐름도이다.4 is a flowchart illustrating a cleaning method according to a second embodiment of the present invention.

도 5는 도 4의 제2 실시예에 따라 세정 방법을 구현하는 장치의 일 예를 도시한 블록도이다.5 is a block diagram illustrating an example of an apparatus for implementing a cleaning method according to the second embodiment of FIG. 4.

도 6는 본 발명에 따른 세정 방법과 종래의 세정 방법으로 시편을 세정하였을 때 나타나는 시편의 표면 상태를 보여주는 사진이다.Figure 6 is a photograph showing the surface state of the specimen that appears when the specimen is cleaned by the cleaning method and the conventional cleaning method according to the present invention.

도 7은 본 발명의 세정 방법으로 동기화 시간을 달리하여 시편의 세정 작업을 실시하였을 때 나타나는 시편의 표면 상태를 보여주는 사진이다.Figure 7 is a photograph showing the surface state of the specimen that appears when the cleaning operation of the specimen by varying the synchronization time in the cleaning method of the present invention.

도 8은 충격파의 진행 시간에 따른 속도를 보여주는 그래프이다.8 is a graph showing the speed according to the propagation time of the shock wave.

***도면의 주요 부분에 대한 부호 설명****** Explanation of symbols for main parts of drawings ***

11, 12, 31: 레이저 13: 펄스 발생기 14: 용기11, 12, 31: laser 13: pulse generator 14: container

15: 솔레노이드 밸브 16: 액상 물질 17: 히터15: solenoid valve 16: liquid material 17: heater

18: 마이크로 스테이지 19: 거울 22: 볼록 렌즈18: micro stage 19: mirror 22: convex lens

25: 세정물 26: 불순물 27: 액막25 cleaning material 26 impurity 27 liquid film

28: 플라즈마 29: 충격파 32: 분할기28: plasma 29: shock wave 32: divider

33: 조절기33: adjuster

Claims (16)

세정물의 표면에 부착된 불순물을 제거하는 방법으로,By removing impurities attached to the surface of the cleaning product, 상기 세정물에 액막을 도포하는 단계;Applying a liquid film to the cleaning material; 하나 이상의 광학부를 통과한 레이저 펄스를 상기 세정물위의 소정 거리로 떨어진 곳에서 포커싱(focusing)하여 플라즈마를 발생시켜 충격파를 생성하는 단계;Generating a plasma by focusing a laser pulse that has passed through at least one optical unit at a predetermined distance on the cleaning material to generate a plasma; 상기 액막이 도포된 세정물 표면에 레이저를 조사(照射)하여 액막을 기화시키는 단계;Vaporizing the liquid film by irradiating a laser onto the surface of the cleaning film to which the liquid film is applied; 상기 충격파가 상기 불순물에 도달되는 시간과 상기 세정물 위에서 액막의 증발이 일어나는 시간을 동기화하는 단계; 그리고,Synchronizing a time at which the shock wave reaches the impurity and a time at which evaporation of the liquid film occurs on the cleaning material; And, 도달시간이 동기화된 증발압력과 충격파로 불순물을 제거하는 단계;를 포함하는 것을 특징으로 하는 세정 방법.And removing impurities by the evaporation pressure and the shock wave in which the time of arrival is synchronized. 상기 세정물에 액막을 도포하는 단계;Applying a liquid film to the cleaning material; 레이저로부터 방사된 레이저 펄스를 제1 및 제2 레이저 펄스로 스플릿하는 단계;Splitting the laser pulses emitted from the laser into first and second laser pulses; 하나 이상의 광학부를 통과한 제1 레이저 펄스를 상기 세정물위의 소정 거리로 떨어진 곳에서 포커싱(focusing)하여 플라즈마를 발생시켜 충격파를 생성하는 단계;Generating a shock wave by focusing a first laser pulse that has passed through at least one optical part at a predetermined distance above the cleaning material to generate a plasma; 상기 제2 레이저 펄스를 상기 액막이 도포된 세정물에 조사(照射)하여 액막을 기화시키는 단계;Vaporizing the liquid film by irradiating the second laser pulse to the cleaning product to which the liquid film is applied; 상기 충격파가 상기 불순물에 도달하는 시간과 상기 세정물 위에서 액막의 증발이 일어나는 시간을 동기화하는 단계; 그리고,Synchronizing a time at which the shock wave reaches the impurity and a time at which evaporation of the liquid film occurs on the cleaning material; And, 도달시간이 동기화된 증발압력과 충격파로 불순물을 제거하는 단계;를 포함하는 것을 특징으로 하는 세정 방법.And removing impurities by the evaporation pressure and the shock wave in which the time of arrival is synchronized. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 동기화 단계는 ±0.3㎲의 오차 범위내에서 시간적으로 동기화가 이루어지는 것을 특징으로 하는 세정 방법The synchronizing step is a cleaning method characterized in that the synchronization in time within the error range of ± 0.3㎲ 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 세정물의 표면에서 액막을 형성하는 액상 물질은 물, 알코올 또는 이들의 혼합물인 것을 특징으로 하는 세정 방법.The liquid substance which forms a liquid film on the surface of the said washing | cleaning material is the cleaning method characterized by the above-mentioned. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 액상 물질을 소정의 온도로 가열하는 단계;를 더 포함하는 것을 특징으로 하는 세정 방법.Heating the liquid substance to a predetermined temperature. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 광학부는 상기 레이저 펄스를 포커싱하는 볼록 렌즈를 포함하는 것을 특징으로 하는 세정 방법.And said optical portion comprises a convex lens for focusing said laser pulse. 제6항에 있어서,The method of claim 6, 상기 광학부는 상기 레이저 펄스의 경로를 변경하는 거울을 더 포함하는 것을 특징으로 하는 세정 방법.The optical unit further comprises a mirror for changing the path of the laser pulse. 제6항에 있어서,The method of claim 6, 상기 광학부는 레이저 펄스를 균일화하는 정합부를 더 포함하는 것을 특징으로 하는 세정 방법.The optical unit further comprises a matching unit for equalizing the laser pulse. 제8항에 있어서,The method of claim 8, 상기 광학부는 레이저 펄스를 증폭하는 증폭부를 더 포함하는 것을 특징으로 하는 세정 방법.The optical unit further comprises amplifying unit for amplifying the laser pulse. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 액막의 가열은 시간적으로 액막이 세정물에 균일하게 도포된 후에 이루어지는 것을 특징으로 하는 세정 방법.Heating of the liquid film is performed after the liquid film is uniformly applied to the cleaning material over time. 제1항 또는 제2항에 있어서,The method according to claim 1 or 2, 상기 레이저 펄스는 Nd:YAG 레이저, 엑시머 레이저, 펄스형 기체 레이저, 고출력 다이오드 레이저, 펄스형 고상 레이저, 구리 증기 레이저 중의 어느 하나로 방출되는 것을 특징으로 하는 세정 방법.The laser pulse is a cleaning method, characterized in that emitted by any one of Nd: YAG laser, excimer laser, pulsed gas laser, high power diode laser, pulsed solid-state laser, copper vapor laser. 세정물의 표면에 부착된 불순물을 제거하는 장치로,Device that removes impurities attached to the surface of cleaning materials 상기 세정물에 액막을 형성하기 위한 액막 형성부;A liquid film forming unit for forming a liquid film on the cleaning material; 상기 액막으로 유도되는 증발 압력을 만들기 위한 레이저 펄스를 방출하는 제1 레이저;A first laser emitting a laser pulse to create an evaporation pressure directed to the liquid film; 상기 세정물의 소정 높이에서 충격파를 생성하기 위한 레이저 펄스를 방출하는 제2 레이저;A second laser that emits a laser pulse for generating a shock wave at a predetermined height of the cleaning product; 상기 증발 압력과 상기 충격파가 동일시간에 상기 불순물에 도달하게 상기 제1 및 제2 레이저의 동작을 제어하는 펄스 발생기; 및,A pulse generator for controlling the operation of the first and second lasers such that the evaporation pressure and the shock wave reach the impurities at the same time; And, 상기 제2 레이저에서 방출된 레이저 펄스를 포커싱하는 광학부;을 포함하여 구성된 것을 특징으로 하는 세정 장치.And an optical unit for focusing the laser pulse emitted from the second laser. 세정물의 표면에 부착된 불순물을 제거하는 장치로,Device that removes impurities attached to the surface of cleaning materials 상기 세정물에 액막을 형성하기 위한 액막 형성부;A liquid film forming unit for forming a liquid film on the cleaning material; 레이저 펄스를 방출하는 레이저;A laser that emits a laser pulse; 상기 레이저에서 방출된 레이저 펄스를 제1 및 제2 레이저 펄스로 스플릿하는 분할기;A divider for splitting the laser pulses emitted from the laser into first and second laser pulses; 상기 제1 레이저 펄스를 세정물의 소정 높이에서 포커싱하여 레이저 유기 충격파의 생성을 유도하는 광학부; 그리고,An optical unit focusing the first laser pulse at a predetermined height of a cleaning object to induce generation of a laser induced shock wave; And, 상기 충격파가 상기 불순물에 전달되는 시간과 동일시간으로 액막의 증발 압력이 상기 불순물에 전달되게 상기 제2 레이저 펄스의 진행 시간을 조정하는 동조화부;를 포함해서 구성된 것을 특징으로 하는 세정 장치.And a synchronizing unit for adjusting the advancing time of the second laser pulse so that the evaporation pressure of the liquid film is transmitted to the impurity at the same time as the time when the shock wave is transmitted to the impurity. 제12항 또는 제13항에 있어서,The method according to claim 12 or 13, 상기 액막 형성부는, 액상 물질을 저장하는 용기;The liquid film forming unit, a container for storing a liquid substance; 상기 액상 물질이 용기로부터 배출되는 양을 단속하는 솔레노이드 밸브; 및,A solenoid valve for controlling an amount of the liquid substance discharged from the container; And, 상기 용기로부터 배출된 액막 형성용 증기를 상기 세정물로 안내하는 노즐;을 포함해서 구성된 것을 특징으로 하는 세정 장치.And a nozzle for guiding the liquid film forming vapor discharged from the container to the cleaning material. 제13항에 있어서,The method of claim 13, 상기 동조화부는, 상기 레이저 펄스의 진행 경로를 변경하는 거울; 및,The synchronization unit may include: a mirror configured to change a propagation path of the laser pulse; And, 상기 레이저 펄스가 소정의 시간차로 상기 세정물에 도달하게 시간을 조절하는 빔 조절기;를 더 포함하는 것을 특징으로 하는 세정 장치.And a beam conditioner for adjusting the time for the laser pulse to reach the cleaning object by a predetermined time difference. 제14항에 있어서,The method of claim 14, 상기 액상 물질을 소정의 온도로 가열하는 히터;를 더 포함하는 것을 특징으로 하는 장치.And a heater for heating the liquid substance to a predetermined temperature.
KR1020040020662A 2004-03-26 2004-03-26 Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof KR100589673B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040020662A KR100589673B1 (en) 2004-03-26 2004-03-26 Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040020662A KR100589673B1 (en) 2004-03-26 2004-03-26 Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof

Publications (2)

Publication Number Publication Date
KR20050095320A true KR20050095320A (en) 2005-09-29
KR100589673B1 KR100589673B1 (en) 2006-06-19

Family

ID=37275853

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040020662A KR100589673B1 (en) 2004-03-26 2004-03-26 Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof

Country Status (1)

Country Link
KR (1) KR100589673B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100873332B1 (en) * 2007-09-19 2008-12-10 세메스 주식회사 Method and apparatus of cleaning a substrate
KR20160034092A (en) * 2014-09-19 2016-03-29 포항공과대학교 산학협력단 Sintering method of nanoparticles layer
KR20180137136A (en) * 2017-06-16 2018-12-27 세메스 주식회사 Substrate treating apparatus and substrate treating method
CN109570151A (en) * 2019-01-25 2019-04-05 中国工程物理研究院激光聚变研究中心 The device and cleaning method of liquid stream ULTRASONIC COMPLEX auxiliary laser cleaning optical element

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100873332B1 (en) * 2007-09-19 2008-12-10 세메스 주식회사 Method and apparatus of cleaning a substrate
KR20160034092A (en) * 2014-09-19 2016-03-29 포항공과대학교 산학협력단 Sintering method of nanoparticles layer
KR20180137136A (en) * 2017-06-16 2018-12-27 세메스 주식회사 Substrate treating apparatus and substrate treating method
CN109570151A (en) * 2019-01-25 2019-04-05 中国工程物理研究院激光聚变研究中心 The device and cleaning method of liquid stream ULTRASONIC COMPLEX auxiliary laser cleaning optical element
CN109570151B (en) * 2019-01-25 2023-12-22 中国工程物理研究院激光聚变研究中心 Device and method for cleaning optical element by liquid flow ultrasonic composite auxiliary laser

Also Published As

Publication number Publication date
KR100589673B1 (en) 2006-06-19

Similar Documents

Publication Publication Date Title
US20130248500A1 (en) Method of Separating Surface Layer of Semiconductor Crystal Using a Laser Beam Perpendicular to the Separating Plane
KR102217032B1 (en) Lift-off method
JP2014510398A (en) Method and apparatus for improved laser scribing of photoelectric elements
JP6609251B2 (en) Method for separating a glass sheet from a carrier
JP2005179154A (en) Method and apparatus for fracturing brittle material
JP2018523291A (en) Method for scribing semiconductor workpiece
Molian et al. Picosecond pulsed laser ablation and micromachining of 4H-SiC wafers
Mak et al. Liquid-immersion laser micromachining of GaN grown on sapphire
JP2007319888A (en) Laser beam fusion-cutting method of brittle member to be worked
JP4886620B2 (en) Laser cleaving apparatus and substrate manufacturing method
JP2010045283A (en) Contamination removal device, contamination removal method and pattern substrate manufacturing method
WO2003028943A1 (en) Method and apparatus for fine liquid spray assisted laser material processing
KR101040300B1 (en) Cleaning Apparatus Based On Pulse Laser-induced Breakdown Of Droplet Or Liquid Jet And Method
KR100589673B1 (en) Washing Methods based on pulse laser-induced shock wave and evaporation of liquid film and Apparatus thereof
US6969822B2 (en) Laser micromachining systems
Jiao et al. The effect of assist liquid on the hole taper improvement in femtosecond laser percussion drilling
JPH11207478A (en) Method and device therefor laser beam machining
Gedvilas et al. Self-organization in a chromium thin film under laser irradiation
KR101282053B1 (en) Ultrathin wafer micro-machining method and system by laser rail-roading technique
JP2682230B2 (en) Laser processing method
US20020182877A1 (en) Photo-processing of materials in the presence of reactive fluid
JP3998974B2 (en) Circuit board patterning method
JP2008042017A (en) Resist peeling and removing method capable of recovering resist and semiconductor manufacturing device using it
JP6952092B2 (en) Scrivener method for semiconductor processing objects
JPH07185875A (en) Material processing method by pulse laser

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090521

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee