KR20050093797A - Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric - Google Patents

Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric Download PDF

Info

Publication number
KR20050093797A
KR20050093797A KR1020057011804A KR20057011804A KR20050093797A KR 20050093797 A KR20050093797 A KR 20050093797A KR 1020057011804 A KR1020057011804 A KR 1020057011804A KR 20057011804 A KR20057011804 A KR 20057011804A KR 20050093797 A KR20050093797 A KR 20050093797A
Authority
KR
South Korea
Prior art keywords
layer
silicon
dielectric
low
silicon dioxide
Prior art date
Application number
KR1020057011804A
Other languages
Korean (ko)
Other versions
KR101127240B1 (en
Inventor
하르트무트 루엘케
조에르그 호하게
베르너 토마스
프랭크 마우얼스베르거
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10260619A external-priority patent/DE10260619B4/en
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20050093797A publication Critical patent/KR20050093797A/en
Application granted granted Critical
Publication of KR101127240B1 publication Critical patent/KR101127240B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

A method of forming a multi-layer stack (230) over a low-k dielectric layer (206) is disclosed, wherein the multi- layer stack (230) provides an improved anti-reflective effect and an enhanced protection of the underlying low-k dielectric material during the chemical mechanical polishing process. The multi-layer stack (230) comprises silicon dioxide based sub-layers (231, 232, 233), which may be formed in a highly efficient, non-expensive plasma enhanced deposition method, wherein the optical characteristics may be adjusted by varying a ratio of silane and nitrogen oxide during the deposition.

Description

로우-k 유전체의 상부에 반사 방지 특성을 갖는 캡층의 형성 방법{METHOD OF FORMING A CAP LAYER HAVING ANTI-REFLECTIVE CHARACTERISTICS ON TOP OF A LOW-K DIELECTRIC}METHODS OF FORMING A CAP LAYER HAVING ANTI-REFLECTIVE CHARACTERISTICS ON TOP OF A LOW-K DIELECTRIC

본 발명은 일반적으로 집적회로의 형성에 관한 것이고, 더욱 상세하게는 디바이스 성능을 향상하기 위해서 로우-k 유전율을 갖는 유전체 물질에 끼워진(embed)금속을 포함하는 금속화 층(metallization layer)의 형성에 관한 것이다. FIELD OF THE INVENTION The present invention generally relates to the formation of integrated circuits and, more particularly, to the formation of metallization layers comprising metal embedded in a dielectric material having a low-k dielectric constant to improve device performance. It is about.

현대 집적회로들에서는, 전계 효과 트랜지스터(field effect transistor)의 채널 길이와 같은 최소 피처 사이즈들이 깊은 서브-미크론(deep sub-micron) 범위에 도달해서, 속도와 전력 소모의 관점에서 이러한 회로들의 성능이 꾸준히 증가했다. 개개의 회로소자들의 사이즈가 상당히 감소하여, 예컨대 상기 트랜지스터 소자들의 스위칭 속도가 개선됨에 따라, 상기 개개의 회로 소자들을 전기적으로 연결하는 배선 라인들을 위해 이용가능한 공간이 또한 감소한다. 결국, 칩당 이용가능한 면적의 축소와 회로 소자들 개수의 증가를 보상하기 위해, 이러한 배선 라인들의 치수들은 축소되어야만 한다. 대략 0.35㎛의 최소 치수들을 갖는 집적회로들에서, 디바이스 성능의 제한 인자(factor)는 상기 트랜지스터 소자들의 스위칭 속도에 의해 야기되는 신호 전달 지연이다. 그러나, 트랜지스터 소자들의 채널 길이가 이제 0.18㎛ 이하에 도달함에 따라, 신호 전달 지연은 더이상 전계 효과 트랜지스터들에 의해 결정되지 않으며, 회로들의 증가된 패키지 밀도 때문에 배선 라인들의 근접성에 의해 제한받는 것으로 판명되었는바, 이는 라인의 단면적 감소로 인한 라인들의 전도율 감소와 공동하여 라인간 커패시턴스(capacitance)가 증가하였기 때문이다. 라인간 커패시턴스의 증가와 높은 라인 저항으로 인해 증가한 기생 RC 시간상수들은, 금속화 층들을 형성하기 위한 새로운 타입의 물질의 도입 없이는 용이하게 보상되지 않을 것이다. In modern integrated circuits, minimum feature sizes, such as the channel length of a field effect transistor, reach a deep sub-micron range, so that the performance of these circuits in terms of speed and power consumption is reduced. Steadily increased. As the size of the individual circuit elements is significantly reduced, for example as the switching speed of the transistor elements is improved, the space available for the wiring lines electrically connecting the individual circuit elements is also reduced. As a result, in order to compensate for the reduction in the area available per chip and the increase in the number of circuit elements, the dimensions of these wiring lines must be reduced. In integrated circuits with minimum dimensions of approximately 0.35 μm, the limiting factor of device performance is the signal propagation delay caused by the switching speed of the transistor elements. However, as the channel length of the transistor elements now reaches 0.18 μm or less, the signal propagation delay is no longer determined by the field effect transistors, and has been found to be limited by the proximity of the wiring lines due to the increased package density of the circuits. This is because the capacitance between lines increases with the decrease in the conductivity of the lines due to the reduction in the cross-sectional area of the lines. Increased parasitic RC time constants due to increased line-to-line capacitance and high line resistance will not readily be compensated without the introduction of a new type of material to form metallization layers.

일반적으로, 금속화 층들은 유전체 층 스택에 의해 형성되며, 상기 스택은 예컨대, 일반적인 금속으로서 알루미늄과 함께 실리콘 다이옥사이드(silicon dioxide) 및/또는 실리콘 나이트라이드(silicon nitride)를 포함한다. 알루미늄은 높은 전류 밀도에서 매우 큰 전자이동(electromigration)을 나타내기 때문에, 알루미늄은 구리(copper)로 대체되는바, 구리는 상당히 더 낮은 전기적 저항, 더 높은 열적 전도율, 및 전자이동에 대한 더 높은 고유저항을 갖는다. 금속화 금속으로서 구리를 이용함으로써 디바이스 특성들이 상당히 개선되었더라도, 0.13㎛ 이하의 피처 사이즈를 갖는 디바이스들에 대해서는, 추가로, 잘 알려지고 유명한 유전체 물질들, 실리콘 다이옥사이드(k≒4.2)와 실리콘 나이트라이드(k>5),은 배선 라인들에 의한 신호 전달 지연을 효과적으로 축소하기 위해 소위 로우-k 유전체 물질(low-k dielectric material)들로 대체되어야만 하는 것으로 판명됐다. 유명하고 잘 알려진 알루미늄/실리콘 다이옥사이드 금속화 층에서 로우-k 유전체/구리 금속화 층으로의 전이(transition)는 처리해야만 하는 다수의 문제를 야기한다.Generally, the metallization layers are formed by a dielectric layer stack, which includes, for example, silicon dioxide and / or silicon nitride together with aluminum as a common metal. Since aluminum exhibits very large electromigration at high current densities, aluminum is replaced by copper, which has significantly lower electrical resistance, higher thermal conductivity, and higher intrinsic resistance to electron transfer. Has resistance. Although device properties have been significantly improved by using copper as the metallization metal, for devices with feature sizes of 0.13 μm or less, additionally, the well-known and well-known dielectric materials, silicon dioxide (k ≒ 4.2) and silicon nitride (k> 5), has proven to be replaced by so-called low-k dielectric materials in order to effectively reduce the signal propagation delay caused by the wiring lines. The transition from the famous and well known aluminum / silicon dioxide metallization layers to low-k dielectric / copper metallization layers causes a number of problems that must be addressed.

예를 들면, 구리는 화학 기상 증착(chemical vapor deposition)과 같은 잘 확립된 증착 방법에 의해 효율적인 방식으로 많은 양이 증착될 수 없다. 또한, 구리는 이방성 식각 공정들(anisotropic etch process)에 의해 효율적으로 식각될 수 없으므로, 구리를 포함하는 금속화 층들을 형성하는데 소위 다마신 기술(damascene technique)이 이용된다. 일반적으로, 다마신 기술에서, 유전체 층이 증착되고 그 다음, 트랜치(trench)들 및 비아(vias)들로 패터닝되는바, 이 트랜치 및 비아에는 이후 전기도금(electroplating) 혹은 무전해 도금(electroless plating)과 같은 도금 방법들에 의해 구리가 채워진다. 트랜치들 및 비아들을 신뢰성 있게 채우기 위해, 일정 량의 "초과량(overfill)"이 필요하고 그리고 후속으로 상기 초과량의 구리의 제거가 필요하다. 하부 물질 층에 과도하게 영향을 줌이 없이 충분히 높은 제거율로 기판 표면에서 하나 이상의 물질들을 제거하는 것은 매우 복잡한 과제일지라도, 초과량의 구리를 제거하고 금속화 층의 표면을 추가로 평평하게 하는데, 화학적 기계적 연마(chemical mechanical polishing)(CMP)가 실용적인 공정 기술임이 판명되었다.For example, copper may not be deposited in large amounts in an efficient manner by well-established deposition methods such as chemical vapor deposition. In addition, copper cannot be efficiently etched by an anisotropic etch process, so the so-called damascene technique is used to form metallization layers comprising copper. Generally, in damascene technology, a dielectric layer is deposited and then patterned into trenches and vias, which are then electroplated or electroless plating. Copper is filled by plating methods such as). In order to reliably fill trenches and vias, a certain amount of “overfill” is required and subsequently removal of the excess copper is required. Although removing one or more materials from the substrate surface with a sufficiently high removal rate without excessively affecting the underlying material layer is a complex task, it removes excess copper and further flattens the surface of the metallization layer. Chemical mechanical polishing (CMP) has proven to be a practical process technique.

상기 상황은 로우-k 유전체 물질이 상기 유명한 실리콘 다이옥사이드 대신에 제공될 때 더욱 복잡해지는바, 이는 일반적으로 상기 로우-k 유전체 물질의 특성이 실리콘 다이옥사이드의 특성과, 특히 기계적 안정성 관점에서, 상당히 다르기 때문이다. 구리는 복수의 유전체 물질들에서 용이하게 확산하기 때문에, 상기 구리의 증착 전에 일반적으로 하나 이상의 장벽 층들(barrier layers)이 제공되며 그리고 전기적으로 절연된 배선 라인들 및 비아들을 제공하기 위해 이러한 장벽층들은 구리와 함께 제거되어야 한다. 탄탈(tantalum) 및 탄탈 나이트라이드(tantalum nitride)와 같은 일반적인 장벽 물질들은 구리보다 상당히 더 큰 경도(hardness)를 나타내므로, 각각의 공정 파라미터들(최소한 상기 CMP 공정의 마지막 단계에서)이 충분히 큰 제거율을 얻도록 선택되지만, 이에 따라 아래 놓인 연성(soft)의 로우-k 유전체 물질은 위태로워진다. 개개의 트랜치들 및 라인들 각각을 신뢰성 있게 절연하기 위해 어느 정도의 초과연마(overpolish)가 필요하기 때문에, 로우-k 유전체 층과 또한 구리의 상당량이 연마되며, 특히 제거율이 기판 표면에 따라 변할 때 특히 그러하다. 그 다음, 최종 트랜치들 및 비아들이 그 단면적의 변동으로 인한 바람직하지않은 저항 편차를 나타내므로, 공정 한계(margin)는 이에 대응하여 넓게 설정될 필요가 있다. The situation is further complicated when low-k dielectric materials are provided in place of the famous silicon dioxide, since the properties of the low-k dielectric materials are generally quite different from those of silicon dioxide, especially in terms of mechanical stability. to be. Since copper readily diffuses in a plurality of dielectric materials, one or more barrier layers are typically provided prior to the deposition of the copper and such barrier layers are provided to provide electrically insulated wiring lines and vias. Must be removed with copper. Typical barrier materials such as tantalum and tantalum nitride exhibit significantly greater hardness than copper, so that each process parameter (at least in the last step of the CMP process) has a sufficiently large removal rate. Is selected, but the underlying soft low-k dielectric material is at stake. Because some degree of overpolish is required to reliably insulate each of the individual trenches and lines, a significant amount of low-k dielectric layer and also copper is polished, especially when the removal rate varies with the substrate surface. This is especially true. Then, since the final trenches and vias exhibit undesirable resistance variations due to variations in their cross-sectional area, the process margin needs to be set broadly correspondingly.

로우-k 유전체 층을 패터닝하는 것의 또 하나의 문제는 포토리소그래피 기술(photolithography technique)에 관계 하는바, 이는 특히 다마신 기술이 로우-k 유전체 물질 위에(가능하다면 매우 반사적인 구리 영역들을 포함하는) 트랜치들 및 비아들을 정교하게 형성해야함을 필요로 하기 때문이다. 결국, ARC 층 위에 형성된 포토레지스트 층으로의 빛의 후방반사(back-reflection)를 최소화하기 위해 반사방지 코팅(anti-reflective coating)(ARC)이 로우-k 유전체 물질 위에 일반적으로 형성된다. Another problem of patterning a low-k dielectric layer relates to the photolithography technique, in particular the damascene technique on top of the low-k dielectric material (possibly including highly reflective copper regions). This is because it requires the formation of trenches and vias with precision. As a result, an anti-reflective coating (ARC) is generally formed over the low-k dielectric material to minimize back-reflection of light into the photoresist layer formed on the ARC layer.

도 1a-1c를 참조하여, 로우-k 유전체 물질을 패터닝하는 일반적인 종래 공정 기술을 지금부터 설명한다. 도 1a에서, 반도체 기판(100)은 기판(101)을 포함하는바, 상기 기판은 내부에 협소한 복수의 금속 영역들(103)과 폭넓은 금속 영역(104)이 형성된 제 1 유전 층(102)을 포함한다. 상기 기판(101)은 복수의 회로 소자들(도시되지 않음)을 포함하며, 상기 회로 소자들 일부 혹은 전부는 상기 금속 영역들(103 및 104)중 하나 이상의 것에 전기적으로 연결된다. 상기 금속 영역은 알루미늄, 구리, 탄탈, 티탄, 텅스텐과 같은 임의의 적당한 물질을 포함한다. 상기 제 1 유전체 층(102)은 임의의 적당한 절연 물질을 포함하며, 그리고 정교한 집적회로들에서 사이 제 1 유전체 층(102)은 로우-k 유전체 물질을 포함한다. 식각 중치 층(etch stop layer)(105)이 상기 제 1 유전체 층(102)과 상기 금속 영역들(103,104) 위에 형성되고, 그 다음, 실질적으로 로우-k 유전체 물질을 포함한 제 2 유전체 층이 형성되며, 제 2 유전체 층 내부에는 매우 전도성이 큰 배선 라인들 및 비아들이 형성된다. 적절한 로우-k 물질들은 수소-함유 실리콘 옥시카바이드(SiCOH), 혹은 SiLK와 같은 다른 실리콘-함유 물질들을 포함한다. 다른 적당한 로우-k 물질들은 MSQ, HSQ와 같은 것이다. 반사방지 코팅 층(anti-reflective coating layer)(107)이 상기 제 2 유전체 층(106) 위에 형성되고, 그리고 레지스트 마스크(resist mask)(108)가 상기 반사방지 코팅 층(107) 위에 형성된다. 상기 레지스트 마스크(108)는 개구부(opening)(109,110)를 포함하며, 상기 개구부의 치수들은 상기 제 2 유전체 층(106) 내부에 형성된 라인들 및 비아들의 치수들에 실질적으로 대응한다.Referring now to FIGS. 1A-1C, a general prior art process for patterning low-k dielectric materials is now described. In FIG. 1A, a semiconductor substrate 100 includes a substrate 101, which includes a first dielectric layer 102 having a plurality of narrow metal regions 103 and a wide metal region 104 formed therein. ). The substrate 101 includes a plurality of circuit elements (not shown), some or all of the circuit elements being electrically connected to one or more of the metal regions 103 and 104. The metal region includes any suitable material such as aluminum, copper, tantalum, titanium, tungsten. The first dielectric layer 102 comprises any suitable insulating material, and in sophisticated integrated circuits the first dielectric layer 102 comprises a low-k dielectric material. An etch stop layer 105 is formed over the first dielectric layer 102 and the metal regions 103, 104, and then a second dielectric layer comprising substantially low-k dielectric material is formed. Very conductive wiring lines and vias are formed inside the second dielectric layer. Suitable low-k materials include hydrogen-containing silicon oxycarbide (SiCOH), or other silicon-containing materials such as SiLK. Other suitable low-k materials are such as MSQ, HSQ. An anti-reflective coating layer 107 is formed over the second dielectric layer 106, and a resist mask 108 is formed over the antireflective coating layer 107. The resist mask 108 includes openings 109 and 110, the dimensions of which substantially correspond to the dimensions of the lines and vias formed inside the second dielectric layer 106.

도 1a에 도시된 바와 같이, 상기 반도체 구조(100)를 형성하는 일반적인 공정은 다음의 공정들을 포함한다. 상기 제 1 유전체 층(102)과 그 내부에 형성된 금속 영역들(103,104)을 구비한 상기 기판(101)이 제공된 후에, 상기 식각 중지 층(105)이, 예컨대, 화학 기상 증착에 의해 형성되며, 여기서 상기 제 1 유전체 층(102)과 상기 금속 영역들(103,104)은 하기 설명할 공정 단계들과 실질적으로 동일한 단계들을 포함한다. 일반적으로, 상기 식각 중지 층(105)은 로우-k 물질들로 형성되어 최종적으로 얻어지는 절연 층의 전반적인 특성들을 과도하게 손상시키지 않도록 한다. 적당한 물질들은 실리콘 카바이드와 니트로젠-도핑된 실리콘 카바이드이다. 덜 중요한 애플리k션들에서, 상기 식각 중지 층(105)은 실리콘 나이트라이드와 상대적으로 하이-k(high-k)를 갖는 다른 유전체 물질을 포함한다. 그 후에, 상기 제 2 유전체 층(106)은 사용되는 로우-k 물질의 타입에 따라서 진보한 증착 방법 혹은 스핀-온 기술들에 의해 형성된다. 상기 제 2 유전 층(106)을 형성하는 방법에 관계없이, 일반적으로 상기 기계적 특성들은 실리콘 다이옥사이드와 같은 종래의 유전체 물질의 특성과 상당히 다르다. 상기 로우-k 유전체 층(106)의 형성 후에, 상기 반사-방지 코팅 층(107)이 형성되며, 여기서 상기 반사-방지 코팅층의 광학 특성들은, 후속 포토리소그래피 단계 동안에 소정의 파장길이(wavelength)에 대한 후방 반사를 최소화하도록 조정된다. 예를 들면, 반사-방지 코팅층(107)은 실리콘-풍부 옥시나이트라이드(silicon-rich oxynitride)을 포함하며, 그 광학 특성들은 증착 동안에 상기 층(107)에 합체된 실리콘의 양을 제어함으로써 조정되는바, 상기 실리콘 양의 제어는 특정된 굴절률과 흡광 계수(extinction coefficient)를 달성하기 위해 상기 층(107)의 증착 동안에 전구(precursor) 가스의 특정된 비율을 제공함으로써 이루어진다. 추가로, 상기 층(107)의 두께는 아래 놓인 물질 층들과, 그리고 상기 레지스트 마스크(108)를 형성하는데 사용되는 포토레지스트에 광학 특성들이 최종적으로 매칭(match)하도록 제어된다. 반사-방지 코팅 층(107)의 적절한 적용(adaptation)은 반사성이 높은 금속 영역들(103,104) 위에 트랜치들 및 비아들을 형성할 때 특히 중요하다. 그 다음, 포토레지스트 층이 반사 방지 코팅층(107) 위에 형성되며, 상기 포토레지스트의 두께 및 조성물은 상기 포토레지스트와 아래 놓인 반사 방지 코팅층(107)을 노광하는데 사용되는 파장길이에 따라서 선택된다. 노광 후에, 그 다음, 포토레지스트는 상기 개구부(109,110)를 포함하는 레지스트 마스크(108)를 형성하기 위해 현상된다. As shown in FIG. 1A, a general process of forming the semiconductor structure 100 includes the following processes. After the substrate 101 with the first dielectric layer 102 and the metal regions 103 and 104 formed therein is provided, the etch stop layer 105 is formed, for example by chemical vapor deposition, Here, the first dielectric layer 102 and the metal regions 103 and 104 include substantially the same steps as the process steps described below. Generally, the etch stop layer 105 is formed of low-k materials so as to not unduly impair the overall properties of the resulting insulating layer. Suitable materials are silicon carbide and nitrogen-doped silicon carbide. In less critical applications, the etch stop layer 105 includes silicon nitride and other dielectric materials having a relatively high-k. Thereafter, the second dielectric layer 106 is formed by advanced deposition methods or spin-on techniques, depending on the type of low-k material used. Regardless of how the second dielectric layer 106 is formed, the mechanical properties generally vary significantly from those of conventional dielectric materials such as silicon dioxide. After formation of the low-k dielectric layer 106, the anti-reflective coating layer 107 is formed, wherein the optical properties of the anti-reflective coating layer are at a predetermined wavelength during subsequent photolithography steps. Adjusted to minimize back reflections. For example, the anti-reflective coating layer 107 includes silicon-rich oxynitride, the optical properties of which are adjusted by controlling the amount of silicon incorporated into the layer 107 during deposition. Bar control of the amount of silicon is achieved by providing a specified percentage of precursor gas during deposition of the layer 107 to achieve a specified refractive index and extinction coefficient. In addition, the thickness of the layer 107 is controlled such that optical properties finally match the underlying material layers and the photoresist used to form the resist mask 108. Proper adaptation of the anti-reflective coating layer 107 is particularly important when forming trenches and vias over highly reflective metal regions 103 and 104. A photoresist layer is then formed over the antireflective coating layer 107, the thickness and composition of the photoresist being selected according to the wavelength used to expose the photoresist and the underlying antireflective coating layer 107. After exposure, the photoresist is then developed to form a resist mask 108 comprising the openings 109, 110.

도 1b는 그 다음 제조 스테이지에서의 상기 반도체 구조(100)를 도시한다. 개구부들(113,114)이 각각의 상기 금속 영역들(103,104) 위의 식각 중지 층(105), 제 2 유전 층(106), 및 반사 방지 코팅층(107)에 형성된다. 장벽 층(111), 예를 들면 탄탈 및/또는 탄탈 나이트라이드를 포함하는,이 반사 방지 코팅층(107) 위 및 개구부들(113,114) 내부에 형성된다. 또한, 구리(112)가 상기 개구부들(113,114) 내에 채워지며, 여기서 초과량의 구리가 또한 개구부들(113,114) 외부에 제공된다. 1B then shows the semiconductor structure 100 at a fabrication stage. Openings 113 and 114 are formed in the etch stop layer 105, the second dielectric layer 106, and the anti-reflective coating layer 107 over each of the metal regions 103 and 104. A barrier layer 111, for example tantalum and / or tantalum nitride, is formed over the antireflective coating layer 107 and inside the openings 113, 114. In addition, copper 112 is filled in the openings 113 and 114, where excess copper is also provided outside the openings 113 and 114.

도 1a의 구성에서 시작하여, 상기 반사 방지 코팅층(107), 로우-k 유전체 층(106), 및 상기 식각 중지 층(105)에 개구부들(113,114)을 형성하기 위해 이방성 식각 공정이 실시된다. 상기 층들의 매우 다른 특성들 때문에, 개구부들(113,114)을 최종적으로 얻기 위해 서로 다른 식각 파라미터들이 선택된다. 특히, 식각 중지 층(105)은 상기 식각 중지 층(105) 위 및 그 내에서 식각 공정을 신뢰성 있게 중지하기 위해 상기 로우-k 유전체 층(106)보다 상당히 낮은 식각률을 나타내는바, 다른 식각 공정에 의해 개방된다. 예를 들면, 상기 영역들(103,104)의 노광된 금속 표면들을 세정하기 위한 하나 이상의 세정 단계(cleaning step)들을 수행한 후에, 상기 장벽 층(111)이 진보한 스퍼터 증착 기술(sputter deposition technique)에 의해 증착되며, 상기 층(106)의 물질과 상기 개구부(113,114)에 채워질 금속 타입에 따라서 상기 장벽 층(111)의 적절한 조성물이 선택된다. 채우는 금속으로서 구리를 구비한 실리콘-기반 층(106)에서, 탄탈/탄탈 나이트라이드의 이중층(bi-layer)이 종종 상기 장벽 층(111)으로 사용된다. 그 후에, 구리가 금속으로 사용될 때, 구리 시드 층(seed layer)이 상기 장벽 층(111) 위에 스퍼터 증착되고 그 다음, 벌크(bulk) 구리가 전기화학적 기술에 의해 증착된다. Beginning with the configuration of FIG. 1A, an anisotropic etching process is performed to form openings 113, 114 in the antireflective coating layer 107, low-k dielectric layer 106, and etch stop layer 105. Because of the very different properties of the layers, different etching parameters are selected to finally obtain the openings 113 and 114. In particular, the etch stop layer 105 exhibits significantly lower etch rates than the low-k dielectric layer 106 in order to reliably stop the etch process on and within the etch stop layer 105. Is opened by. For example, after performing one or more cleaning steps to clean the exposed metal surfaces of the regions 103 and 104, the barrier layer 111 is subjected to an advanced sputter deposition technique. The appropriate composition of the barrier layer 111 is selected depending upon the material of the layer 106 and the type of metal to be filled in the openings 113 and 114. In the silicon-based layer 106 with copper as the filling metal, a bi-layer of tantalum / tantalum nitride is often used as the barrier layer 111. Thereafter, when copper is used as the metal, a copper seed layer is sputter deposited onto the barrier layer 111 and then bulk copper is deposited by electrochemical techniques.

도 1c는 로우-k 유전체 층(106)과 상기 구리로 채워진 트랜치들(113,114)을 포함하는 완성된 금속화 층(120)을 구비한 상기 반도체 구조(100)를 도시한다. 이미 언급한 바와 같이, 도 1b에 도시된 상기 층(112)의 초과량의 구리는 CMP에 의해 제거되며, 여기서 상기 초과량의 구리를 효율적으로 제거하고 상기 구조(100)의 표면을 평평하게 하기 위해 일반적으로 복수의 공정 단계들이 수행된다. 초과량의 구리를 제거하는 동안에, 인접하는 트랜치들 서로를 전기적으로 절연하기 위해 상기 트랜치들(113,114) 외부의 장벽 층(111)이 또한 제거된다. 게다가, 금속화 층(120)의 로우-k 특성들을 과도하게 손상하지 않기 위해 상대적으로 하이-k 값을 나타내는 반사 방지 코팅층(107)이 제거된다. 상기 장벽 층(111)과 상기 반사 방지 코팅층(107)을 제거하는 동안에, 상기 층(106)의 유전체 물질과 상기 트랜치들(113,114)의 일정량의 구리가 또한 제거되며, 상기 초과연마 정도는 구조의 타입과 상기 기판 표면 위에서의 위치에 따르는바, 이는 상기 제거율은 상기 기판 직경과 같은 것에 따라 변하기 때문이다. 도 1c에서, 상기 트랜치들(113)에 상대적으로 인접한 곳에서의 제거율은 상기 분리 트랜치(114)의 인접한 기판 위치에서보다 높다. 로우-k 유전체 층(106)의 기계적 안정성이 감소하였기 때문에, 침식(121)으로 인한 상기 층 두께의 상당한 편차가 발생할 것이며, 이는 상기 트랜치들(113)의 라인 저항에서의 대응하는 편차를 종국적으로 야기한다. 이미 언급한 바와 같이, 상대적인 하이-k 값은 반사 방지 코팅층(107)이 최소로 제거된 영역들에서 기생 RC 시간상수의 실질적인 편차를 야기하기 때문에, 반사 방지 코팅층(107)을 불완전하게 제거하는 것은 바람직한 선택사항이 아니다. 1C shows the semiconductor structure 100 with a finished metallization layer 120 including a low-k dielectric layer 106 and the copper filled trenches 113, 114. As already mentioned, excess copper in the layer 112 shown in FIG. 1B is removed by CMP, where the excess copper is efficiently removed and the surface of the structure 100 is flattened. In general, a plurality of process steps are performed. During removal of excess copper, the barrier layer 111 outside of the trenches 113 and 114 is also removed to electrically insulate adjacent trenches from each other. In addition, the anti-reflective coating layer 107, which exhibits a relatively high-k value, is removed in order not to excessively compromise the low-k properties of the metallization layer 120. During removal of the barrier layer 111 and the anti-reflective coating layer 107, the dielectric material of the layer 106 and a certain amount of copper in the trenches 113, 114 are also removed, the degree of overpolishing of the structure Depending on the type and location on the substrate surface, the removal rate will vary according to the same as the substrate diameter. In FIG. 1C, the removal rate relative to the trenches 113 is relatively higher than at adjacent substrate locations of the isolation trench 114. Since the mechanical stability of the low-k dielectric layer 106 has been reduced, significant variations in the layer thickness due to erosion 121 will occur, which ultimately accounts for the corresponding deviation in the line resistance of the trenches 113. Cause. As already mentioned, incomplete removal of the antireflective coating layer 107 is necessary because the relative high-k value causes substantial variation in the parasitic RC time constant in areas where the antireflective coating layer 107 has been minimally removed. Not a desirable option.

따라서, 상기 반사방지 코팅층(107)의 형성 전에, CMP 공정 동안에 하부의 로우-k 유전체 층을 보호하는 특정 캡층(cap layer)을 제공하는 것이 제안되었다. 그러나, 추가의 캡층과 반사 방지 코팅층에 상당하는 형성은 추가의 복잡성과 비용을 증대한다. Accordingly, it has been proposed to provide a specific cap layer that protects the underlying low-k dielectric layer during the CMP process prior to the formation of the antireflective coating layer 107. However, the formation of additional cap layers and antireflective coating layers adds additional complexity and cost.

따라서, 상기 정의된 문제들의 관점에서, 로우-k 유전체 물질 층을 패터닝하는 개선된 기술에 대한 요구가 존재한다. Thus, in view of the problems defined above, there is a need for an improved technique for patterning a low-k dielectric material layer.

본 발명은 첨부된 도면과 함께 하기 설명을 참조하여 이해할 수 있고, 유사한 참조 번호들은 유사한 소자들을 나타내며, 도면은 다음과 같다:BRIEF DESCRIPTION OF THE DRAWINGS The present invention may be understood with reference to the following description in conjunction with the accompanying drawings, wherein like reference numerals refer to like elements, and the drawings are as follows:

도 1a-1c는 종래 공정 흐름에 따라 패터닝되는 로우-k 유전체 층을 포함하는 반도체 구조의 단면을 도시한다;1A-1C show cross sections of a semiconductor structure including a low-k dielectric layer patterned according to a conventional process flow;

도 2a-2c는 본 발명의 예시적인 실시예들에 따른 로우-k 유전체 물질을 포함하는 유전체 층의 패터닝 동안의 단면을 도시한다; 그리고2A-2C illustrate cross sections during patterning of a dielectric layer comprising a low-k dielectric material in accordance with exemplary embodiments of the present invention; And

도 3은 도 2a-2c에 도시된 바와 같은 다중-캡층을 형성하는데 적당한 플라즈마 화학적 기상 증착(PECVD)을 위한 증착 툴을 도시한다. 3 illustrates a deposition tool for plasma chemical vapor deposition (PECVD) suitable for forming a multi-cap layer as shown in FIGS. 2A-2C.

본 발명은 다양한 수정들 및 대체 형상들이 가능하지만, 이들의 특정 실시예들이 도면에서 예시로서 도시되고 본 명세서에서 상세하게 설명될 것이다. 그러나 이들에 대한 도면들 및 상세한 설명은 본 발명을 개시된 특정 형태로 제한하기 위함이 아니고, 첨부된 청구항들에 의해 정의된 본 발명의 정신 및 범위 내에 있는 모든 수정물, 균등물 및 대체물들을 포함하도록 의도된다.While the invention is susceptible to various modifications and alternative shapes, specific embodiments thereof are shown by way of example in the drawings and will be described in detail herein. The drawings and detailed description, however, are not intended to limit the invention to the particular forms disclosed, but to include all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims. It is intended.

본 발명은 일반적으로 캡층을 형성하는 방법에 관한 것이며, 상기 캡층은 화학적 기계적 연마 동안에 로우-k 유전체 층을 보호하고, 그리고 추가로 상기 증착 공정에 복잡성을 과도하게 증대함이 없이 단일 증착 챔버(chamber)에서 상기 캡층의 광학 특성들을 조정할 수 있다. FIELD OF THE INVENTION The present invention generally relates to a method of forming a cap layer, which protects the low-k dielectric layer during chemical mechanical polishing, and further adds to a single deposition chamber without excessively increasing the complexity of the deposition process. ), Optical properties of the cap layer can be adjusted.

본 발명의 예시적인 실시예에 따르면, 방법은 로우-k 유전체 물질을 포함한 유전체 층 위에 다중-층 스택(multi-layer stack)을 형성하는 것을 포함하며, 이는 상기 로우-k 유전체 층 위에 실리콘 다이옥사이드 층을 형성함으로써 이루어진다. 게다가, 실리콘-풍부 옥시나이트라이드 층이 실리콘 다이옥사이드 층의 형성 동안에 형성되어, 상기 다중-층 스택의 적어도 하나의 광학 특성을 조정함으로써 상기 로우-k 유전체 층으로부터의 후방 반사를 줄인다. According to an exemplary embodiment of the invention, the method includes forming a multi-layer stack over a dielectric layer comprising a low-k dielectric material, which is a silicon dioxide layer over the low-k dielectric layer. It is made by forming. In addition, a silicon-rich oxynitride layer is formed during the formation of the silicon dioxide layer to reduce back reflection from the low-k dielectric layer by adjusting at least one optical property of the multi-layer stack.

본 발명의 다른 예시적인 실시예에서, 로우-k 유전체 물질에 금속 영역을 형성하는 방법은 로우-k 유전체 물질을 포함하는 층 위에 플라즈마 대기에서 실리콘 다이옥사이드 기반 다중-층을 증착하는 것을 포함한다. 오목한 부분(recessed portion)이 포토리소그래피에 의해 형성되며, 여기서 다중-층은 후방 반사를 줄인다. 그 다음, 상기 오목한 부분은 금속으로 채워진다. 결국, 초과량의 금속과 상기 다중-층의 일부는 화학적 기계적 연마에 의해 제거된다. In another exemplary embodiment of the present invention, a method of forming a metal region in a low-k dielectric material includes depositing a silicon dioxide based multi-layer in a plasma atmosphere over a layer comprising the low-k dielectric material. A recessed portion is formed by photolithography, where the multi-layer reduces back reflections. The recess is then filled with metal. As a result, excess metal and part of the multi-layer are removed by chemical mechanical polishing.

본 발명의 예시적인 실시예들이 하기에 설명된다. 명확성을 위해 본 명세서는 실제 구현의 모든 특징들을 모두 설명하지 않는다. 이러한 모든 실제 실시예의 전개시, 예를 들어 시스템 관련 제약 및 사업 관련 제약을 따르는 것과 같이, 전개자의 특정한 목표들을 달성하기 위해서는, 구현마다 특정한 다양한 결정들이 이루어져야 하는바, 이는 구현마다 달라질 것이다. 또한, 주목할 사항으로서, 이러한 개발 노력은 복잡하고 시간 소모적이지만, 그럼에도 불구하고 본원의 개시의 이득을 갖는 당업자들에게는 일상적인 작업이다. Exemplary embodiments of the invention are described below. For clarity, this specification does not describe all the features of an actual implementation. In the deployment of all these practical embodiments, in order to achieve the deployment's specific goals, such as following system related and business related constraints, various implementation specific decisions must be made, which will vary from implementation to implementation. It should also be noted that this development effort is complex and time consuming, but nevertheless is a routine task for those skilled in the art having the benefit of the present disclosure.

이제 첨부 도면들을 참조하여 본 발명에 대해 설명한다. 도면들에서는 반도체 디바이스의 다양한 구조들 및 영역들이 매우 정확하고 뚜렷한 구성들 및 프로파일들을 갖는 것으로 도시되어 있지만, 당업자라면 실제로 이러한 영역들 및 구조들이 도면들에 나타낸 것처럼 정확하지 않을 수 있다는 것을 알 수 있을 것이다. 또한, 도면들에 도시된 다양한 피쳐들 및 영역들의 상대적인 크기는 제조되는 디바이스들 상의 이러한 피쳐들 또는 영역들 크기와 비교하여 과장되거나 축소될 수 있다. 그럼에도 불구하고, 첨부 도면들은 본 발명의 예들을 묘사하고 설명하기 위해 포함된 것이다. 본원에서 이용되는 단어들 및 구들은 관련 분야의 당업자가 이러한 단어들 및 구들을 이해하는 것과 일관된 의미를 갖는 것으로 이해 및 해석되어야 한다. 본원에서의 일관된 용어 또는 구의 사용에, 이러한 용어 또는 구에 대한 특별한 정의, 즉 당업자에 의해 이해되는 일상적이고 통상적인 의미와 다른 어떠한 특별한 정의가 함축되도록 의도되지 아니한다. 어떠한 용어 또는 구가 특별한 의미, 즉 당업자에 의해 이해되는 것 이외의 의미를 갖는 것으로 의도되는 정도까지, 이러한 특별한 정의는 용어 또는 구에 대한 특별한 정의를 직접적이고 명백하게 제공하는 한정 방식으로 명세서에서 명확히 설명될 것이다. The present invention will now be described with reference to the accompanying drawings. Although the various structures and regions of the semiconductor device are shown in the figures as having very accurate and distinct configurations and profiles, those skilled in the art will recognize that such regions and structures may not be as accurate as shown in the figures. will be. In addition, the relative size of the various features and regions shown in the figures may be exaggerated or reduced compared to the size of these features or regions on the devices being manufactured. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present invention. The words and phrases used herein are to be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. The use of consistent terms or phrases herein is not intended to be construed as to include particular definitions of such terms or phrases, that is, any special definitions that differ from the ordinary and ordinary meanings understood by those skilled in the art. To the extent that any term or phrase is intended to have a special meaning, ie, meanings other than those understood by those skilled in the art, such particular definitions are clearly set forth in the specification in a limiting manner that directly and explicitly provides a particular definition for the term or phrase. Will be.

도 2a-2c 및 도 3을 참조하여, 본 발명의 예시적인 실시예들을 설명한다. 도 2a에서, 반도체 구조(200)는 기판(201)을 포함하며, 상기 기판은, 예컨대 실리콘 다이옥사이드, 실리콘 나이트라이드 등의 표준 물질과 같은 유전체 물질, 혹은 로우-k 유전체 물질을 포함한다. 상기 유전체 층(202)은 그 위에 트랜치 혹은 비아가 형성될 금속 영역(203)을 포함한다. 도 1a-1c를 참조하여 이미 언급한 바와 같이, 상기 기판(201)은 복수의 회로 소자들을 포함하며, 이들 중 하나 이상의 것은 상기 금속 영역(203)에 전기적으로 연결된다. 식각 중지 층(205)이 상기 유전체 층(202)과 상기 금속 영역(203) 위에 형성되며, 여기서 상기 식각 중지 층(205)은 로우-k 유전체 물질을 실질적으로 포함하는 위에 놓인 유전체 층(206)에 비해서 높은 식각 선택비(selectivity)를 갖는 임의의 적절한 물질을 포함한다. 상기 유전체 층(206)을 위한 적당한 물질들은 수소-함유 실리콘 옥시카바이드(SiCOH), 다공성 SiCOH, SiLK, 다공성 SiLK, HSQ, MSQ와 같은 것을 포함한다. 다중-층 스택(230)이 상기 유전체 층(206) 위에 형성되며, 일실시예에서, 상기 다중-층 스택(230)은 실질적으로 실리콘 다이옥사이드를 포함한 제 1 층(231), 실리콘-풍부 옥시나이트라이드로 실질적으로 포함한 제 2 층(232), 그리고 상당히 감소된 니트로젠 원자들의 양을 갖는 보호 층(protection layer)(233)을 포함한다. 상기 다중-층 스택(230)은 또한 실리콘 다이옥사이드 기반 층으로도 불리는바, 이는 상기 다중-층 스택에 존재하는 상기 실리콘 다이옥사이드와 하기 설명할 형성 시퀀스 때문이다. 2A-2C and 3, exemplary embodiments of the present invention will be described. In FIG. 2A, semiconductor structure 200 includes a substrate 201, which includes, for example, a dielectric material, such as a standard material such as silicon dioxide, silicon nitride, or a low-k dielectric material. The dielectric layer 202 includes a metal region 203 on which trenches or vias are to be formed. As already mentioned with reference to FIGS. 1A-1C, the substrate 201 includes a plurality of circuit elements, one or more of which are electrically connected to the metal region 203. An etch stop layer 205 is formed over the dielectric layer 202 and the metal region 203, where the etch stop layer 205 overlies the dielectric layer 206 substantially comprising a low-k dielectric material. Any suitable material having a high etch selectivity relative to it. Suitable materials for the dielectric layer 206 include hydrogen-containing silicon oxycarbide (SiCOH), porous SiCOH, SiLK, porous SiLK, HSQ, MSQ. A multi-layer stack 230 is formed over the dielectric layer 206, and in one embodiment, the multi-layer stack 230 is substantially the first layer 231, silicon-rich oxynitite, including silicon dioxide. A second layer 232 substantially included in the ride, and a protection layer 233 having a significantly reduced amount of nitrogen atoms. The multi-layer stack 230 is also referred to as a silicon dioxide based layer because of the silicon dioxide present in the multi-layer stack and the formation sequence described below.

상기 다중-층 스택(230)의 상기 제 1, 제 2, 및 보호 층들(231,232, 및 233) 각각은 두께들(234,235, 및 236)을 갖는다. 상기 다중-층 스택(230)의 광학 특성들은 상기 개개의 층들 각각의 두께와 조성물에 의해 결정된다. 특히, 상기 제 2 층(232)의 굴절율과 흡광계수와 같은 광학 특성들은, 그 내부에 함유된 실리콘과 니트로젠의 양을 대응하게 선택함으로써 조정될 수 있다. 내부에 개구부(210)를 가진 포토레지스트 마스크(208)가 상기 다중-층 스택(230) 위에 형성된다. 상기 개구부(210)의 치수들은 상기 로우-k 유전체 층(206)에 형성될 트랜치 혹은 비아의 치수들에 실질적으로 대응한다. Each of the first, second, and protective layers 231, 232, and 233 of the multi-layer stack 230 has thicknesses 234, 235, and 236. The optical properties of the multi-layer stack 230 are determined by the thickness and composition of each of the individual layers. In particular, optical properties such as refractive index and extinction coefficient of the second layer 232 can be adjusted by correspondingly selecting the amounts of silicon and nitrogen contained therein. A photoresist mask 208 having an opening 210 therein is formed over the multi-layer stack 230. The dimensions of the opening 210 substantially correspond to the dimensions of the trench or via to be formed in the low-k dielectric layer 206.

도 2a 뿐 아니라 도 3을 참조하여, 예시적인 실시예들에 따라 상기 반도체 구조(200)를 형성하는 공정 흐름을 지금부터 설명한다. 상기 유전체 층(202)과 상기 금속 영역(203)이 유명하고 잘 알려진 공정 기술들-고려중인 금속화 층의 타입에 따름-에 따라 형성된다. 만약, 예컨대, 상기 유전체 층(202)과 상기 금속 영역(203)이 트랜지스터와 같은 하부 회로 소자들에 대해 컨택 부분들을 나타낸다면, 상기 형성 시퀀스는 상기 층(202)과 상기 금속 영역(203)을 얻기 위해 실리콘 다이옥사이드와 컨택 금속으로서 텅스텐을 증착하는 것과 같은 공정 단계들을 포함한다. 만약 상기 유전체 층(202)이 로우-k 유전체 층을 나타내어야 한다면, 상기 대응하는 공정 단계들은, 상기 유전체 층(206)을 형성하고 패터닝하는 단계를 언급할 때 하기에서 설명할 공정들과 유사한 공정들을 포함할 것이다. 그 다음, 상기 식각 중지 층(205)이, 예컨대, 적당한 전구 가스들로부터 플라즈마 화학 기상 증착(PECVD)에 의해 증착된다. Referring now to FIG. 2A as well as to FIG. 3, a process flow for forming the semiconductor structure 200 in accordance with example embodiments will now be described. The dielectric layer 202 and the metal region 203 are formed according to well-known and well known process technologies-depending on the type of metallization layer under consideration. For example, if the dielectric layer 202 and the metal region 203 represent contact portions for underlying circuit elements, such as transistors, the formation sequence may cause the layer 202 and the metal region 203 to become in contact with each other. Process steps such as depositing tungsten as contact metal and silicon dioxide to obtain. If the dielectric layer 202 should represent a low-k dielectric layer, the corresponding process steps may be similar to the processes described below when referring to the step of forming and patterning the dielectric layer 206. Will include them. The etch stop layer 205 is then deposited by, for example, plasma chemical vapor deposition (PECVD) from suitable precursor gases.

도 3은 단순화된 방식으로 PECVD 툴(300)을 도시한다. 상기 증착 툴(300)은 RF 발생기와 같은 전력소스에 연결된 플라즈마 여기 수단(plasma excitation means)(302)을 구비하는 공정 챔버(chamber)(301)를 포함한다. 전구 가스의 소스(304)는 제어가능한 밸브 조립체(305)를 통해 상기 공정 챔버(301)에 연결된다. 출구(306)가 상기 공정 챔버(301)로부터의 가스들과 부산물을 제거하고 그리고 상기 챔버(301) 내부에 필요한 압력을 유지하기 위해 구성된 적당한 수단(도시되지 않음)에 연결된다. 게다가, 상기 증착 툴(300)은 도 2a에 도시된 상기 기판(201)을 받아 홀드(hold)하도록 구성된 기판 홀더(307)를 포함한다. 상기 기판 홀더(307)는 상기 기판(201)의 온도를 특정 범위로 유지하기 위해 제어가능한 전열기(308)를 포함한다. 3 shows a PECVD tool 300 in a simplified manner. The deposition tool 300 includes a process chamber 301 having plasma excitation means 302 connected to a power source such as an RF generator. A source 304 of precursor gas is connected to the process chamber 301 through a controllable valve assembly 305. An outlet 306 is connected to suitable means (not shown) configured to remove gases and by-products from the process chamber 301 and to maintain the required pressure inside the chamber 301. In addition, the deposition tool 300 includes a substrate holder 307 configured to receive and hold the substrate 201 shown in FIG. 2A. The substrate holder 307 includes a controllable heater 308 to maintain the temperature of the substrate 201 in a specific range.

상기 기판 홀더(307) 위에 상기 기판(201)을 설치한 후에, 상기 RF 발생기(303)를 활성화하고 전구 및 캐리어(carrier) 가스들을 상기 챔버(301)에 주입함으로써 플라즈마 대기가 상기 공정 챔버(301) 내부에 형성된다. 만약 상기 식각 중지 층(205)이 실질적으로 실리콘 카바이드 및/또는 질화된 실리콘 카바이드 층을 포함한다면, 3MS(에디실란 삼합체(trimethysilane))와 암모니아와 같은 각각의 전구 가스들이 공급될 것이다. After installing the substrate 201 on the substrate holder 307, the plasma atmosphere is activated by activating the RF generator 303 and injecting bulb and carrier gases into the chamber 301. ) Is formed inside. If the etch stop layer 205 comprises substantially silicon carbide and / or nitrided silicon carbide layers, respective precursor gases such as 3MS (edisilane trimersilane) and ammonia will be supplied.

그 다음, 상기 로우-k 유전체 층(206)이 적당한 전구 가스들로부터, 예컨대 PECVD에 의해, 도 3에 도시된 것과 같은 증착 툴을 사용하여 형성된다. 예를 들면, 실리콘-기반 로우-k 유전체 물질들은 잘 알려진 공정 방법에 따라 3MS로부터 증착된다. 다른 실시예들에서, 상기 유전체 층(206)은 스핀-온(spin-on) 기술들에 의해 형성되어, 예를 들면, MSQ 혹은 HSQ(수소 시스퀴옥세인(sisquioxane)) 층을 형성한다. 본 발명은 상기 로우-k 물질 타입에 제한되지 않고, 상기 층(206)이 제조되는 방식에 관계없이, 모든 로우-k 물질 타입에 사용될 수 있음을 인식해야 한다. 그 다음, 상기 기판(201)을 상기 툴(300)과 같은 증착 툴에 위치시키거나, 혹은 상기 로우-k 유전체 층(206)이 PECVD에 의해 증착될 때 상기 공정 챔버(301)에 유지된다. 특정 실시예에서, 실질적으로 실리콘 다이옥사이드를 포함한 상기 제 1 층(231)은 시레인(silane) 및 니트로젠 옥사이드(N2O)로부터 형성된다. 상기 실리콘 다이옥사이드의 증착 동안에, 상기 챔버(301) 내부의 압력은 대략 1/45 :1/55의 범위의 시레인 : 니트로젠 옥사이드 비율을 가지고 대략 2-4 토르(torr)의 범위로 유지된다. 따라서, 상기 니트로젠 옥사이드의 유속은 대략 3500-4500sccm으로 조정되고 그리고 시레인의 유속은 대략 60-100sccm으로 조정될 수 있다. 플라즈마 여기 수단(302)에 공급된 상기 RF 전력은 대략 150-450 Watt의 범위 내로 유지되고, 여기서 상기 기판(201)의 온도는 대략 350-450℃의 범위로 유지된다. 상기 특정된 파라미터 범위를 가지고, 이하에서 저 증착률 공정(low deposition rate process)으로 또한 불리는 대략 2.5-4 nm/초의 증착률이 얻어진다. 상기 증착률은, 예컨대 한번 이상의 시험 수행을 통해, 이미 충분히 정확하게 알고 있기 때문에, 상기 층(231)의 두께(234)는 증착 시간을 조정함으로써 제어될 수 있다. 다른 실시예들에서, 상기 두께(234)는 적절한 측정 툴(도시되지 않음)을 가지고 실시되는 인사이츄(in situ) 측정에 의해 제어되는바, 상기 측정 툴은 상기 공정 챔버(301)에 광학적으로 연결된 타원재개(ellipsometer)와 같은 것이다.The low-k dielectric layer 206 is then formed from suitable precursor gases, such as by PECVD, using a deposition tool as shown in FIG. 3. For example, silicon-based low-k dielectric materials are deposited from 3MS according to well known process methods. In other embodiments, the dielectric layer 206 is formed by spin-on techniques to form an MSQ or HSQ (hydrogen cisquioxane) layer, for example. It is to be appreciated that the present invention is not limited to the low-k material type and can be used for all low-k material types, regardless of how the layer 206 is manufactured. The substrate 201 is then placed in a deposition tool, such as the tool 300, or maintained in the process chamber 301 when the low-k dielectric layer 206 is deposited by PECVD. In a particular embodiment, the first layer 231 comprising substantially silicon dioxide is formed from silane and nitrogen oxide (N 2 O). During the deposition of the silicon dioxide, the pressure inside the chamber 301 is maintained in the range of approximately 2-4 torr with a silane: nitrogen oxide ratio in the range of approximately 1/45: 1/55. Thus, the flow rate of the nitrogen oxide can be adjusted to approximately 3500-4500 sccm and the flow rate of silane can be adjusted to approximately 60-100 sccm. The RF power supplied to the plasma excitation means 302 is maintained in the range of approximately 150-450 Watts, where the temperature of the substrate 201 is maintained in the range of approximately 350-450 ° C. With the parameter range specified above, a deposition rate of approximately 2.5-4 nm / sec, also referred to as a low deposition rate process below, is obtained. Since the deposition rate is already sufficiently accurate, for example through one or more test runs, the thickness 234 of the layer 231 can be controlled by adjusting the deposition time. In other embodiments, the thickness 234 is controlled by an in situ measurement performed with a suitable measuring tool (not shown), the measuring tool being optically coupled to the process chamber 301. It's like a connected ellipsometer.

또 다른 예시적인 실시예에서, 고 증착률 공정(high deposition rate process)으로 불리는 높은 증착률이 아래 공정 파라미터들에 의해 얻어진다. 상기 시레인 유속은 대략 100-400sccm을 조정되고, 상기 시레인 니트로젠 옥사이드(N2O) 비율은 대략 1/10 대 1/20의 범위에 존재하며, 나머지 파라미터들은 저 증착률 공정에서 상기 특정된 값들로 조정된다. 이러한 파라미터 설정에서, 증착률은 대략 10-30nm/초로 얻어진다.In another exemplary embodiment, a high deposition rate, called a high deposition rate process, is obtained by the following process parameters. The silane flow rate is adjusted approximately 100-400 sccm and the silane nitrogen oxide (N 2 O) ratio is in the range of approximately 1/10 to 1/20, with the remaining parameters being specified for the low deposition rate process. The adjusted values are adjusted. In this parameter setting, the deposition rate is obtained at approximately 10-30 nm / second.

상기 제 2 층(232)의 형성 전에, 상기 이전의 증착 공정의 잔존 가스와 부산물들을 제거하기 위해 펌프(pump) 단계가 실시된다. 그러므로, 대략 7000-9000sccm의 유속을 갖는 캐리어 가스로 니트로젠을 공급하는 동안, 상기 압력은 대략 4-8토르의 범위로 조정된다. 게다가, 시레인/니트로젠 옥사이드 비율은 대략 2-3으로 증가하고, 여기서 시레인에 대한 일반적인 유속은 400-600sccm의 범위에 존재하고, 니트로젠 옥사이드의 유속은 이에 대응하여 조정된다. 대략 300-600Watt 범위의 RF 전력과 상기 전술한 증착 단계에서와 실질적으로 동일한 기판 온도를 유지하여, 대략 8-12nm/초의 증착률이 달성된다. 이미 언급한 바와 같이, 상기 개개의 층들 두께를 각각 조정하고 그리고 특히 상기 제 2 층(232)에서의 실리콘 및 니트로젠의 양을 변경함으로써, 상기 다중-층 스택(230)의 광학 특성들이 조정된다. 상기 특정된 범위의 시레인 : 니트로젠 옥사이드(N2O)에서, 248nm의 노광 파장길이에 대해, 상기 제 2 층(232)의 굴절률은 2.20-2.60으로 조정되고 그리고 흡광계수는 대략 0.80-0.90으로 조정된다. 이에 반대로, 실질적으로 실리콘 다이옥사이드를 포함한 상기 제 1 층(231)은, 673nm에서 매우 작은 편차를 갖는 대략 1.40-1.47의 범위의 굴절률을 구비한 상대적으로 균질한 광학 특성을 나타낸다. 따라서, 후속으로 수행되는 CMP 공정의 요구에 따라 선택되는 상기 제 1 층(231)의 필요한 두께에 대해서, 상기 다중-층 스택(230)의 반사방지 특성들은 그러면 상기 제 2 층(232)의 광학 특성들 및/또는 두께를 제어함으로써 적당하게 조정될 수 있다. 일부 예시적인 실시예들에서, 상기 제 1 층(231)의 두께(234)는 대략 20-120nm의 범위 내로 조정되고, 상기 제 1 층(231)의 두께(234)는 대략 20-120nm의 범위 내로 조정되는바, 여기서 대략 20-50nm 범위에 대해서는 상기 저 증착률 공정이 사용되고 그리고 대략 50-120nm의 범위에 대해서는 상기 고 증착률 공정이 사용되며, 반면에 상기 제 2 층(232)의 두께(235)는 대략 30-90nm의 범위로 조정된다.Prior to formation of the second layer 232, a pump step is performed to remove residual gases and byproducts of the previous deposition process. Therefore, while supplying nitrogen with a carrier gas having a flow rate of approximately 7000-9000 sccm, the pressure is adjusted in the range of approximately 4-8 Torr. In addition, the silane / nitrogen oxide ratio increases to approximately 2-3, where the general flow rate for silane is in the range of 400-600 sccm, and the flow rate of nitrogen oxide is adjusted accordingly. Deposition rates of approximately 8-12 nm / sec are achieved by maintaining RF power in the range of approximately 300-600 Watts and substrate temperature substantially the same as in the foregoing deposition step. As already mentioned, the optical properties of the multi-layer stack 230 are adjusted by adjusting the thicknesses of the individual layers respectively and in particular by changing the amounts of silicon and nitrogen in the second layer 232. . In the above specified range of silane: nitrogen oxide (N 2 O), for an exposure wavelength of 248 nm, the refractive index of the second layer 232 is adjusted to 2.20-2.60 and the extinction coefficient is approximately 0.80-0.90 Is adjusted. In contrast, the first layer 231 substantially comprising silicon dioxide exhibits relatively homogeneous optical properties with a refractive index in the range of approximately 1.40-1.47 with very small deviations at 673 nm. Thus, for the required thickness of the first layer 231 selected according to the requirements of the CMP process that is subsequently performed, the antireflective properties of the multi-layer stack 230 are then the optical of the second layer 232. It can be adjusted appropriately by controlling the properties and / or thickness. In some exemplary embodiments, the thickness 234 of the first layer 231 is adjusted to be in the range of approximately 20-120 nm, and the thickness 234 of the first layer 231 is in the range of approximately 20-120 nm. Adjusted within, the low deposition rate process is used for the approximately 20-50 nm range and the high deposition rate process is used for the range of approximately 50-120 nm, while the thickness of the second layer 232 ( 235) is adjusted to a range of approximately 30-90 nm.

특정 실시예에서, 니트로젠 농도가 상당히 감소한(특히 보호층 위에 형성된 포토레지스트 층과 접촉한 표면(237)에서) 상기 보호 층(233)이 상기 제 2 층(232) 위에 형성된다. 상기 보호 층(233)에서 감소한 니트로젠 양(특히 상기 표면(237)에서)은 니트로젠을 이용한 포토레지스트와의 상호작용을 상당히 축소하거나 혹은 실질적으로 완전히 피할 수 있으며, 만약 그렇지 않다면 상기 상호작용은 상기 포토레지스트의 현상 후에 포토레지스트 잔여(residual)를 형성한다.In a particular embodiment, the protective layer 233 is formed over the second layer 232 where the nitrogen concentration is significantly reduced (especially at the surface 237 in contact with the photoresist layer formed over the protective layer). The reduced amount of nitrogen in the protective layer 233 (especially at the surface 237) can significantly reduce or substantially completely avoid interactions with the photoresist using nitrogen, otherwise the interaction A photoresist residual is formed after development of the photoresist.

상기 보호 층(233)이 니트로젠 옥사이드(N2O) 대기에서 플라즈마 처리에 의해 형성되는바, 대략 50-200Watt의 RF 전력을 사용하여, 대략 350-450℃의 온도에서 대략 3.0-5.0토르의 압력으로 행해지며, 여기서 니트로젠 옥사이드(N2O)의 유속은 대략 250-600sccm으로 설정한다. 상기 특정된 파라미터 설정에서, 상기 보호 층(233)의 두께(236)는 대략 1-4nm의 범위로 얻어지며, 특히 상기 표면(237)에서 실리콘 니트로젠 결합의 대부분은 실리콘 산소 결합으로 대체된다. 상기 제 2 층(232)의 증착 후에, 즉시 상기 보호 층(233)의 형성이 실시된다.The protective layer 233 is formed by plasma treatment in a nitrogen oxide (N 2 O) atmosphere, using approximately 50-200 Watts of RF power, approximately 3.0-5.0 Torr at a temperature of approximately 350-450 ° C. Pressure, where the flow rate of nitrogen oxide (N 2 O) is set to approximately 250-600 sccm. In the specified parameter setting, the thickness 236 of the protective layer 233 is obtained in the range of approximately 1-4 nm, in particular most of the silicon nitrogen bonds in the surface 237 are replaced with silicon oxygen bonds. After deposition of the second layer 232, formation of the protective layer 233 is performed immediately.

그 다음, 포토레지스트 층이 상기 다중-층 스택(230) 위에 증착되며, 여기서 상기 포토레지스트 층의 두께뿐 아니라 그 타입 및 조성물은 상기 포토리소그래피 요구에 따라서 선택된다. 이미 언급한 바와 같이, 굴절률 및 흡광계수와 같은 광학 특성들뿐 아니라 상기 다중-층 스택의 개개의 두께(234,235,236)는 임계 치수들의 최소 편차를 얻기 위해, 사용되는 포토레지스트에 적합하게 된다. 그 후에, 상기 포토레지스트 층은 노광되고 현상되어 개구부(210)를 형성하며, 여기서 노광동안에, 상기 개구부(210)에 인접한 포토레지스트 영역으로의 빛의 후방반사가 최소화된다. 이러한 방식으로, 상기 개구부(210) 내부의 레지스트 잔여(또한 푸팅 앤 스커밍(footing and scumming)으로 일컬어짐)는 감소하거나 완전히 회피된다.A photoresist layer is then deposited over the multi-layer stack 230, where the thickness and the type and composition of the photoresist layer as well as the photolithography requirements are selected. As already mentioned, the individual thicknesses 234, 235, 236 of the multi-layer stack as well as optical properties such as refractive index and extinction coefficient are adapted to the photoresist used to obtain the minimum deviation of critical dimensions. Thereafter, the photoresist layer is exposed and developed to form an opening 210, during which the back reflection of light into the photoresist area adjacent to the opening 210 is minimized. In this way, resist residues (also called footing and scumming) inside the opening 210 are reduced or completely avoided.

도 2b는 다중-층 스택(230), 로우-k 유전체 층(206) 및 상기 식각 중지 층(205)에 형성된 개구부(213)를 갖는 상기 반도체 구조(200)를 도시한다. 장벽 층(211)이 상기 다중-층 스택(230) 위 및 상기 개구부(213) 내에 형성되고, 예컨대 구리를 포함한 금속 층(212)이 상기 구조(200) 위에 형성되어 실질적으로 상기 개구부(213)를 완전히 채운다. 2B shows the semiconductor structure 200 having a multi-layer stack 230, a low-k dielectric layer 206 and an opening 213 formed in the etch stop layer 205. A barrier layer 211 is formed over the multi-layer stack 230 and in the opening 213, and a metal layer 212 including, for example, copper is formed over the structure 200 to substantially the opening 213. Fully fill

상기 개구부(213)는 도 1b를 참조하여 설명한 것과 유사하게 이방성 식각 공정 시퀀스에 의해 형성되며, 그 다음, 상기 장벽 층(211)이 스퍼트 증착에 의해 증착되는바, 상기 장벽 층은 두 개 이상의 서브-층들로 구성되고, 예컨대 탄탈/탄탈 나이트라이드 층을 포함한다. 그 후에, 얇은 시드 층(thin seed layer)(도시되지 않음)이 스퍼터 증착되고 그리고 그 다음, 벌크 금속이 잘 알려진 전기화학적 증착 방법에 의해 증착된다. The opening 213 is formed by an anisotropic etching process sequence similar to that described with reference to FIG. 1B, and then the barrier layer 211 is deposited by sputter deposition, wherein the barrier layer is formed of two or more subs. Consists of layers, for example tantalum / tantalum nitride layers. Thereafter, a thin seed layer (not shown) is sputter deposited and then the bulk metal is deposited by a well known electrochemical deposition method.

그 후에, 상기 층(212)의 초과량의 금속은 화학적 기계적 연마에 의해 제거되며, 여기서 추가로 상기 개구부(213) 외부의 상기 장벽 층(211)이 또한 제거된다. 상기 CMP 공정 동안에, 상기 다중-층 스택(230)이 또한 부분적으로 제거되며, 여기서 실질적으로 실리콘 다이옥사이드를 포함한 상기 제 1 층(231)은 기계적 안정성이 감소한 하부 로우-k 유전체 물질을 신뢰성 있게 보호한다. 예시적인 실시예에서, 상기 보호 층(233) 및 상기 제 2 층(232)은 실질적으로 완전히 제거된다. 결과적으로, 상기 최종적으로 얻어지는 내부층 유전체(intra-layer dielectric)의 전체적인 유전 상수는 상기 로우-k 유전체 층(206)에 의해 실질적으로 결정되는바, 많은 양의 니트로젠이 합체되어 상대적으로 높은 유전 상수를 갖는 상기 제 2 층(232)이 제거되기 때문이다. 게다가, 상기 제 1 층(231)의 일부분도 전체적인 유전 상수를 더욱 최소화하기 위해 또한 제거된다. 상기 구리 CMP 공정 동안에 상기 제 1 층(231)은 상대적으로 낮은 제거율을 나타내기 때문에, 상기 CMP 공정 동안에 근소한 공정 편차가 발생할지라도, 아래 놓인 상기 층(206)의 로우-k 유전체 물질이 신뢰성 있게 보호된다. 결과적으로, 로우-k 유전체 물질의 바람직하지 못한 제거를 실질적으로 피하고, 그리하여 상기 금속으로 채워진 개구부들(213)의 치수의 편차, 따라서 그 저항에 있어서의 편차가 또한 상당히 감소한다. Thereafter, excess metal in the layer 212 is removed by chemical mechanical polishing, where further the barrier layer 211 outside the opening 213 is also removed. During the CMP process, the multi-layer stack 230 is also partially removed, where the first layer 231 substantially comprising silicon dioxide reliably protects the lower low-k dielectric material with reduced mechanical stability. . In an exemplary embodiment, the protective layer 233 and the second layer 232 are substantially completely removed. As a result, the overall dielectric constant of the finally obtained intra-layer dielectric is substantially determined by the low-k dielectric layer 206, in which a large amount of nitrogen is incorporated to produce a relatively high dielectric. This is because the second layer 232 having a constant is removed. In addition, a portion of the first layer 231 is also removed to further minimize the overall dielectric constant. Since the first layer 231 exhibits a relatively low removal rate during the copper CMP process, the low-k dielectric material of the underlying layer 206 is reliably protected even if slight process variations occur during the CMP process. do. As a result, the undesirable removal of the low-k dielectric material is substantially avoided, so that the variation in the dimensions of the openings 213 filled with the metal, and therefore in the resistance thereof, is also significantly reduced.

도 2c는 상기 설명된 CMP 공정의 완성 후에 상기 반도체 구조(200)를 도시한다. (231a)로 표시된 축소된 두께의 실리콘 다이옥사이드 층이 상기 로우-k 유전체 층(206) 위에 여전히 형성되어 있어서, CMP로 유발된 상기 층(206)의 손상이 최소화된다. 일 실시예에서, 상기 층(231a)의 두께는 20nm 이하로 감소하여, 상기 내부층 유전체의 요구되는 전체적으로 낮은 유전 상수를 얻을 수 있다. 2C shows the semiconductor structure 200 after completion of the CMP process described above. A reduced thickness silicon dioxide layer, denoted 231a, is still formed over the low-k dielectric layer 206, thereby minimizing damage to the layer 206 caused by CMP. In one embodiment, the thickness of the layer 231a is reduced to 20 nm or less, thereby obtaining the required overall low dielectric constant of the inner layer dielectric.

상기 실시예에서 단일 다마신 공정 기술이 설명되었지만, 본 발명은 이중 다마신 방법과 같은 다마신 기술의 모든 공정 방법에 또한 적용할 수 있음을 인지해야 한다. Although the single damascene process technique has been described in the above examples, it should be appreciated that the present invention is also applicable to all process methods of the damascene technique, such as the dual damascene process.

결과적으로, 본 발명에 따라서, 로우-k 유전체를 패터닝하기 위한 다중-층 스택이 제공되고, 여기서 상기 다중-층 스택은 바람직하게 고 처리량(예컨대, 시간당 80 기판 이상을 공정함)을 가능하게 하는 비교적 저렴한 플라즈마 증착 방법에 의해 인사이츄(in situ)로 형성되는바, 상기 로우-k 유전체 물질은 초과랴의 금속을 제거하는 CMP 공정 동안에 효과적으로 보호되고, 동시에, 효율적인 반사 방지 효과가 얻어지므로, "푸팅 및 스커밍" 효과를 생성하지 않으면서 로우-k 유전체 물질을 실질적으로 패터닝할 수 있다. 상기 CMP 공정 동안에 상기 로우-k 유전체 층의 효과적인 보호 때문에, 물질의 손상이, 특히 구조들이 밀집한 영역에서, 상당히 감소한다. 따라서, 상기 대응하는 금속 구조들의 면 저항의 변동도 또한 상당히 감소한다. 상기 CMP 공정 동안에 다중-층 스택을 얇게 함으로써, 상기 유전 상수의 유효치를 매우 낮게 유지하여, 기생 RC 시간 상수의 유해한 효과를 실질적으로 피할 수 있다. As a result, according to the present invention, a multi-layer stack for patterning a low-k dielectric is provided, wherein the multi-layer stack is preferably relatively capable of high throughput (e.g. processing more than 80 substrates per hour). Formed in situ by an inexpensive plasma deposition method, the low-k dielectric material is effectively protected during the CMP process of removing excess metal, while at the same time achieving an effective antireflection effect, And substantially patterning the low-k dielectric material without creating a "scumming" effect. Because of the effective protection of the low-k dielectric layer during the CMP process, damage to the material is considerably reduced, especially in areas with dense structures. Thus, the variation of the sheet resistance of the corresponding metal structures is also significantly reduced. By thinning the multi-layer stack during the CMP process, the effective value of the dielectric constant can be kept very low to substantially avoid the deleterious effects of parasitic RC time constants.

상기 개시된 특정 실시예들은 단지 예시적인 것으로서, 본 발명은 상이하나 개시된 내용을 습득한 당업자에게 명백히 균등한 방식으로 변경되거나 실행될 수 있다. 예컨대, 상기 개시된 공정 단계들은 다른 순서로 수행될 수 있다. 더욱이, 이하 청구항에서 기술된 것을 제외하고 여기 보여진 구조 또는 설계에 대한 상세한 설명에 제한되지 않는다. 그에 따라 상기 구체화된 상세한 설명은 변경되거나 수정될 수 있고 그러한 변경은 본 발명의 사상과 범위 내에 있다는 것은 명백하다. 따라서 보호범위는 이하의 청구범위에 개시되어 있다.The specific embodiments disclosed above are merely exemplary, and the invention is different but may be modified or practiced in a manner apparently equivalent to one skilled in the art having learned the disclosure. For example, the process steps disclosed above may be performed in a different order. Moreover, no limitations are made to the details of the structure or design shown herein except as described in the claims below. Accordingly, it is apparent that the above detailed description may be changed or modified and such changes are within the spirit and scope of the present invention. Accordingly, the scope of protection is set forth in the claims below.

Claims (18)

로우-k 유전체 물질을 포함한 유전체 층(206) 위에 실리콘 다이옥사이드 층(231)을 형성함으로써 상기 유전체 층(206) 위에 다중-층 스택(230)을 형성하는 단계와; 그리고Forming a multi-layer stack (230) over the dielectric layer (206) by forming a silicon dioxide layer (231) over the dielectric layer (206) comprising a low-k dielectric material; And 상기 로우-k 유전체 층으로부터의 후방 반사를 줄이기 위해 상기 다중-층 스택(230)의 적어도 하나의 광학 특성을 조정하도록, 상기 실리콘 다이옥사이드 층의 상기 형성 동안에 실리콘-풍부 옥시나이트라이드 층(232)을 형성하는 단계를 포함하는 것을 특징으로 하는 방법. Silicon-rich oxynitride layer 232 during the formation of the silicon dioxide layer to adjust at least one optical property of the multi-layer stack 230 to reduce back reflection from the low-k dielectric layer. Forming said method. 제 1항에 있어서, The method of claim 1, 상기 실리콘 다이옥사이드 층(231)은 시레인으로부터 증착되는 것을 특징으로 하는 방법. The silicon dioxide layer (231) is deposited from silane. 제 1항에 있어서, The method of claim 1, 상기 실리콘 다이옥사이드 층(231)의 상기 형성 후에,After the formation of the silicon dioxide layer 231, 증착 대기를 변경함으로써 상기 실리콘-풍부 옥시나이트라이드 층(232)이 형성되는 것을 특징으로 하는 방법.The silicon-rich oxynitride layer (232) is formed by changing the deposition atmosphere. 제 1항에 있어서, The method of claim 1, 상기 유전체 층(206) 위에 형성된 상기 실리콘 다이옥사이드 층(231)의 두께는 대략 20-120nm의 범위인 것을 특징으로 하는 방법.Wherein the thickness of the silicon dioxide layer (231) formed over the dielectric layer (206) is in the range of approximately 20-120 nm. 제 1항에 있어서, The method of claim 1, 상기 실리콘-풍부 옥시나이트라이드 층(232)의 두께는 대략 30-90nm의 범위인 것을 특징으로 하는 방법.Wherein the thickness of the silicon-rich oxynitride layer (232) is in the range of approximately 30-90 nm. 제 1항에 있어서, The method of claim 1, 상기 실리콘-풍부 옥시나이트라이드 층(232)에서의 상기 실리콘양을 변경함으로써 상기 광학 특성들이 조정되는 것을 특징으로 하는 방법.And the optical properties are adjusted by varying the amount of silicon in the silicon-rich oxynitride layer (232). 제 6항에 있어서, The method of claim 6, 증착 대기에서 시레인/니트로젠 옥사이드(N2O) 비율을 조정함으로써 상기 실리콘 양이 변경되는 것을 특징으로 하는 방법.Characterized in that the amount of silicon is altered by adjusting the silane / nitrogen oxide (N 2 O) ratio in the deposition atmosphere. 제 1항에 있어서,The method of claim 1, 상기 실리콘-풍부 옥시나이트라이드 층(232)의 표면 영역에 니트로젠-공핍된(nitrogen-depleted) 보호 층(233)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법. And forming a nitrogen-depleted protective layer (233) in the surface region of said silicon-rich oxynitride layer (232). 제 8항에 있어서, The method of claim 8, 상기 보호 층(233)은 니트로젠 옥사이드(N2O) 플라즈마 대기에 노출함으로써 형성되는 것을 특징으로 하는 방법.The protective layer (233) is formed by exposure to a nitrogen oxide (N 2 O) plasma atmosphere. 제 9항에 있어서, The method of claim 9, 상기 실리콘-풍부 옥시나이트라이드 층(232)의 증착 동안에 사용되는 시레인 공급을 중단함으로써 상기 니트로젠 옥사이드(N2O) 플라즈마 대기가 형성되는 것을 특징으로 하는 방법.And the nitrogen oxide (N 2 O) plasma atmosphere is formed by stopping the silane supply used during deposition of the silicon-rich oxynitride layer (232). 제 8항에 있어서, The method of claim 8, 상기 보호 층(233)의 두께는 대략 1-5nm의 범위인 것을 특징으로 하는 방법.The thickness of the protective layer (233) is in the range of approximately 1-5 nm. 제 1항에 있어서, The method of claim 1, 상기 실리콘-풍부 옥시나이트라이드 층(232) 위에 레지스트 마스크(208)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법. And forming a resist mask (208) over said silicon-rich oxynitride layer (232). 제 12항에 있어서, The method of claim 12, 상기 유전체 층(206)에 오목한 곳을 형성하기 위해 상기 레지스트 마스크(208)를 가지고 상기 유전체 층(206)을 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 방법. Patterning the dielectric layer (206) with the resist mask (208) to form recesses in the dielectric layer (206). 로우-k 유전체 물질에 금속 영역을 형성하는 방법으로서, A method of forming a metal region in a low-k dielectric material, 상기 로우-k 유전체 물질을 포함하는 층(206) 위에 플라즈마 대기에서 실리콘 다이옥사이드 기반 다중-층(230)을 증착하는 단계(상기 실리콘 다이옥사이드 기반 다중-층의 광학 특성을 제어하면서)와;Depositing a silicon dioxide based multi-layer (230) in a plasma atmosphere over the layer (206) comprising the low-k dielectric material (while controlling the optical properties of the silicon dioxide based multi-layer); 오목한 부분(213)을 형성하는 단계와, 여기서 상기 다중-층(230)은 특정된 파장길이에 대해 후방반사를 감소시키며;Forming a recessed portion (213), wherein the multi-layer (230) reduces back reflection for a specified wavelength length; 상기 오목한 부분을 금속(212)으로 채우는 단계와; 그리고Filling the recess with metal (212); And 화학적 기계적 연마에 의해 초과량의 금속과 상기 다중-층의 일부분을 제거하는 단계를 포함하는 것을 특징으로 하는 로우-k 유전체 물질에 금속 영역을 형성하는 방법. Removing excess metal and a portion of the multi-layer by chemical mechanical polishing. 제 14항에 있어서, The method of claim 14, 상기 실리콘 다이옥사이드 기반 다중-층(230)은 적어도 부분적으로 시레인으로부터 증착되는 것을 특징으로 하는 로우-k 유전체 물질에 금속 영역을 형성하는 방법. And the silicon dioxide based multi-layer (230) is deposited at least in part from silane. 제 14항에 있어서, The method of claim 14, 상기 실리콘 다이옥사이드 기반 다중-층(230)의 형성 동안에 증착 대기를 변경함으로써 상기 다중 층(230)에 실리콘-풍부 옥시나이트라이드 층(232)이 형성되는 것을 특징으로 하는 로우-k 유전체 물질에 금속 영역을 형성하는 방법. A metal region in a low-k dielectric material, characterized in that a silicon-rich oxynitride layer 232 is formed in the multilayer 230 by changing the deposition atmosphere during formation of the silicon dioxide based multi-layer 230. How to form. 제 14항에 있어서, The method of claim 14, 상기 실리콘-풍부 옥시나이트라이드 층(232)의 두께는 대략 30-90nm의 범위인 것을 특징으로 하는 로우-k 유전체 물질에 금속 영역을 형성하는 방법. Wherein the thickness of the silicon-rich oxynitride layer (232) is in the range of approximately 30-90 nm. 제 16항에 있어서, The method of claim 16, 상기 광학 특성들은 상기 실리콘-풍부 옥시나이트라이드 층(232)에서의 상기 실리콘양을 변경함으로써 조정되는 것을 특징으로 하는 로우-k 유전체 물질에 금속 영역을 형성하는 방법. Wherein the optical properties are adjusted by varying the amount of silicon in the silicon-rich oxynitride layer (232).
KR1020057011804A 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric KR101127240B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10260619.6 2002-12-23
DE10260619A DE10260619B4 (en) 2002-12-23 2002-12-23 Process for producing a cover layer with antireflective properties on a low-k dielectric
US10/463,910 2003-06-16
US10/463,910 US7030044B2 (en) 2002-12-23 2003-06-16 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
PCT/US2003/035272 WO2004061949A1 (en) 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric

Publications (2)

Publication Number Publication Date
KR20050093797A true KR20050093797A (en) 2005-09-23
KR101127240B1 KR101127240B1 (en) 2012-03-29

Family

ID=32714760

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057011804A KR101127240B1 (en) 2002-12-23 2003-11-06 Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric

Country Status (5)

Country Link
EP (1) EP1576664A1 (en)
JP (1) JP2006525651A (en)
KR (1) KR101127240B1 (en)
AU (1) AU2003287518A1 (en)
WO (1) WO2004061949A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171064A (en) * 2009-01-20 2010-08-05 Panasonic Corp Semiconductor device and method of manufacturing same
JP6134727B2 (en) 2013-11-08 2017-05-24 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6156640A (en) * 1998-07-14 2000-12-05 United Microelectronics Corp. Damascene process with anti-reflection coating
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6294459B1 (en) * 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6255717B1 (en) * 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6291363B1 (en) * 1999-03-01 2001-09-18 Micron Technology, Inc. Surface treatment of DARC films to reduce defects in subsequent cap layers
JP3328230B2 (en) * 1999-06-29 2002-09-24 山形日本電気株式会社 Method of manufacturing antireflection film by plasma CVD
US20020024139A1 (en) * 2000-02-04 2002-02-28 Chan Simon S. Combined capping layer and ARC for CU interconnects
JP2002329779A (en) * 2001-04-27 2002-11-15 Mitsubishi Electric Corp Semiconductor device and its fabrication method

Also Published As

Publication number Publication date
AU2003287518A1 (en) 2004-07-29
EP1576664A1 (en) 2005-09-21
JP2006525651A (en) 2006-11-09
KR101127240B1 (en) 2012-03-29
WO2004061949A1 (en) 2004-07-22

Similar Documents

Publication Publication Date Title
US6893956B2 (en) Barrier layer for a copper metallization layer including a low-k dielectric
KR100531561B1 (en) Copper interconnect structure and method of formation
US7867917B2 (en) Etch stop layer for a metallization layer with enhanced adhesion, etch selectivity and hermeticity
JP5116197B2 (en) Method for forming a device utilizing a silicon carbide layer
US6605863B2 (en) Low k film application for interlevel dielectric and method of cleaning etched features
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6913994B2 (en) Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US6187663B1 (en) Method of optimizing device performance via use of copper damascene structures, and HSQ/FSG, hybrid low dielectric constant materials
US7022602B2 (en) Nitrogen-enriched low-k barrier layer for a copper metallization layer
US20060141805A1 (en) Method of depositing dielectric films
US7790601B1 (en) Forming interconnects with air gaps
US20060160299A1 (en) Single mask MIM capacitor and resistor with in trench copper drift barrier
US7030044B2 (en) Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US7314824B2 (en) Nitrogen-free ARC/capping layer and method of manufacturing the same
US6927161B2 (en) Low-k dielectric layer stack including an etch indicator layer for use in the dual damascene technique
US20070249164A1 (en) Method of fabricating an interconnect structure
US6235653B1 (en) Ar-based si-rich oxynitride film for dual damascene and/or contact etch stop layer
KR20110063505A (en) Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer
US7326646B2 (en) Nitrogen-free ARC layer and a method of manufacturing the same
KR101127240B1 (en) Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US7476626B2 (en) Etch stop layer for a metallization layer with enhanced etch selectivity and hermeticity
US7381660B2 (en) Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness
JP2009010016A (en) Wiring forming method, and semiconductor device manufacturing method
JP2002329714A (en) Method for adjusting characteristic of etching by switching gases during etching
KR20040101008A (en) Manufacturing method for semiconductor apparatus

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150224

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160218

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee