KR20050087428A - Deposition of tungsten nitride - Google Patents

Deposition of tungsten nitride

Info

Publication number
KR20050087428A
KR20050087428A KR1020040013210A KR20040013210A KR20050087428A KR 20050087428 A KR20050087428 A KR 20050087428A KR 1020040013210 A KR1020040013210 A KR 1020040013210A KR 20040013210 A KR20040013210 A KR 20040013210A KR 20050087428 A KR20050087428 A KR 20050087428A
Authority
KR
South Korea
Prior art keywords
tungsten
layer
tungsten nitride
deposition
pnl
Prior art date
Application number
KR1020040013210A
Other languages
Korean (ko)
Other versions
KR101108304B1 (en
Inventor
칼비. 레비
중환 성
카이한에이. 아쉬티아니
제임스에이. 페어
조슈아 콜린스
쥬웬 가오
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Priority to KR1020040013210A priority Critical patent/KR101108304B1/en
Publication of KR20050087428A publication Critical patent/KR20050087428A/en
Application granted granted Critical
Publication of KR101108304B1 publication Critical patent/KR101108304B1/en

Links

Classifications

    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C11/00Details of pavings
    • E01C11/22Gutters; Kerbs ; Surface drainage of streets, roads or like traffic areas
    • E01C11/221Kerbs or like edging members, e.g. flush kerbs, shoulder retaining means ; Joint members, connecting or load-transfer means specially for kerbs
    • E01C11/222Raised kerbs, e.g. for sidewalks ; Integrated or portable means for facilitating ascent or descent
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C2201/00Paving elements
    • E01C2201/02Paving elements having fixed spacing features
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C2201/00Paving elements
    • E01C2201/12Paving elements vertically interlocking

Abstract

질화텅스텐층 침착 방법이 발표된다. 본 방법은 펄스화 핵화 층(PNL)과 같은 제어된 침착 기술을 사용하여 질화텅스텐층을 형성한다. 초기에 기판 표면에 텅스텐층이 형성된다. 이후 텅스텐층은 질화제에 노출되어 질화텅스텐층을 형성한다. 비교적 두꺼운 층을 형성하기 위해 환원제, 텅스텐 선구물질 및 질화제와 반복적인 싸이클로 접촉을 시킨다. 한 측면에서 싸이클은 포스파인 또는 아르신과 같은 도핑제 선구물질과 접촉하는 단계를 포함한다.A tungsten nitride layer deposition method is disclosed. The method forms a tungsten nitride layer using a controlled deposition technique such as a pulsed nucleation layer (PNL). Initially a tungsten layer is formed on the substrate surface. The tungsten layer is then exposed to a nitriding agent to form a tungsten nitride layer. Repetitive cycles of contact with the reducing agent, tungsten precursor and nitriding agent are used to form a relatively thick layer. In one aspect the cycle comprises contacting a dopant precursor, such as phosphine or arsine.

Description

질화 텅스텐의 증착 {Deposition of tungsten nitride}Deposition of tungsten nitride

관련 특허출원의 참조Reference of related patent application

이 출원은 Fair et al. 이 발명하여 2003년 1월 21일에 출원한 미국 가출원 제 60/441,843과 관련되며, 이 출원의 전문은 여기에서 참조문헌으로서 포함된다. 이 출원은 또한 미국특허출원 제 09/975,074호(미국 특허등록 제 6,635,965호)의 발명의 명칭 "개선된 스텝 커버리지(step coverage)의 초박막 텅스텐 층"(by Lee et al) 그리고 미국 특허출원 제 10/649,351(2003년 8월 26출원)호의 발명의 명칭 "텅스텐 박막의 거칠기를 감소하며 스텝 커버리지를 개선하는 방법"(by Lee et al)과 관련되며, 이것은 모든 목적으로 참조문헌으로서 여기에 포함된다.This application is described in Fair et al. This invention is related to US Provisional Application No. 60 / 441,843, filed Jan. 21, 2003, the entirety of which is incorporated herein by reference. This application also discloses the invention "Ultra-thin tungsten layer of improved step coverage" (by Lee et al) and US patent application Ser. No. 09 / 975,074 (US Pat. No. 6,635,965). / 649,351, filed Aug. 26, 2003, relates to a method for reducing the roughness of a tungsten thin film and improving step coverage by Lee et al, which is incorporated herein by reference for all purposes. .

본 발명은 질화 텅스텐 증착을 위한 PNL(pulsed nuclation layer) 방법에 대한 것이다. 특히, 본 발명은 질화텅스텐을 부분적으로 조립된 반도체 장치에 증착하는 방법에 대한 것이다. 본 발명은 특히 좋은 접착성, 우수한 스텝 커버리지, 그리고 낮은 가공 온도로 (예, 400℃ 또는 미만) 유전체, 금속, 규소화합물, 실리콘 상에 금속 또는 질화금속 증착을 필요로 하는 용도에 유용하다. The present invention relates to a pulsed nuclation layer (PNL) method for tungsten nitride deposition. In particular, the present invention relates to a method for depositing tungsten nitride in a partially assembled semiconductor device. The present invention is particularly useful for applications requiring metal or metal nitride deposition on dielectrics, metals, silicon compounds, silicon with good adhesion, good step coverage, and low processing temperatures (eg, 400 ° C. or below).

질화텅스텐은 반도체 장치의 조립에서 여러 가지 용도로 사용된다. PVD 및 PECVD와 같은 전통적인 수단에 의하여 증착됨으로서, 질화텅스텐은 유전체 박막에 비교적 낮은 저항성, 좋은 접착력 그리고 우수한 확산 장벽을 제공한다. 과거에 WN의 광범위한 적용을 막는 중요한 제한은 높은 영상비(aspect ratio) 해구(treches), 바이아스(vias) 및 접속(contact)에서 불량한 스텝 커버리지였다. Tungsten nitride is used for various purposes in the assembly of semiconductor devices. By being deposited by traditional means such as PVD and PECVD, tungsten nitride provides a relatively low resistance, good adhesion and good diffusion barrier to dielectric thin films. In the past, an important limitation that prevented widespread application of WNs was poor step coverage at high aspect ratio trenches, vias, and contacts.

나노미터 규모의 성공적인 적용을 위하여, 질화텅스텐은 높은 영상비 특성에서 얇게 그리고 정각으로(conforamally) 증착되어야 한다. 전통적인 PVD(물리 증기증착: physical vapor deposition) 기술으로는 이러한 기준을 달성할 수 없다. 얇은 정각의 커버리지를 위하여는, CVD(화학증기증착: chemical vapor deposition)방법이 일반적으로 고려된다. 전통적인 CVD 공정은 증기가 시스템에 적용되는 동안에 가열된 웨이퍼(wafer) 표면 근처에서 텅스텐 전구체(일반적으로 텅스텐 헥사플루오라이드(WF6)) 그리고 질소 함유 가스(예, N2)를 포함하는 가스 상 반응물을 동시에 도입하는 것과 관련이 있다. 이 반응은 가열된 웨이퍼 및 화학반응의 자유에너지 변화로부터 제공되는 에너지에 의하여 가속된다. 질화텅스텐 박막의 성장은 반응물 및 에너지원이 공급되는 한 계속된다.For successful nanometer scale applications, tungsten nitride must be deposited thinly and conforamally at high aspect ratio properties. Traditional PVD (physical vapor deposition) techniques cannot achieve this criterion. For thin on-angle coverage, the CVD (chemical vapor deposition) method is generally considered. Traditional CVD processes include a gas phase reactant comprising a tungsten precursor (typically tungsten hexafluoride (WF 6 )) and a nitrogen containing gas (eg N 2 ) near the heated wafer surface while steam is applied to the system. It is related to introducing them simultaneously. This reaction is accelerated by the energy provided from the heated wafer and the free energy change of the chemical reaction. Growth of the tungsten nitride thin film continues as long as the reactants and energy sources are supplied.

비록 표준 질화텅스텐 CVD 기술이 좋은 스텝 커버리지를 제공하며 낮은 영상비 특성(예, <5:1 영상비)을 적절히 만족시키나, 반도체 조립 기술은 나노미터 규모에 접근하고 있으므로, 스텝 커버리지 및 갭 충선에 대한 요구는 보다 엄격해지고 있으며 CVD는 이러한 목적에 적합하지 않을 수도 있다. 전통적인 질화텅스텐의 플라즈마-증진 CVD는 CVD 공정에서 비교적 불량한 스텝 커버리지를 갖는다. (원주형 접속 영상비 5:1에서 <50% SC). 이것은 영상비가 10 대 1을 초과하며 임계치수(critical dimension)이 100나노미터 미만인 현재 그리고 미래의 반도체 기술에 적합하지 않다. CVD 그리고 특히 PNL 또는 ALD 텅스텐 공정은 (질화 텅스텐 공정과 반대로)현대 반도체 장치에서 요구되는 매우 높은 스텝 커버리지 및 정각 증착을 제공할 수 있으나 유전체 표면에 직접 접착되지 않을 것이다. 텅스텐은 이제 유전체 표면 상의 증착 전에 TiN 과 같은 접착층으로서 요구된다. 결국, 많은 TiN 증착 기술(예, TiCl4로부터 PECVD-TiN)에 의하여 요구되는 높은 증착 온도는 저-K 우전체 또는 니켈 규소화합물에는 적용될 수 없게 된다.Although standard tungsten nitride CVD technology provides good step coverage and satisfies low aspect ratio characteristics (e.g. <5: 1 aspect ratio), semiconductor fabrication techniques are approaching nanometer scale, so step coverage and gap fill The demands are becoming more stringent and CVD may not be suitable for this purpose. Conventional tungsten nitride plasma-enhanced CVD has relatively poor step coverage in the CVD process. (<50% SC at columnar splice ratio 5: 1). This is not suitable for current and future semiconductor technology, where the aspect ratio exceeds 10 to 1 and the critical dimension is less than 100 nanometers. CVD and especially PNL or ALD tungsten processes may provide the very high step coverage and on-angle deposition required in modern semiconductor devices (as opposed to tungsten nitride processes) but will not adhere directly to the dielectric surface. Tungsten is now required as an adhesive layer, such as TiN, before deposition on the dielectric surface. As a result, the high deposition temperatures required by many TiN deposition techniques (eg, PECVD-TiN from TiCl 4 ) cannot be applied to low-K dielectrics or nickel silicon compounds.

그러므로 질화텅스텐 증착을 위한 개선된 방법이 필요하다. Therefore, there is a need for an improved method for tungsten nitride deposition.

본 발명은 질화텅스텐층을 기질에 증착하기 위한 방법을 제공한다. 여기서 이 방법은 기질에 질화텅스텐의 우수한 접착, 증착 두께에 대한 우수한 제어, 그리고 기질 높은 영상비 영역에 대한 우수한 스텝 커버리지를 제공한다. 이를 달성하기 위하여, 본 발명은 적어도 다음의 작업을 사용한다. (다양한 순서로 수행됨) : (ⅰ) 기질 표면상에 환원제 층을 제공하는 단계 (ⅱ) 기질 표면을 전구체 함유 텅스텐과 접촉시켜 기질 상에 텅스텐 층을 형성하는 단계, 그리고 (ⅲ) 텅스텐 층을 질화하여 질화텅스텐을 형성하는 단계.The present invention provides a method for depositing a tungsten nitride layer on a substrate. Here the method provides good adhesion of tungsten nitride to the substrate, good control over the deposition thickness, and good step coverage for the substrate high aspect ratio region. To achieve this, the present invention uses at least the following work. (Performed in various orders): (iii) providing a reducing agent layer on the substrate surface (ii) contacting the substrate surface with precursor-containing tungsten to form a tungsten layer on the substrate, and (iii) nitriding the tungsten layer To form tungsten nitride.

많은 경우에서, 기질은 반도체 웨이퍼 또는 부분적으로 조립된 반도체 웨이퍼이다. 본 발명의 용도는 질화텅스텐을 (또는 부분적으로) 구리 확산 장벼, 게이트(gate) 전극, 축전기(capacitor) 전극, 그리고 텅스텐 플러그(plugs)의 확산 장벽 및/또는 접착층, 이중 마다신(damascene) 구리 배선 형성을 위한 희생(sacrificial) 하드 마스크, CCD 장치를 위한 광 차폐물로 사용하는 것을 포함한다. 이들 많은 용도에서 질화텅스텐은 적어도 부분적으로 유전체 물질 상에 증착된다. In many cases, the substrate is a semiconductor wafer or a partially assembled semiconductor wafer. Uses of the present invention include tungsten nitride (or partially) diffusion of copper, gate electrodes, capacitor electrodes, and diffusion barriers and / or adhesive layers of tungsten plugs, double damascene copper. Sacrificial hard masks for wiring formation, including use as light shields for CCD devices. In many of these applications tungsten nitride is at least partially deposited on the dielectric material.

바람직한 실시예에서, 환원제는 붕소(boron)-함유제이며, 더욱 바람직하게는 디보란(B2H6)이다. 이 보란(borane) 환원제는 가스상의 반응제로서 도입될 수 있으며, 이것은 기질 표면에서 분해되어 붕소함유 "희생층(sacrificial layer)"을 형성한다. 바람직하게는, 이 희생층은 약 3 내지 20 옹스트롱의 두께이며, 약 200 내지 400 ℃의 온도에서 증착된다.In a preferred embodiment, the reducing agent is a boron-containing agent, more preferably diborane (B 2 H 6 ). This borane reducing agent can be introduced as a gaseous reactant, which decomposes at the surface of the substrate to form a boron-containing "sacrificial layer". Preferably, the sacrificial layer is about 3 to 20 Angstroms thick and is deposited at a temperature of about 200 to 400 ° C.

다른 실시예에서, 환원제는 실란(silane) 또는 다른 비-붕소함유 환원제이다. 이러한 실시예에서, 환원제는 텅스텐 함유 전구체를 도입하기 전에 기질에 도입되어 In another embodiment, the reducing agent is a silane or other non-boron containing reducing agent. In this embodiment, the reducing agent is introduced to the substrate prior to introducing the tungsten containing precursor

흡착된 또는 포화된 층을 형성한다. 그렇지 않으면, 텅스텐-함유 전구체가 환원제 전에 기질에 도입될 수도 있다. 만일, 텅스텐-함유 전구체가 환원제 전에 도입되는 경우, 텅스텐-함유 전구체의 얇은 박막이 기질 상에 형성되며 그리고 이후에 환원제와 접촉함에 따라 분해되어 텅스텐을 형성한다. Form an adsorbed or saturated layer. Otherwise, tungsten-containing precursors may be introduced to the substrate before the reducing agent. If a tungsten-containing precursor is introduced before the reducing agent, a thin thin film of tungsten-containing precursor is formed on the substrate and subsequently decomposed upon contact with the reducing agent to form tungsten.

일 실시예에서, 텅스텐-함유 전구체는 WF6, WCl6 또는 W(CO)6이다. 물론, 원소 텅스텐으로 환원하기 위하여 적합한 다른 텅스텐-함유 전구체 또한 사용될 수 있다. 여기에는 텅스텐-함유 전구체의 조합이 포함된다. (가스, 액체 또는 고체 불문). 또한, 다른 적절한 질화제도 사용될 수 있다. 이러한 예에는 N2, NH3, NF3 , N2H6 및 이들의 조합이 포함된다.In one embodiment, the tungsten-containing precursor is WF 6 , WCl 6 or W (CO) 6 . Of course, other tungsten-containing precursors suitable for reducing to elemental tungsten may also be used. This includes combinations of tungsten-containing precursors. (Gas, liquid or solid). In addition, other suitable nitriding agents may also be used. Examples include N 2 , NH 3 , NF 3 , N 2 H 6, and combinations thereof.

본 발명의 어떤 실시예의 경우 분위기 가스(gas purge)는 하나 또는 그 이상의 반응물(예, 환원제, 텅스텐 함유 전구체, 그리고 질화제)에 노출 시킨 이후에 적용된다. 많은 경우에서, 분위기 가스는 각각의 반응물이 도입된 후에 적용된다. 분위기 가스는 기질 표면 주변의 잔여 가스 반응물을 정화하여 다음 단계의 공정을 위하여 새로운 가스 반응물과 반응 할 수 있도록 한다. 본 발명의 일 실시예에 따르면, 텅스텐 층을 수소 또는 Ar-H2 플라즈마로 질화단계 전에 처리하여 할로겐 부산물, 반응하지 않은 할로겐 반응물, 또는 다른 불필요한 가스를 질화제의 도입 전에 제거한다. In some embodiments of the invention, a gas purge is applied after exposure to one or more reactants (eg, reducing agent, tungsten containing precursor, and nitriding agent). In many cases, the atmospheric gas is applied after each reactant is introduced. Atmospheric gas purifies the residual gaseous reactants around the substrate surface to react with the new gaseous reactants for the next step process. According to one embodiment of the invention, the tungsten layer is treated with hydrogen or Ar—H 2 plasma prior to the nitriding step to remove halogen by-products, unreacted halogen reactants, or other unnecessary gases prior to introduction of the nitrifier.

본 발명의 다른 측면에서, 질화텅스텐은 하나 또는 그 이상의 증착 장치(station)로 질화텅스텐 모듈에 제공된다. 질화텅스텐 모듈은 웨이퍼 예열 장치, 그리고 기질 선세정 장치(preclean station)를 포함한다. 이 선세정 모듈은 반응성 선세정 특성을 제공하여, 유도 결합 플라즈마(inductively coupled plasma)를 사용하여 불소 함유제를 분열함에 의하여 생성되는 불소에 기초한 깨끗한 화학반응을 사용할 수 있게 만든다. 더욱이, 질화텅스텐 증착 모듈 내의 웨이퍼 선세정 장치 또는 다른 장치는 기질의 선세정 후에 기질에 보호막을 씌우기 위한(passivate) 특징을 보유한다. 바람직하게는, 질화텅스텐 증착을 위한 모듈은 텅스텐의 펄스 핵형성(pulsed nucleation) 또는 텅스텐의 CVD를 위해 제공된 모듈과 진공 연결된다. In another aspect of the invention, tungsten nitride is provided to the tungsten nitride module in one or more deposition stations. The tungsten nitride module includes a wafer preheating device and a substrate preclean station. The preclean module provides reactive precleaning properties, enabling the use of clean chemical reactions based on fluorine produced by cleaving fluorine-containing agents using inductively coupled plasma. Moreover, the wafer precleaning device or other device in the tungsten nitride deposition module retains the feature to passivate the substrate after precleaning the substrate. Preferably, the module for tungsten nitride deposition is in vacuum connection with a module provided for pulsed nucleation of tungsten or CVD of tungsten.

일 실시예에서, 이 방법은 또한 하나 또는 그 이상의 다음의 수단에 의하여 기질에 보호막을 씌운다. : (a) 수소 노출; (b) 멀리 떨어진 H/H2 플라즈마에 노출; (c) 직접적으로 H/H2, Ar/H2, 또는 RF 플라즈마에 노출; (d) WF6에 노출; (e) H2 또는 H/H2 플라즈마 그리고 NH3에 연속적으로 또는 동시에 노출; 그리고 (f) 산소에 노출.In one embodiment, the method also covers the substrate by one or more of the following means. (a) hydrogen exposure; (b) exposure to distant H / H 2 plasma; (c) exposure directly to H / H 2, Ar / H 2, or RF plasma; (d) exposure to WF 6 ; (e) continuous or simultaneous exposure to H 2 or H / H 2 plasma and NH 3 ; And (f) exposure to oxygen.

본 발명의 또 다른 측면은 기질 상에 질화텅스텐 층을 형성하는 방법을 제공한다. 이 방법은 다음의 단계에 의하여 특징된다.; (a) 증착 체임버에서 기질을 배치하는 단계; (b) 반도체 웨이퍼 상에 하나 또는 그 이상의 펄스 증착 텅스텐 층을 증착하는 단계; (c) 하나 또는 그 이상의 텅스텐 층에 하나 또는 그 이상의 층의 질화텅스텐을 펄스 증착 층을 증착하는 단계; 그리고 (d) 선택적으로 (b)-(c)를 반복하여 다중의 텅스텐 및 질화텅스텐 층의 다중 층 구조 또는 W-WN의 이중층을 생성하는 단계. 결과물인 복합 박막은 다양한 층 구조를 가질 수 있다. 일 실시예에서, W-WN 복합 막막의 기저층은 텅스텐 층이다. 다른 실시예에서, W-WN 복합 막막의 기저층은 WN층이다. 다른 특정의 실시예에서, W 그리고 N원자의 비는 약 2 대 1의 비율로 W 그리고 WN 층에 존재한다. 그러므로 화학 양론적으로 W2N이 직접적으로 또는 간접적으로 열처리에 의하여 형성된다.Another aspect of the invention provides a method of forming a tungsten nitride layer on a substrate. This method is characterized by the following steps; (a) placing the substrate in the deposition chamber; (b) depositing one or more pulse deposited tungsten layers on the semiconductor wafer; (c) depositing a pulse deposition layer of one or more layers of tungsten nitride on one or more layers of tungsten; And (d) optionally repeating (b)-(c) to create a multilayer structure of multiple tungsten and tungsten nitride layers or a bilayer of W-WN. The resulting composite thin film can have various layer structures. In one embodiment, the base layer of the W-WN composite membrane is a tungsten layer. In another embodiment, the base layer of the W-WN composite membrane is a WN layer. In another particular embodiment, the ratio of W and N atoms is present in the W and WN layers at a ratio of about 2 to 1. Therefore stoichiometrically, W 2 N is formed by heat treatment directly or indirectly.

본 발명은 특정 측면에서 질화텅스텐을 금속-간 유전체 물질에 증착하여 구리 확산 장벽으로서 작용하게 하는 것에 관련된다. 이러한 용도에서, 텅스텐 층은 질화텅스텐 층 위에 증착될 수 있다. 이로부터, 구리는 질화텅스텐 또는 W-WN 장벽 상에 증착되어 단일 또는 이중 다마신 구리 배선 형성에 적합한 박막 층적(stack)을 형성한다. The present invention relates in certain aspects to depositing tungsten nitride on an intermetallic dielectric material to act as a copper diffusion barrier. In this use, the tungsten layer can be deposited over the tungsten nitride layer. From this, copper is deposited on tungsten nitride or W-WN barriers to form a thin film stack suitable for forming single or double damascene copper interconnects.

만일 금속 텅스텐 층이 WN-W 장벽 시스템의 일부로서 적용되는 경우, 펄스 증착 층(PNL) 방법, 원자 층 증착 방법 또는 CVD 방법(예를 들면, WF6 및 H2 또는 SiH4 또는 이들의 다른 조합을 사용하는)을 사용하여 증착될 것이다.If a metal tungsten layer is applied as part of the WN-W barrier system, the pulse deposition layer (PNL) method, atomic layer deposition method or CVD method (e.g., WF 6 and H 2 or SiH 4 or other combinations thereof) Will be deposited using).

전통적인 다마신 공정에서, 구리층은 구리 시드(seed) 층으로서 첫 번째로 제공되며, 이것은 스퍼터 증착 또는 무전해 도금(electroless plating methods)를 사용하여 증착될 수 있다. 구리 씨드 층이 증착된 후, 벌크 구리 층이 씨드 층 위에 전해도금(electrolytic plating methods)법을 사용하여 증착될 수 있다. In traditional damascene processes, the copper layer is first provided as a copper seed layer, which can be deposited using sputter deposition or electroless plating methods. After the copper seed layer is deposited, a bulk copper layer can be deposited over the seed layer using electrolytic plating methods.

본 발명의 다른 측면은 질화텅스텐의 용도에 관련된다. 이것은 질화텅스텐 장의 텅스텐 층과 함께 조합하여 사용되어 게이트 전극을 형성할 수 있다. 다른 용도에서, 질화 텅스텐 층 또는 질화 텅스텐-텅스텐 이중 층은 DRAM 또는 다른 저장 장치를 위한 축전기(capacitor) 전극의 형성을 위하여 사용될 수 있다. 본 발명의 이들의 그리고 다른 특성 및 장점은 이하에서 관련된 도면과 함께 더욱 상세히 설명된다. Another aspect of the invention relates to the use of tungsten nitride. It can be used in combination with a tungsten nitride tungsten layer to form a gate electrode. In other applications, a tungsten nitride layer or tungsten nitride-tungsten bilayer may be used for the formation of capacitor electrodes for DRAM or other storage devices. These and other features and advantages of the present invention are described in more detail in conjunction with the accompanying drawings below.

상세설명detailed description

전술한 바와 같이, 본 발명은 질화텅스텐 층을 증착하기 위한 방법을 제공한다. 특히, 질화텅스텐이 유전체 표면에 증착되는 용도를 위한 방법을 제공하며, 여기서 얇은, 정각의 그리고 접착성 막이 요구된다. 바람직한 방법은 펄스 핵형성 막(PNL) 증착 기술과 관련되며, 이것은 이하에서 상세히 설명된다. As mentioned above, the present invention provides a method for depositing a tungsten nitride layer. In particular, there is provided a method for applications in which tungsten nitride is deposited on a dielectric surface, where a thin, angled and adhesive film is required. Preferred methods relate to pulsed nucleation film (PNL) deposition techniques, which are described in detail below.

PNL 공정에의 바람직한 접근은 우선 디보란(또는 다른 붕소-함유 전구체)를 기질의 표면에 증착하여 "희생" 붕소-함유 층을 형성하는 것과 관련된다. 이 희생층은 그 후 텅스텐 전구체와 반응하여 텅스텐을 형성한다. 이 디보란 증착 공정은 전통적인 자체-한정(self-limiting) ALD 타입의 증착공정이 아니다. 그보다는, 디보란이 유전체 표면상에서 반응하여 붕소 박막으로 분해된다. 이 반응은 기질이 연속적으로 디보란에 노출되는 한 진행할 수 있다. 그러나 수반되는 단계에서 실질적으로 형성되는 텅스텐의 한계 용량을 보증하기 위하여, 디보란 증착은 바람직하게는 두께가 약 3 내지 10 옹스트롱으로 제한된다. 이것은 붕소의 약 하나 또는 두 단일층에 대응된다. A preferred approach to the PNL process involves first depositing diborane (or other boron-containing precursor) on the surface of the substrate to form a "sacrifice" boron-containing layer. This sacrificial layer then reacts with the tungsten precursor to form tungsten. This diborane deposition process is not a traditional self-limiting ALD type deposition process. Rather, diborane reacts on the dielectric surface and breaks down into a thin film of boron. This reaction can proceed as long as the substrate is continuously exposed to diborane. However, in order to ensure the limiting capacity of tungsten substantially formed in the subsequent steps, diborane deposition is preferably limited to about 3 to 10 angstroms in thickness. This corresponds to about one or two monolayers of boron.

붕소층(또는 박막) 그리고 붕소-함유층이라는 용어는 수소화 붕소, 그리고 혼합물 또는 이러한 화합물의 상호 조합 및/또는 원소 붕소와 같은 다양한 붕소 화합물은 물론 순수한 원소 붕소를 아우르는 것임에 유의할 것이다. "원소 붕소"라는 용어는 여기서 역시 원소 붕소와 많은 붕소 화합물과 같은 다른 물질과의 일정 용량의 조합은 물론 순수한 붕소를 아우른다. It will be noted that the terms boron layer (or thin film) and boron-containing layer encompass boron hydride and various boron compounds such as mixtures or mutual combinations of these compounds and / or elemental boron as well as pure elemental boron. The term " element boron " here also encompasses pure boron as well as a combination of certain doses of elemental boron with other materials such as many boron compounds.

이 공정의 두 번째 작업에서, 붕소층은 텅스텐 전구체에 노출되며, 이것은 붕소에 의하여 환원되어 텅스텐을 형성한다. 세 번째 작업에서, 텅스텐 층은 질화제와의 접촉에 의하여 질화텅스텐으로 전화된다. 바람직한 생성물은 화학양론적으로 W2N이며, 다른 WN, WN2 그리고 다양한 다른 화학양론적 물질들 또한 본 발명에 포함된다. 최종 박막 또한 다양한 수소화물 및/또는 예를 들면 아민을 함유한다. 만일 일정량의 텅스텐이 전환되지 않고 남아있는 경우, 이것은 기저의 유전체에 대한 접착력을 제한 할 수 있다. 그러므로 PNL의 어느 회로에서 존재하는 텅스텐의 양이 충분히 작아서 질화 단계에서 전부 질화물로 전환되는 것은 중요하다. 제조된 텅스텐의 용량은 기저의 기질 상에 희생층으로서 앞서 형성된 붕소의 양에 의하여 제한된다. 그러므로 증착되는 그리고 붕소를 형성하도록 반응되는 디보란의 양은 효과적으로 텅스텐의 양의 조절한다. 이것은 순차적으로 모든 텅스텐이 단일 작업에서 질화물로 전환될 수 있다는 것을 보증한다.In the second operation of this process, the boron layer is exposed to a tungsten precursor, which is reduced by boron to form tungsten. In a third operation, the tungsten layer is converted to tungsten nitride by contact with the nitriding agent. Preferred products are stoichiometric W 2 N, other WN, WN 2 and various other stoichiometric materials are also included in the present invention. The final thin film also contains various hydrides and / or amines for example. If a certain amount of tungsten is left unconverted, this may limit the adhesion to the underlying dielectric. Therefore, it is important that the amount of tungsten present in any of the circuits of the PNL is small enough that all of it is converted to nitride in the nitriding step. The capacity of the produced tungsten is limited by the amount of boron previously formed as a sacrificial layer on the underlying substrate. Therefore, the amount of diborane deposited and reacted to form boron effectively controls the amount of tungsten. This in turn ensures that all tungsten can be converted to nitride in a single operation.

이 세 작업이 완결된 후, 질화 텅스텐의 매우 얇은 막이 생성된다. 이후, 이 세 작업은 다중의 회로로서 요구되는 질화 텅스텐이 형성될 때까지 반복된다. 수반되는 회로에서, 다른 반응물이 사용될 수 있다. 그중에서 특히, 디보란은 실란 또는 다른 수소와 실리콘과 같은 다른 환원제로 대체될 수 있다. After these three operations are completed, a very thin film of tungsten nitride is produced. Thereafter, these three operations are repeated until the tungsten nitride required as multiple circuits is formed. In the accompanying circuits, other reactants may be used. In particular, diborane may be replaced with silane or other reducing agents such as hydrogen and silicon.

순환하는 공정의 여러 단계에서 사용되는 공정의 조건은 광범위하다. 관련 공정 조건에는 압력, 온도, 도스(dose), 농도, 그리고 시간이 포함된다. 질화 공정은 이들 조건의 가장 유동적인 선택을 제공한다. 디보란 공정은 적당한 양의 시간 내에 충분한 양의 붕소가 기질상에 형성되도록 보증하기 위하여 바람직하게는 비교적 높은 온도(예, 섭씨 250도 이상, 바람직하게는 약 200 내지 400 ℃)에서 수행된다. 다른 매개변수들과 관련하여는, 일반적으로 공정 단계는 약 0.1 내지 300torr의 압력 그리고 유동속도 및 노출시간에 따라 정해지는 도스에서 수행된다. 이들 매개변수는 이하에서 보다 자세히 설명된다. The conditions of the process used in the various stages of the circulating process are wide. Relevant process conditions include pressure, temperature, dose, concentration, and time. The nitriding process provides the most fluid choice of these conditions. The diborane process is preferably performed at a relatively high temperature (eg, at least 250 degrees Celsius, preferably about 200 to 400 ° C.) to ensure that a sufficient amount of boron is formed on the substrate in a suitable amount of time. With respect to other parameters, the process step is generally carried out in a dose which is determined by the pressure of about 0.1 to 300 torr and the flow rate and exposure time. These parameters are described in more detail below.

PNL 공정은 탈가스(degas) 공정, 가열냉각(anneal), 및/또는 선세정(예, 아르곤 및/또는 수소에서의 마일드 스퍼터 에치)과 같은 다양한 기질 전처리에 의하여 선행된다. 이들 전처리는 수증기의 흡수제거 그리고 반도체 장치의 전기적 활성 구역으로부터 표면 옥시디데이션(oxididation)의 제거와 같은 다양한 목적을 갖는다. 이것은 이하에서 보다 자세히 설명된다. 또한, PNL 공정은 WN-W 이중 층을 형성하기 위한 질화 텅스텐의 상부에 금속성 텅스텐 층의 증착과 같은 다양한 후-처리를 포함할 수 있다.The PNL process is preceded by various substrate pretreatments such as degas processes, anneal, and / or preclean (eg mild sputter etch in argon and / or hydrogen). These pretreatments have various purposes, such as absorption of water vapor and removal of surface oxididation from the electrically active region of the semiconductor device. This is explained in more detail below. The PNL process may also include various post-treatments, such as the deposition of a metallic tungsten layer on top of tungsten nitride to form a WN-W bilayer.

전형적인 시나리오에서, PNL-WL증착 공정은 웨이퍼 탈가스/예열 및 선세정이 선행된다. 전통적인 웨이퍼 탈가스는 독립적인 고-진공 체임버에서 수행되며, 여기서 웨이퍼는 가열되고 배출되는 가스 종들이 분출된다. 현존하는 그리고 잘 알려진 웨이퍼 선세정 전략은 장벽의 증착 전에 직접적인 Ar 및 Ar-H2 스퍼터 에치(sputter etch)로 물리적으로 오염물 및 표면 산화를 제거하는 것을 포함한다.In a typical scenario, the PNL-WL deposition process is preceded by wafer degassing / preheating and preclean. Traditional wafer degassing is carried out in an independent high-vacuum chamber, where the wafer is heated and the gas species are ejected. Existing and well-known wafer preclean strategies include physically removing contaminants and surface oxidation with direct Ar and Ar-H 2 sputter etch prior to deposition of the barrier.

PNL-WN의 실행은 원위치(in situ)에서의 예열 및 반응성 웨이퍼의 선세정을 포함한다. 웨이퍼 예열은 수분을 날리고 다른 오염물을 웨이퍼 표면으로부터 제거하기 위하여 사용된다. 웨이퍼 선세정은 웨이퍼를 타이트하게 제어된 용량의 원소 또는 분자 불소에 짧게 노출시킴에 의하여 수행된다. 어떤 경우, 불소는 NF3를 F와 N2로 ICP(Inductively coupled plasma)의 수단에 의하여 분해함으로써 생성된다. 다른 분소 원료 가스(예를 들면, F2, CF4, C2F6, ClF4, 등) 그리고 다른 분해 기술 또한 동등하게 본 발명의 범위 내에서 사용될 수 있다. 웨이퍼의 선세정 동안 생성되는 불소 종들은 고유 산화물 그리고 다른 잔여물과 반응하여 휘발성 생성물을 만들며, 이것은 웨이퍼 표면으로부터 흡수제거 되거나 배출된다.Implementation of the PNL-WN involves preheating in situ and precleaning the reactive wafers. Wafer preheating is used to blow moisture and remove other contaminants from the wafer surface. Wafer precleaning is performed by brief exposure of the wafer to tightly controlled capacities of elemental or molecular fluorine. In some cases, fluorine is produced by decomposing NF 3 into F and N 2 by means of inductively coupled plasma (ICP). Other powdered source gases (eg, F 2 , CF 4, C 2 F 6 , ClF 4 , etc.) and other decomposition techniques may equally be used within the scope of the present invention. Fluorine species produced during precleaning of the wafer react with the native oxides and other residues to form volatile products, which are absorbed or released from the wafer surface.

일 실시예에서, 웨이퍼 선세정 장치는 NF3 전화 라인을 포함하며, 이것은 이 흐름이 체임버의 웨이퍼 선세정 장치에 도입되기 전에 완전히 안정화 될 때까지 NF3가 MFC(mass flow controller)로부터 흘러서 직접 증착 체임버의 앞라인의 공정으로 전환되도록 한다. 병렬의 출구 밸브는 NF3의 제어된 방출을 허용하여 NF3 전달의 개시부에서 증착 체임버로 전달되도록 한다.In one embodiment, the wafer preclean device comprises an NF 3 phone line, which is deposited directly from the mass flow controller (NFC 3) until the flow is fully stabilized before it is introduced into the chamber's wafer preclean device. Allow the process to be switched to the front line of the chamber. The outlet valve of the parallel allows the controlled release of NF 3 is to be passed from the beginning of the NF 3 passes into the deposition chamber.

전술한 바와 같이, 모든 가스의 흐름, 밸브, 그리고 플라스마 원료 명령은 입력된 입력-출력 제어 시퀀스(IOC sequence)에 따라 진행되며, 그러므로 이 명령은 IOC로 패킷으로 전달되며 +/- 10ms의 명령의 시간 제어 정밀도의 시퀀스로 수행된다. 웨이퍼 선세정 동안의 이러한 타이트한 불소 도스의 조절은 선세정이 고유의 산화물 및 다른 오염물을 웨이퍼 표면으로부터 제거하는데 적절하며 그러나, 반도체 웨이퍼 표면으로부터 민감한 물질(규소화합물 또는 실리콘 원료-드레인 접속, 폴리실리콘 접속, 또는 고 K 게이트 또는 축전기 유전체와 같은)을 소모 할 만큼 지나치지 않음을 보증한다. As mentioned above, all gas flows, valves, and plasma source commands proceed according to the input I / O sequence, so these commands are delivered in packets to the IOC, with a +/- 10ms command. It is performed with a sequence of time control precision. This tight fluorine dose control during wafer precleaning is suitable for precleaning inherent oxides and other contaminants from the wafer surface, but is sensitive from silicon wafer surfaces (silicon or silicon raw material-drain connections, polysilicon connections). , Or high K gate or capacitor dielectric).

불소에 기초한 웨이퍼 선세정이 세정후 불소 포화 반도체 웨이퍼 표면을 초래하는 경우, 다양한 후-세정 웨이퍼 처리가 불소를 제거하거나 흡착하기 위하여 사용되며 그러므로 수반되는 효율적이며 균일한 PNL-WN 성장을 촉진한다. 후-세정 보호 전략은 다음을 포함한다.:If fluorine-based wafer precleaning results in a fluorine saturated semiconductor wafer surface after cleaning, various post-clean wafer processing is used to remove or adsorb fluorine and thus promote efficient and uniform PNL-WN growth involved. Post-cleaning protection strategies include:

1. 웨이퍼 표면을 원자 및/또는 분자 수소에 노출시킨다. 원자 수소는 전술한 바람직한 수행의 선세정 기술과 동일한 또는 다른 수단에 의한 ICP 원료를 사용하여 제조될 수 있다. 원자 또는 분자 수소는 예를 들면 Ar 또는 N2 운반 가스와 함께 전달 될 수 있다.1. Expose the wafer surface to atomic and / or molecular hydrogen. Atomic hydrogen can be prepared using ICP raw materials by the same or other means as the precleaning techniques of the above described preferred practice. Atomic or molecular hydrogen may be delivered with, for example, an Ar or N 2 carrier gas.

2. 웨이퍼 표면을 직접 Ar 또는 수소 이온 충격에 노출시켜 표면의 불소 및 불소화합물을 벗겨냄.2. Expose the fluorine and fluorine compounds on the surface by directly exposing the wafer surface to Ar or hydrogen ions.

3. 웨이퍼 표면을 B2H6 또는 다른 환원제에 노출시키기 전에 WF6에 노출시킨다. 이 WF6은 표면 불소와 치환되어 세정된 표면상의 핵형성을 촉진한다.3. The wafer surface is exposed to WF 6 prior to exposure to B 2 H 6 or other reducing agent. This WF 6 is substituted with surface fluorine to promote nucleation on the cleaned surface.

4. 표면을 CDD-W핵(nuclii)을 위하여 동시에 WF6, 그리고 B2H6 에 노출시킨다.4. The surface is simultaneously exposed to WF 6 and B 2 H 6 for the CDD-W nuclii.

5. 웨이퍼 표면을 NH3에 노출시킨다.5. Expose the wafer surface to NH 3 .

6. 위의 1 그리고 5를 조합한다. 원자 수소 노출은 표면의 불소를 HF로 전환하며 NH3는 그리고 나서 NH4F를 생성할 것이다. NH4F는 약 100℃이상에서 휘발됨에 유의한다. 이 공정 시퀀스의 바람직한 수행은 웨이퍼의 예열, 선세정, 그리고 H2/NH3 보호(passivation)동안에 웨이퍼를 약 250℃ 또는 그 이상으로 가열하는 것을 포함한다.6. Combine 1 and 5 above. Atomic hydrogen exposure converts surface fluorine to HF and NH 3 will then produce NH 4 F. Note that NH 4 F is volatilized above about 100 ° C. Preferred performance of this process sequence includes heating the wafer to about 250 ° C. or higher during preheating of the wafer, precleaning, and H 2 / NH 3 passivation.

어떤 PNL 적용에서는, 4-작업 회로를 제공하는 것이 바람직하다. 여기서 네 번째 작업은 도판트(dopant)를 질화텅스텐에 도입하는 것을 위하여 보존된다. 그러므로 기질을 환원제, 텅스텐 전구체 그리고 질화제에 노출시키는 단계 이외에, 이 공정은 기질을 도판트의 원료에 노출시키는 단계를 포함한다. 이러한 도판트 원료의 예시에는 포스핀(phosphine)과 아르신(arsine)을 포함한다. 이들을 별개로 도입하는 것이, 산화제 또는 질화제와 함께 도입하는 것보다 적합한데, 이는 이들이 다른 반응물과의 조합에서 잠재적으로 폭발성이거나 부적합하기 때문이다. In some PNL applications, it is desirable to provide a four-working circuit. The fourth operation here is preserved for the introduction of dopants into tungsten nitride. Therefore, in addition to exposing the substrate to the reducing agent, tungsten precursor and nitriding agent, the process includes exposing the substrate to the raw material of the dopant. Examples of such dopant raw materials include phosphine and arsine. Introducing them separately is more suitable than introducing them with oxidizing or nitriding agents because they are potentially explosive or incompatible in combination with other reactants.

도핑(doping)은 질화 텅스텐의 특징, 특히 이들의 작업 기능을 바꾸는데 적합하다. 작업 기능은 전기적 속성으로서 이것은 인접한 층의 충전 분포에 영향을 준다. 그러므로 도핑은 게이트 전극 또는 축전지 전극의 생성과 관련된 본 발명의 용도에 있어서 적절할 것이다. 플러그 충전 및 장벽층의 형성과 같은 다른 용도를 위하여, 도핑은 특히 고려되지는 않는다. 이들 용도에서는 질화텅스텐 층의 장벽의 속성, 정각성, 두께, 전도성 및 접착성이 가장 중요한다. Doping is suitable for changing the characteristics of tungsten nitride, in particular their working function. The working function is an electrical property which affects the charge distribution of adjacent layers. Doping will therefore be suitable for use in the present invention in connection with the creation of gate electrodes or battery electrodes. For other applications such as plug filling and barrier layer formation, doping is not particularly considered. In these applications the barrier properties, conformity, thickness, conductivity and adhesion of the tungsten nitride layer are of paramount importance.

만일 텅스텐-질화 텅스텐 이중 층이 형성되는 경우, 이 이중 층의 금속성 텅스텐 조성성문은 회전성 PNL 공정이나 벌크 CVD 공정 또는 다른 적절한 공정에 의하여 증착될 수 있음에 유의한다. CVD는 낮은 저항성 박막을 제조하는 장점이 있으며, PNL은 돌출이 없는 보다 정각의 제조하는 장점이 있다. Note that if a tungsten-tungsten nitride bilayer is formed, the metallic tungsten composition gate of this bilayer may be deposited by a rotary PNL process, a bulk CVD process, or other suitable process. CVD has the advantage of producing low resistive thin films, and PNL has the advantage of making more right angles without protrusions.

본 발명이 디보란 또는 다른 붕소-함유 물질을 사용하는 PNL 공정에 한정되지 않음에 유의할 것이다. 보다 일반적으로, 어떠한 적절한 환원제가 사용될 수 있다. 어떤 경우, PNL 작업 순서는 변화될 수 있으며, 그러므로 반드시 환원제에 노출시키는 것으로 시작하여야 하는 것은 아니다. 다른 경우, 예를 들어, 첫 번째 PNL 작업은 텅스텐 전구체 흡착이다. 이것은 환원제의 접촉 및 질화제의 접촉에의하여 수반된다. 더욱이, 환원제 및 질화제는 단일 작업으로 동시에 제공될 수 있다. 또한, 환원제, 텡스텐 전구체 그리고 질화제에의 노출은 시차를 두거나 중복될 수 있다. It will be noted that the present invention is not limited to PNL processes using diborane or other boron-containing materials. More generally, any suitable reducing agent can be used. In some cases, the PNL working order can be changed and therefore does not necessarily begin with exposure to a reducing agent. In other cases, for example, the first PNL operation is tungsten precursor adsorption. This is accompanied by the contact of a reducing agent and the contact of a nitriding agent. Moreover, the reducing agent and nitriding agent can be provided simultaneously in a single operation. In addition, exposure to reducing agents, tungsten precursors and nitriding agents may be staggered or redundant.

이 PNL-WN 기술에는 많은 용도가 있다. 여기서는 일부만이 설명된다, 또한, 많은 다른 공정 장치가 사용된다. 이들은 복합-장치 그리고 단일 장치 증착 체임버를 포함한다. 단일 장치 증착 체임버가 사용되는 경우, 다른 전구체 가스들이 동일한 체임버 배관으로부터 제공된다. 다중 장치 체임버가 사용되는 경우, 이 장치는 기질을 증착 장치에서 증착장치로 운반하며, 각각의 체임버는 다른 단일 반응물을 위하여 제공된다. 더욱이, 어떤 증착 장치는 PNL 반응만을 위하여 사용될 수 있으며, 다른 것들은 텅스텐 CVD 또는 다른 증착 반응을 위하여 사용될 수 있다. There are many uses for this PNL-WN technology. Only some are described here, and many other processing equipment are also used. These include multi-device and single device deposition chambers. If a single device deposition chamber is used, different precursor gases are provided from the same chamber tubing. If multiple device chambers are used, the device carries the substrate from the deposition apparatus to the deposition apparatus, each chamber being provided for a different single reactant. Moreover, some deposition apparatus may be used for PNL reactions only, others may be used for tungsten CVD or other deposition reactions.

공정의 흐름Process flow

본 발명에 따른 질화텅스텐의 제조를 위한 일반적인 공정의 흐름은 도 1의 흐름도에 도시된다. 첫째로 기질 표면이 101에 지시된 대로 제공된다. 본 발명의 많은 실시예에서, 기질은 부분적으로 조립된 회로가 구성된 반도체 웨이퍼이다. 이것은 배선을 위한 트렌치(trenchs) 그리고/또는 바이아스(vias)또는 금속 라인을 포함할 것이다. 그렇지 않으면, 이들은 게이트 또는 축전지 유전체로 작용하는 얇은 절연층(유전층 dielectric layers)를 포함할 것이다. The flow of a general process for the production of tungsten nitride according to the invention is shown in the flowchart of FIG. 1. Firstly the substrate surface is provided as indicated at 101. In many embodiments of the present invention, the substrate is a semiconductor wafer composed of partially assembled circuits. This may include trenches and / or vias or metal lines for wiring. Otherwise, they will include thin dielectric layers that act as gate or battery dielectrics.

101에 제공된 기질 표면에, 이 공정은 텅스텐 금속층을 형성한다. 이것은 두 방법 중 하나를 사용하여 수행될 수 있다. 첫 번째 방법에서, 환원제는 초기에 기질 표면(블록 103)으로 도입되어 환원제(또는 환원제상의 일부)는 흡수되거나 또는 그렇지 않으면 기질의 표면에 남는다. 도 105참조. 위와 같이, 어떤 실시예는 , 특히 증착된 층이 붕소 층인 경우, 환원제의 "희생층"을 제조한다. On the substrate surface provided at 101, this process forms a tungsten metal layer. This can be done using either method. In the first method, the reducing agent is initially introduced to the substrate surface (block 103) so that the reducing agent (or a portion of the reducing agent) is absorbed or otherwise remains on the surface of the substrate. See FIG. 105. As above, some embodiments produce a "sacrifice layer" of reducing agent, especially when the deposited layer is a boron layer.

보다 일반적으로, 환원제는 텅스텐 전구체를 효과적으로 환원하여 금속성 텅스텐의 층을 생성할 수 있는 어떠한 공정-적합성 화합물일 수 있다. 적절한 환원제에는 다양한 붕소-함유 환원제가 포함되며, 바람직하게는 보란(BH3), 디보란(B2H6 ), 트리보란 등이다. 다른 붕소 함유 환원제의 예에는 보론 할라이드(예, BF3, BCl3) 와 수소가 포함된다. 다른 환원제에는 실란 그리고 이것의 유기성 유도체와 같은 실리콘 하이드라이드가 포함된다.More generally, the reducing agent may be any process-compatible compound that can effectively reduce the tungsten precursor to produce a layer of metallic tungsten. Suitable reducing agents include various boron-containing reducing agents, preferably borane (BH 3 ), diborane (B 2 H 6 ), triborane and the like. Examples of other boron containing reducing agents include boron halides (eg BF 3 , BCl 3 ) and hydrogen. Other reducing agents include silicon hydrides such as silanes and organic derivatives thereof.

환원제 층이 기실 표면상에 생성된 후에, 텅스텐 함유 전구체 가스가 기질 표면에 도입된다. 블록 107참조. 이 텅스텐-함유 전구체는 흡착된 기질 표면상의 희생층과 접촉하게 되면 환원되어, 텅스텐 금속 층을 형성한다. 희생층의 환원제에 의하여 환원되어 본 발명에 텅스텐 금속의 층을 제조할 수 있는 어떠한 적절한 텅스텐 함유 전구체도 사용될 수 있다. 이러한 적절한 텅스텐 함유 전구체에는 WF6, WCl6, W(C0)6, 그리고 이들의 조합이 포함된다. WF6는 붕소 희생층과 특히 잘 작용하는 것으로 밝혀졌다. 당업자에게 알려진 다른 다양한 다른 텅스텐 전구체가 사용될 수 있다. 이들 중 일부는 R. G. Gordon, J, Barton, 그리고 Seigi Suh의 'Materials, Technologies, and Reliability for Advanced Interconnects and Low-K Dielectrics Ⅱ'(edited y S, Lahiri, Mat, Res, Soc, Proc, 714E, Pittsburgh PA, 2001)에서 인지되고 있다. 이것은 여기에 참고문헌으로써 모든 목적을 위하여 편입된다.After the reducing agent layer is created on the chamber surface, tungsten containing precursor gas is introduced to the substrate surface. See block 107. This tungsten-containing precursor is reduced upon contact with the sacrificial layer on the adsorbed substrate surface to form a tungsten metal layer. Any suitable tungsten-containing precursor may be used which can be reduced by the reducing agent of the sacrificial layer to produce a layer of tungsten metal in the present invention. Such suitable tungsten containing precursors include WF 6 , WCl 6 , W (C0) 6 , and combinations thereof. WF 6 has been found to work particularly well with the boron sacrificial layer. Various other tungsten precursors known to those skilled in the art can be used. Some of these are RG Gordon, J, Barton, and Seigi Suh's 'Materials, Technologies, and Reliability for Advanced Interconnects and Low-K Dielectrics II' (edited y S, Lahiri, Mat, Res, Soc, Proc, 714E, Pittsburgh PA, 2001). It is hereby incorporated by reference for all purposes.

금속성 텅스텐 층을 형성하는 다른 방법에서는, 텅스텐 함유 전구체가 환원제 전에 기질 표면으로 도입된다. 블록 111참조. 이 텅스텐-함유 전구체는 기질표면에 흡착되어 텅스텐-함유 전구체 박막(또는 텅스텐-함유 전구체의 부분)을 형성할 수 있다. 블록 113 참조, 이 공정 시퀀스의 다양성은 특히 이하의 불소에 기초한 웨이퍼 선세정에 효과적이다. In another method of forming the metallic tungsten layer, the tungsten containing precursor is introduced to the substrate surface before the reducing agent. See block 111. This tungsten-containing precursor may be adsorbed onto the substrate surface to form a tungsten-containing precursor thin film (or portion of the tungsten-containing precursor). See block 113, the diversity of this process sequence is particularly effective for the following wafer precleans based on fluorine.

적절한 텅스텐 함유 전구체는 기질표면을 흡착에 의하여 포화시킬 수 있는, 그리고 환원제에 의하여 환원되어 텅스텐 금속 층을 형성 할 수 있는 것이다. 일반적으로 전술한 전구체(WF6, WCl6, W(C0)6) 그리고 이들의 조합이 잘 작용한다.Suitable tungsten-containing precursors are those which can saturate the substrate surface by adsorption and are reduced by a reducing agent to form a tungsten metal layer. In general, the aforementioned precursors (WF 6 , WCl 6 , W (C0) 6 ) and combinations thereof work well.

기질 표면에 텅스텐 함유 전구체를 제공한 다음, 환원제가 웨이퍼 표면에 도입된다. 이것은 흡착된 텅스텐 함유 전구체 층(블록 115)을 환원하여 텅스텐 금속층을 형성한다. 역시, 흡착된 텅스텐 함유 전구체 층을 환원시켜 본 발명에 따른 텅스텐 금속층을 제조할 수 있는 어떠한 적절한 환원제도 사용될 수 있다. 예로서, 붕소 함유 환원제, 바람직하게는 보란, 보다 바람직하게는 디보란(B2H6)이 포함된다. 다른 예로서 실란 및 실란의 유도체가 포함된다.After providing a tungsten-containing precursor to the substrate surface, a reducing agent is introduced to the wafer surface. This reduces the adsorbed tungsten containing precursor layer (block 115) to form a tungsten metal layer. Again, any suitable reducing agent can be used that can reduce the adsorbed tungsten-containing precursor layer to produce the tungsten metal layer according to the present invention. As an example, a boron-containing reducing agent, preferably borane, more preferably diborane (B 2 H 6 ) is included. Other examples include silanes and derivatives of silanes.

공정이 작업 111. 113 그리고 115 또는 103, 105 그리고 107을 사용하는 가와 무관하게, 결과물인 생성물은 본질적으로 동일한 텅스텐 층이다. 이 점에서, 도 1에 도시된 바와 같이, 이 공정은 작업의 단일 세트로 집중된다. Regardless of whether the process uses operations 111. 113 and 115 or 103, 105 and 107, the resulting product is essentially the same tungsten layer. In this regard, as shown in FIG. 1, this process is concentrated in a single set of operations.

블록 117과 같이. 선택적인 수소 플라즈마 처치는 여분의 할로겐 부산물 및 반응하지 않은 할로겐 반응물을 제거하기 위하여 수행될 수 있다. 이 수소 플라즈마 처치는 화학적으로 때때로 기질의 표면 및/또는 반응기의 벽에 흡착되는 이들 화합물들을 제거한다. 이들은 웨이퍼 선세정 또는 텅스텐 전구체 도스 단계에서 기인할 수 있다.As in block 117. Optional hydrogen plasma treatment may be performed to remove excess halogen by-products and unreacted halogen reactants. This hydrogen plasma treatment chemically removes these compounds that sometimes adsorb to the surface of the substrate and / or the walls of the reactor. These may be due to wafer precleaning or tungsten precursor dose steps.

일단 텅스텐 금속 층이 형성되고 선택적으로 플라즈마가 처치되면, 질소 함유 가스가 기질표면으로 도입되어 적어도 텅스텐 금속의 상부층을 질화텅스텐으로 전환한다. 블록 119참조. 적절한 질소 함유 가스의 예에는 N2, NH3, NF3, 그리고 N2H4가 포함된다. 특히, 이 작업은 완전히 텅스텐 층을 질화 텅스텐으로 전환한다. 만일, 결과물인 질화물층이 의도된 용도를 위하여 충분히 두껍지 않은 경우, 전술한 텅스텐 증착 및 질화 단계는 반복될 수 있다. 만일 질화 텅스텐이 충분히 두껍다면, 이 공정은 완결된다. 121 결과 참조.Once the tungsten metal layer is formed and optionally plasma treated, a nitrogen containing gas is introduced to the substrate surface to convert at least the top layer of tungsten metal to tungsten nitride. See block 119. Examples of suitable nitrogen containing gases include N 2 , NH 3 , NF 3 , and N 2 H 4 . In particular, this operation completely converts the tungsten layer into tungsten nitride. If the resulting nitride layer is not thick enough for the intended use, the tungsten deposition and nitriding steps described above can be repeated. If tungsten nitride is thick enough, this process is complete. See results.

전술한 절차에 대한 일정한 변화는 명백할 것이다. 첫째, 기질은 가열냉각 또는 스퍼터 에치에 의한 웨이퍼 선세정(Ar 또는 Ar-H2) 또는 반응성 세정(F, F2, NF3, CF4, 등)에 의하여 미리 처리될 수 있다. 이 선세정은 고유의 산화물 및 전기적 접속으로 부터의 다른 오염물을 제거하거나 또는 접속이나 바이아스(vias)로 부터의 에치 잔여물을 제거하기 위하여 사용된다. 어떤 경우, 광 스퍼터 에치 또는 반응성 불소에 기초한 에치로 미리 조절함에 의하여 유전체 기질에의 PNL-WL 접착을 증진하는 것이 가능하다.Certain changes to the procedures described above will be apparent. First, the substrate can be pretreated by wafer pre-cleaning (Ar or Ar-H 2 ) or reactive cleaning (F, F 2 , NF 3 , CF 4 , etc.) by heat cooling or sputter etch. This precleaning is used to remove inherent oxides and other contaminants from electrical connections, or to remove etch residue from connections or vias. In some cases, it is possible to promote PNL-WL adhesion to the dielectric substrate by preconditioning with light sputter etch or etch based on reactive fluorine.

또한, PNL 회로(111, 113, 그리고 115 또는 103, 105 그리고 107)은 도판트 도입 작업을 포함할 수 있다. 도판트 전구체는 다른 세 반응물의 하나와 함께 도입되거나 또는 다른 반응물 없이 도입될 수 있다. 다른 경우에서, PNL회로는 도판트 공정을 위한 분리된 작업을 포함하여, 전체 회로는 4 개의 분리된 작업을 포함한다. 도판트의 예에는 인, 비소, 안티몬, 비스머스, 붕소, 알루미늄, 갈리움, 인디움, 질소, 탈륨 그리고 이들의 조합이 포함된다. In addition, the PNL circuits 111, 113, and 115 or 103, 105, and 107 may include dopant introduction operations. Dopant precursors may be introduced with one of the other three reactants or may be introduced without the other reactants. In other cases, the PNL circuit includes a separate operation for the dopant process, and the entire circuit includes four separate operations. Examples of dopants include phosphorus, arsenic, antimony, bismuth, boron, aluminum, gallium, indium, nitrogen, thallium and combinations thereof.

마지막으로, 분위기 가스를 반응 접촉 작업 사이에, 예를 들면 103 그리고 105 사이 , 그리고/또는 105 그리고 107, 그리고/또는 107 그리고 103 사이에 도입하는 것이 유리하다. 더욱이, 분위기 가스는 이들 작업 중 어디에서도 그리고 작업 도중 그리고 작업과 작업 사이에도 연속 적으로 흐르게 할 수 있다. 분위기 가스는 일반적으로 불활성이다. 예로서 노블 가스(예, 아르곤) 및 질소가 포함된다. Finally, it is advantageous to introduce atmospheric gases between reaction contacting operations, for example between 103 and 105, and / or between 105 and 107, and / or 107 and 103. Moreover, atmospheric gases can flow continuously in any of these operations and during and between operations. Atmospheric gases are generally inert. Examples include noble gases (eg argon) and nitrogen.

수소가스 또한 분위기 가스나 담체 가스로 사용될 수 있다. 수소는 WF6로 부터의 잔여 불소롤 효과적으로 중화하며 최종 PNL-WL 박막에서의 불소 또는 다른 할로겐의 수준을 감소시킨다. 수소는 전술한 문단에서 설명한 도판트 전구체의 일부와 함께 공동-반응물로써 요구될 수도 있다.Hydrogen gas may also be used as the atmosphere gas or the carrier gas. Hydrogen effectively neutralizes the residual fluorine from WF 6 and reduces the level of fluorine or other halogens in the final PNL-WL thin film. Hydrogen may be required as a co-reactant with some of the dopant precursors described in the foregoing paragraphs.

증착 단위 작업Deposition unit work

위와 같이, 본 발명의 방법은 펄스 핵형성(PNL) 및 관련 증착 기술을 사용한다. 이들 기술은 질화 텅스텐을 형성하기 위하여 사용된다. 이하에서는 본 발명에 따른 질화텅스텐 증착기술을 설명한다. As above, the method of the present invention uses pulse nucleation (PNL) and related deposition techniques. These techniques are used to form tungsten nitride. Hereinafter, a tungsten nitride deposition technique according to the present invention will be described.

PNL 증착은 일반적으로 체임버로부터 순차적인 반응물의 주입 및 제거에 의하여 복수의 원자-규모의 층을 웨이퍼 표면에 증착시키는 방법이다. PNL 증착은 전통적인 CVD 기술과 화학 반응물 가스는, 동시에 주입되는 반응 가스 대신, 독립적으로, 때때로 펄스 형태로, 주입되며, 그러므로 이들은 일반적으로 체임버 내에서 혼합되지 않는다는 점에서 다르다. 예를 들면, 가스 A와 B를 사용하는 경우, 가스 A가 먼저 체임버로 주입되며 가스 A의 분자가 화학적으로 또는 물리적으로 기질의 표면의 흡착되어, A의 포화된 층을 형성한다. 일반적으로 체임버 내에 남아있는 가스 A는 불활성 기체를 사용하여 정화된다. 그 후, 가스 B가 주입되어 흡착된 A의 층과 접촉하고 반응하여 A와 B의 반응 생성물을 형성한다. 이 반응은 흡착된 A의 양에 의하여 제한되므로, 그리고 A는 비교적 고르게 기질 표면상에 분포하므로, 우수한 스텝 커버리지가 얻어진다. B는 기질위로 A와 B 사이의 반응에 충분한 시간만큼 흐르게 된다. 즉, 모든 흡착된 A는 반응에 소비된다. 이 시점의 후에, 잔여 B 그리고 반응의 부산물은 체임버로부터 정화된다. 이 공정은 증착될 물질의 다중층을 위하여 반복된다. PNL deposition is generally a method of depositing a plurality of atomic-scale layers on the wafer surface by sequential injection and removal of reactants from the chamber. PNL deposition differs in that traditional CVD techniques and chemical reactant gases are injected independently, sometimes in pulsed form, instead of reactant gases injected simultaneously, and therefore they are generally not mixed in the chamber. For example, when using gases A and B, gas A is first injected into the chamber and molecules of gas A are chemically or physically adsorbed on the surface of the substrate to form a saturated layer of A. In general, the gas A remaining in the chamber is purified using an inert gas. Thereafter, gas B is injected to contact and react with the adsorbed layer of A to form a reaction product of A and B. Since this reaction is limited by the amount of A adsorbed, and A is distributed evenly on the substrate surface, good step coverage is obtained. B is allowed to flow on the substrate for a time sufficient to react between A and B. That is, all adsorbed A is consumed in the reaction. After this point, the remaining B and byproducts of the reaction are purged from the chamber. This process is repeated for multiple layers of material to be deposited.

PNL 증착은 원자 층 증창(ALD)과 유사하다. 이것은 또한 웨이퍼 표면에 순차적인 반응물의 주입을 포함한다. 본 발명은 바람직하게는 PNL 기술을 사용한다. 그러나 일반적으로 어떠한 ALD 또는 ALE(atomic layer epitaxy)기술도 사용될 수 있다. 다양한 화학증기증착(CVD) 또한 사용될 수 있다. ALD 및 PNL은 모두 길거나 짧은 반응물 노출 도스 시간을 사용할 수 있다. 결과물의 미세구조는 일반적으로 물질의 순도의 작용이며, 이것은 다시 ALD 및 PNL 모두에서 조정가능하다. 정의가 약간 다르기는 하나 확실히 ALD 및 PNL 사이에는 상당한 중복이 있다. PNL-W 대 ALD-W의 중요한 차이점의 하나는, 바람직한 PNL-W 공정은 일반적으로 3-4 원자 층의 텅스텐을 증착 사이클당 증착한다는 것인데, 이것은 보다 학술적으로 편향된 ALD 공정의 단일 원자층(또는 그 미만)에 비교된다. 작업상의 차이점은 순수한 ALD는 일반적으로 PNL보다 현저하게 낮은 압력에서 수행된다는 것이다. (mTorr 대 1-100Torr) 순수한 ALD는 반응물 정화(purge) 단계에서 단지 증착 체임버로부터 남은 반응물을 쓸어내기 위해서는 불활성 담체 분위기 가스에 의존하기 보다는 저압 반응 펌핑을 사용할 것이다. 결국, 순수한 ALD는 일반적으로 도스 공정에서, PNL-W 그리고 PNL-WN을 위하여 계획된 바와 같이, 반응성 담체 가스(H2와 같은)를 포함할 수 없다. 붕소에 기초한 PNL-WN 증착의 특정 경우에서, B2H6는 (SiH4 또는 WF6 흡착과 달리) 자체-제한 공정이 아니며, 그 보다는 진정한 CVD 공정이다.PNL deposition is similar to atomic layer thickening (ALD). This also includes sequential injection of reactants into the wafer surface. The present invention preferably uses PNL technology. Generally, however, any ALD or atomic layer epitaxy (ALE) technique can be used. Various chemical vapor deposition (CVD) can also be used. Both ALD and PNL can use long or short reactant exposure dose times. The microstructure of the result is generally a function of the purity of the material, which in turn is adjustable in both ALD and PNL. Although the definition is slightly different, there is certainly a significant overlap between ALD and PNL. One important difference between PNL-W and ALD-W is that the preferred PNL-W process typically deposits 3-4 atomic layers of tungsten per deposition cycle, which is a single atomic layer (or more academically biased ALD process). Less than that). The operational difference is that pure ALD is generally performed at significantly lower pressures than PNL. (mTorr vs. 1-100 Torr) Pure ALD will use low pressure reaction pumping rather than relying on inert carrier atmosphere gas to sweep away the remaining reactants from the deposition chamber in the reactant purge step. As a result, pure ALD generally cannot contain reactive carrier gases (such as H 2 ) in the DOS process, as planned for PNL-W and PNL-WN. In the specific case of PNL-WN deposition based on boron, B 2 H 6 is not a self-limiting process (unlike SiH 4 or WF 6 adsorption), but rather a true CVD process.

위와 같이, 질화 텅스텐 PNL 증착은 웨이퍼 표면에 다른 시간에 도입되는 환원제, 텅스텐 전구체, 그리고 질화제를 사용한다. 질화제는 일반적으로 원소성 텅스텐 층이 형성된 다음에 도입된다. 이 층은 환원제를 흡착된 텅스텐 전구체 층과 접촉함에 의하여 또는 텅스텐 전구체를 환원제가 흡착된 층 또는 희생층과 접촉함에 의하여 형성된다. 어느 방법에 의하여도, 체임버-정화 작업은 개별적인 반응물의 펄스를 분리한다. As above, tungsten nitride PNL deposition uses a reducing agent, tungsten precursor, and nitriding agent introduced at different times on the wafer surface. Nitriding agents are generally introduced after the elemental tungsten layer is formed. This layer is formed by contacting the reducing agent with the adsorbed tungsten precursor layer or by contacting the tungsten precursor with the reducing agent adsorbed layer or sacrificial layer. By either method, the chamber-purification operation separates the pulses of the individual reactants.

질화텅스텐 PNL 증착기술의 일예는 도 2A-2D에 도시된다. 이 그림은 분자 수준에서 기질 표면에 질화 텅스텐 층이 형성되는 단면을 순차적으로 도시한다. 이 도면은 PNL 증착에 대한 이해를 증진하기 위하여 만화로 표현된 것임에 유의한다. 이들은 본 발명을 제한하기 위한 것이 아니며 개략적으로 도시하고 있다. One example of a tungsten nitride PNL deposition technique is shown in FIGS. 2A-2D. This figure sequentially shows the cross section in which a tungsten nitride layer is formed on the substrate surface at the molecular level. Note that this figure is presented in a cartoon to enhance understanding of PNL deposition. These are not intended to limit the invention but are shown schematically.

도 2A에서, 기질 (201)은 디보란(203)에 노출된다. 디보란 종은 기질의 표면에 BHx 종(205)의 형태로 흡착된다. 이 BHx 종(205)은 기질 표면과 작용하여 원소의 붕소 종(207)을 형성한다. 붕소는 PNL에 의한 질화 텅스텐을 생성하기 위하여 특히 우수한 환원제로 밝혀졌다. 가능하게는, 이것은 유전체 표면의 수산화 그룹과 작용하고 접착과 핵형성을 촉진하기 위하여 이들을 벗겨낸다. 또한, 붕소는 다른 공정으로 부터의 또는 저-k 유전체로부터 전여 불소를 제거하거나 끄집어낸다. 아마도, 이것은 앵커(anchor) 지점을 형성하여 이로부터 텅스텐의 기저 유전체 층에 대한 우수한 접착을 증진할 것이다. In FIG. 2A, substrate 201 is exposed to diborane 203. Diborane species are adsorbed in the form of BHx species 205 on the surface of the substrate. This BHx species 205 interacts with the substrate surface to form boron species 207 of the element. Boron has been found to be a particularly good reducing agent for producing tungsten nitride by PNL. If possible, it works with the hydroxyl groups on the dielectric surface and strips them out to promote adhesion and nucleation. Boron also removes or draws off the donor fluorine from other processes or from low-k dielectrics. Perhaps this will form an anchor point and promote good adhesion therefrom to the underlying dielectric layer of tungsten.

섭씨 약 250도 이상의 온도에서는, 붕소 종은 빠르게 분해하여 원소의 붕소를 형성한다. 이 붕소 형성 공정은 붕소 전구체가 존재하는 한 그리고 이 분해반응의 유도력이 존재하는 한 계속된다. 이 반응은 예를 들면 실리콘 다이옥사이드 그리고 저 k 유전체와 같은 유전체에서 잘 진행된다. 위와 같이, 결과물인 원소의 붕소 층은 때때로 "희생층"이라고 지칭된다. At temperatures above about 250 degrees Celsius, boron species rapidly decompose to form elemental boron. This boron forming process continues as long as the boron precursor is present and as long as there is an inducing force for this decomposition reaction. This reaction proceeds well in dielectrics such as, for example, silicon dioxide and low k dielectrics. As above, the resulting boron layer of the element is sometimes referred to as the "sacrificial layer".

붕소 희생층의 형성은 자체-제한 반응이 아니다. 이 붕소는 1 원자 층을 초과하는 두께로 잘 축적될 수 있다. 그러므로, 디보란(203)을 노출시티는 것은 요구되는 두께의 붕소층이 얻어지는 지점까지로 제한되어야 한다. 일반적으로 요구되는 두께는 붕소 종의 약 1 에서 2 단일 층이다. 바람직하게는, 두께는 약 10 옹스트롱 미만이며, 더욱 바람직하게는 약 3 내지 10 옹스트롱이다. The formation of the boron sacrificial layer is not a self-limiting reaction. This boron can accumulate well to a thickness in excess of one atomic layer. Therefore, exposing the diborane 203 should be limited to the point where a boron layer of the required thickness is obtained. Generally the required thickness is about 1 to 2 monolayers of boron species. Preferably, the thickness is less than about 10 Angstroms, more preferably about 3 to 10 Angstroms.

붕소 희생층의 생성동안, 적절한 디보란 가스 압력의 범위는 약 0.1 내지 300 Torr이며, 보다 바람직하게는 약 1 내지 100Torr 이며 가장 바람직하게는 약 1 내지 40 Torr이다. 이것은 다른 것 보다는 디보란 환원제에 적용된다. 바람직하게는, 기질의 온도는 적어도 약 300℃이다. 보다 바람직하게는 약 300 내지 500℃이며 보다 바람직하게는 350 내지 400℃이다. 특정 실시예에서, 디보란은 약 200sccm(장치당)의 속도로 약 0.5 내지 3초 동안 공급된다. 예를 들어 2초 정화가 그다음에 텅스텐 전구체의 도입 저에 적용된다. During generation of the boron sacrificial layer, a suitable diborane gas pressure ranges from about 0.1 to 300 Torr, more preferably about 1 to 100 Torr and most preferably about 1 to 40 Torr. This applies to diborane reducing agents than others. Preferably, the temperature of the substrate is at least about 300 ° C. More preferably, it is about 300-500 degreeC, More preferably, it is 350-400 degreeC. In certain embodiments, diborane is supplied for about 0.5 to 3 seconds at a rate of about 200 sccm (per device). For example a two second purge is then applied to the bottom of the introduction of the tungsten precursor.

도 2B에서, 일반 붕소 희생층(211)이 요구되는 두께로 형성되면, 기질 표면(201)은 텅스텐 헥사플로라이드(WF6) 가스 (209)또는 다른 적절한 전구체에 노출된다. 이 텅스텐 헥사플로라이드(WF6)(209) 종은 붕소 희생층과 접촉하여 환원되며, 이로써 얇은 텅스텐 금속막을 형성한다. 도 2C의 215 층 참조. 이 텅스텐 금속 층의 두께는 붕소 희생층의 반응에 의하여 생성될 수 있는 양으로 제한된다. 이 불화된 제제에의 지나친 노출을 방지하기 위하여 WF6의 용량을 제한하는 것이 바람직함에 유의한다. 왜냐하면 불소는 노출된 실리콘 산화물 또는 다른 기질의 특성을 공격할 수 있기 때문이다. 그러므로 붕소의 두께는 위와같이 제한된다. 아르곤과 같은 불활성 기체는 부산물을 희석하거나 반응기 내의 유동성 기체 상에 있는 종을 제거하기 위하여 사용된다.In FIG. 2B, once the normal boron sacrificial layer 211 is formed to the required thickness, the substrate surface 201 is exposed to tungsten hexafluoride (WF 6 ) gas 209 or other suitable precursor. This tungsten hexafluoride (WF 6 ) 209 species is reduced in contact with the boron sacrificial layer, thereby forming a thin tungsten metal film. See 215 layer of FIG. 2C. The thickness of this tungsten metal layer is limited to the amount that can be produced by the reaction of the boron sacrificial layer. Note that it is desirable to limit the dose of WF 6 to prevent excessive exposure to this fluorinated formulation. Because fluorine can attack the properties of exposed silicon oxide or other substrates. Therefore, the thickness of boron is limited as above. Inert gases, such as argon, are used to dilute by-products or to remove species on the flowable gas in the reactor.

전술한 바와 같이, 어떤 실시예에서, 새로 형성된 텅스텐 금속 층의 표면은 수소 플라즈마로 처리하여 화학적 부산물을 제거하는 것을 돕는다. 수소 플라즈마에 노출하는 시간은 할로겐 부산물 및 반응하지 않고 남아 다음 단계의 증착사이클에서 도입되는 반응물과 반응하거나 또는 반응하지 않는 전구체를 제거하기에 충분한 시간이다. 만일 수소 플라즈마 처리가 사용되면, 수소플라즈마 펄스는 약 0.1 내지 30 초의 지속시간 사이인 것이 바람직하다. As noted above, in some embodiments, the surface of the newly formed tungsten metal layer is treated with hydrogen plasma to help remove chemical byproducts. The time of exposure to the hydrogen plasma is sufficient time to remove halogen by-products and precursors that remain unreacted and react with or not react with the reactants introduced in the next deposition cycle. If hydrogen plasma treatment is used, the hydrogen plasma pulse is preferably between about 0.1 to 30 seconds in duration.

텅스텐 함유 전구체를 붕소 희생층과 환원 반응하는 동안, 적절한 가스의 압력은 환원제의 증착을 위하여 위와 같이 정한다. 적절한 공정의 온도는 약 200 내지 450℃, 보다 바람직하게는 약 250 내지 350℃이다. 특성 실시예에서, 텅스텐 헥사플로라이드는 약 150sccm(장치당)의 유속으로 공급되며, 공급시간은 약 0.25 내지 3초이다. 이것은 예를 들면 약 2초간의 분위기 가스에 의하여 수반된다. During the reduction reaction of the tungsten containing precursor with the boron sacrificial layer, the appropriate gas pressure is determined as above for the deposition of the reducing agent. Suitable temperatures of the process are about 200 to 450 ° C, more preferably about 250 to 350 ° C. In a specific embodiment, tungsten hexafluoride is supplied at a flow rate of about 150 sccm (per device), with a feed time of about 0.25 to 3 seconds. This is accompanied by, for example, atmospheric gas for about 2 seconds.

다시 도 2C에서, 일단 텅스텐 금속 층이 형성되고 부산물이 충분히 정화되면, 질화제가 NH3(213)의 형태로 기질 표면에 도입된다. 이 실시예에서, 텅스텐 금속층은 약 1 단일층 두께이며, 결과물인 질화 텅스텐은 그러므로 또한 약 1 단일층 두께이다. 질화텅스텐은 도2D의 층(217)에 도시되어 있다. 만일 텅스텐 금속층이 1단일층 두께 이상이면, 반응은 제어되어 오직 텅스텐 금속의 상부만이 질화텅스텐으로 전환된다. 일 실시예에서, 텅스텐이 완적이 질화 텅스텐으로 전환되는 것이 바람직하다. 이는 질화텅스텐이 원소의 텅스텐 보다 더욱 강하게 유전체에 접착하기 때문이다. 이것은 B2H6-WF6 반응 동안 형성되는 텅스텐의 두께를 제한하는 또 다른 필요성을 제공한다. 전술한 바와 같이, 만일 더 두꺼운 질화텅스텐이 요구되면, 도 2A-2D의 공정은 원하는 두께가 달성될 때까지 반복될 수 있다.Again in FIG. 2C, once the tungsten metal layer is formed and the by-products have been sufficiently purged, the nitriding agent is introduced to the substrate surface in the form of NH 3 213. In this embodiment, the tungsten metal layer is about one monolayer thick and the resulting tungsten nitride is therefore also about one monolayer thick. Tungsten nitride is shown in layer 217 of FIG. 2D. If the tungsten metal layer is more than one single layer thick, the reaction is controlled so that only the top of the tungsten metal is converted to tungsten nitride. In one embodiment, it is preferred that tungsten is converted to tungsten nitride. This is because tungsten nitride adheres to the dielectric more strongly than elemental tungsten. This provides another need to limit the thickness of tungsten formed during the B 2 H 6 -WF 6 reaction. As mentioned above, if thicker tungsten nitride is required, the process of FIGS. 2A-2D can be repeated until the desired thickness is achieved.

질화 공정 동안, 적절한 가스의 압력은 환원제를 위하여 위에 설명된 바와 같다. 적절한 공정 온도는 약 200 내지 450℃, 보다 바람직하게는 약 300 내지 400℃이다. 질소함유 가스는, 적어도 부분적으로 플라즈마로 전환되며, 이것은 일 실시예의 경우, PF 전원의 전극과 함께 기질을 편향(biasing)하게 함으로써 기질로 도입된다. 더욱이, 이 공정은 자외선 소스 또는 다른 에너지 자극원을 사용하여 질화 반응을 촉진하다. 특정 실시예에서, 암모니아가 약 250sccm(장치당)의 유속으로 약 1 내지 10초 동안 공급된다. 이것은 예를 들면 약 2초의 분위기 가스에 의하여 수반된다. During the nitriding process, the appropriate gas pressure is as described above for the reducing agent. Suitable process temperatures are about 200 to 450 ° C, more preferably about 300 to 400 ° C. The nitrogenous gas is converted, at least in part, into a plasma, which in one embodiment is introduced into the substrate by biasing the substrate together with the electrodes of the PF power supply. Moreover, this process uses an ultraviolet source or other energy stimulus to promote the nitriding reaction. In certain embodiments, ammonia is supplied for about 1 to 10 seconds at a flow rate of about 250 sccm (per device). This is accompanied by, for example, an atmospheric gas of about 2 seconds.

도 2A-2D에는 도판트 증착 유닛 작업은 도시되어 있지 않다. 이러한 공정은 선택적이며, 질화 텅스텐 층의 용도에 따른다. 만일 분리된 도판트 증착 작업이 사용되는 경우, 환원제를 위하여 위에서 정하여진 것 중 하나와 매치되는 압력이 사용될 것이다. 또한, 전환하고 도판트 전구체를 원소 도판트로 전환하는 반응을 진행하기에 적합한 온도가 사용될 것이다. 전구체 화합물의 예에는 B2H6, NH3, SiH4, 포스핀 그리고 아르신이 포함된다.Dopant deposition unit operations are not shown in FIGS. 2A-2D. This process is optional and depends on the use of the tungsten nitride layer. If a separate dopant deposition operation is used, a pressure that matches one of those specified above for the reducing agent will be used. In addition, suitable temperatures will be used to proceed with the conversion and conversion of the dopant precursor to the elemental dopant. Examples of precursor compounds include B 2 H 6 , NH 3 , SiH 4 , phosphine and arsine.

전술한 바와 같이, 본 발명의 한 측면은 PNL 또는 다른 수단에 의하여 PNL-WN의 첫 번째 증착 이전에 증착되는 텅스텐의 얇은 박막의 함유물(inclusion)을 제공한다. 한 수행의 경우, 이것은 PNL-WN공정의 질화 시퀀스를 첫 번째 몇 증착 사이클 동안 단순히 억제함으로써 수행된다. W 씨드 층이 증착된 후, PNL-WN 공정은 진공 휴지(break) 없이 연속되어 PNL-WN 그리고 가능하게는 PNL-W 핵형성 그리고 CVD -W의 요구되는 총 용량을 증착한다. As noted above, one aspect of the present invention provides the inclusion of a thin film of tungsten deposited prior to the first deposition of PNL-WN by PNL or other means. In one implementation, this is done by simply inhibiting the nitride sequence of the PNL-WN process during the first few deposition cycles. After the W seed layer is deposited, the PNL-WN process continues without vacuum break to deposit the required total capacity of PNL-WN and possibly PNL-W nucleation and CVD-W.

본 발명은 이들은 얇은 막(<50Å 그리고 바람직하게는 약 10-50Å)의 텅스텐 시드 층을 PNL-WN증착 전에 도입함으로써 유전체에 대한 접착력을 현저하게 증진키는 것을 달성할 수 있다는 것을 발견하였다. 이러한 개선을 위한 가능한 메커니즘은 보다 완벽한 W2N 복합물을 위하여 만일 고유의 PNL-WN 공정이 질소가 풍부하다면 WN-유전체 인터페이스에서 WN 박막의 화학양론을 변화시키는 것을 포함하며 이에 제한되지는 않는다. X-선 회절 분석 연구는 이 박막이 보다 우수한 접착력을 가지는 명백한 W2N 미세구조임을 보여준다.The present invention has found that they can achieve significant enhancement of adhesion to the dielectric by introducing a thin film (<50 ms and preferably about 10-50 ms) of tungsten seed layer prior to PNL-WN deposition. Possible mechanisms for this improvement include, but are not limited to, changing the stoichiometry of the WN thin film at the WN-dielectric interface if the native PNL-WN process is nitrogen rich for a more complete W2N composite. X-ray diffraction analysis studies show that this film is an apparent W2N microstructure with better adhesion.

얇은 텅스텐 씨드는 또한 금속 규소화합물 또는 실리콘과 접속, 비트라이(bitline), 또는 다른 구조에서 반응하는 것을 위하여 사용될 수 있다. 결과물인 텅스텐 규소화합물은 티타늄이 현재의 텅스텐 금속화 개요에서 작용하는 것과 같이 WN-규소화합물(NiSx, CoSix, TiSix) 또는 WN-Si(N+, P+, 폴리-Si) 인터파이스의 전기적 저항을 감소시킬 수 있다. 이 텅스텐 씨드는 약 500℃이상의 온도(바람직하게는 약 550 내지 650℃)에서 실리콘과 반응하여 규소화합물을 생성한다. 그러므로 이 얇은 규소화합물의 중간층은 전통적인 Ti-TiN-W 박막 적층이 W-씨드/PNL-WN/PNL-W/CVD-W 박막으로 대체되었을 때 텅스텐 및 질화 텅스텐 접속의 실리콘 또는 금속 규소화합물에 대한 접속 저항을 감소시키는 매우 유리한 장점이 있다. 이 얇은 텅스텐 씨드는 약 550℃이상의 온도에서 쉽게 텅스텐 규소화합물로 전환되며, 이것은 극적으로 WN-Si 인터페이스이 저항을 낮춘다. (PNL-WN는, 다른 한편으로, 약 750℃에 도달할 때까지는 실리콘과의 반응에 대하여 저항성이다)이 텅스텐 씨드 실시예는 얇은 그리고 쉽게 제어되는 텅스텐 규소화합물 층을 감소된 접속 저항을 위하여 형성하게 한다. Thin tungsten seeds may also be used to react with metal silicon compounds or silicon in connection, bitline, or other structures. The resulting tungsten silicon compound reduces the electrical resistance of WN-silicon compounds (NiSx, CoSix, TiSix) or WN-Si (N +, P +, poly-Si) interfades, as titanium acts in the current tungsten metallization scheme. You can. This tungsten seed reacts with silicon at a temperature of about 500 ° C. or more (preferably about 550-650 ° C.) to produce silicon compounds. Therefore, the interlayer of this thin silicon compound is suitable for the silicon or metal silicon compound of tungsten and tungsten nitride connection when the traditional Ti-TiN-W thin film stack is replaced by W-seed / PNL-WN / PNL-W / CVD-W thin film. There is a very advantageous advantage of reducing the connection resistance. These thin tungsten seeds are easily converted to tungsten silicon compounds at temperatures above about 550 ° C, which dramatically reduces the resistance of the WN-Si interface. (PNL-WN, on the other hand, is resistant to reaction with silicon until it reaches about 750 ° C.) This tungsten seed embodiment forms a thin and easily controlled tungsten silicon compound layer for reduced connection resistance. Let's do it.

다른 측면으로, 본 발명은 PNL-WM 그리고 텅스텐의 변화된 층을 제공한다. 이 층이 매우 얇은 경우(바람직하게는 약 10Å 미만) 층의 구조는 자체-가열냉각(anneal)되어 질화 텅스텐의 보다 텅스텐-풍부한 형태로 된다. 층구조의 WN-W증착에 의하여 제공된 광범위한 화학양론적 제어를 사용함으로써 복합 물질의 작업 기능을 조절하는 것이 가능하다. 이것은 게이트 전극 및 축전기 전극의 용도 모두를 위하여 메우 중요한다. 또한 최종 박막의 열적 안정성을 초과 질소를 W2N과 같은안정한 질화 텅스텐으로 화학양론에 의한 화학적 결합에 의하여 조절하는 것은 유익하다. In another aspect, the present invention provides a modified layer of PNL-WM and tungsten. If this layer is very thin (preferably less than about 10 mm 3) the structure of the layer is annealed to a more tungsten-rich form of tungsten nitride. By using a wide range of stoichiometric controls provided by layered WN-W deposition it is possible to regulate the working function of the composite material. This is very important for both the use of gate electrodes and capacitor electrodes. It is also beneficial to control the excess nitrogen of the final thin film by stoichiometric chemical bonding with stable tungsten nitride, such as W2N.

또한 PNL-WN 또는 PNL-W로 시작하는 층 구조를 형성하는 것 또한 바람직하다. 바람직한 실시예에서, 층상 구조는 텅스텐으로 시작하며 그리고 끝난다. It is also desirable to form a layer structure starting with PNL-WN or PNL-W. In a preferred embodiment, the layered structure starts and ends with tungsten.

PNL-WN 증착을 수소-아르곤 환경 또는 불소 제거 환경에서 수행하는 것이 유리하다. 매우 낮은 불소 함량을 갖는 PNL-WN 박막을 생성하는 것은 많은 성공적인 용도에서 중요하다. 이 목적이 달성될 수 있는 하나의 전략은 Ar-H2 담체 가스 혼합물을 사용하는 것이다. H2는 자유 불소와 반응하여 HF를 생성하고, 이것은 반도체 웨이퍼로부터 효과적으로 제거될 수 있다. 바람직한 실시예에서, Ar-H2 It is advantageous to carry out PNL-WN deposition in a hydrogen-argon environment or a fluorine removal environment. Creating PNL-WN thin films with very low fluorine content is important for many successful applications. One strategy where this object can be achieved is to use an Ar—H 2 carrier gas mixture. H 2 reacts with free fluorine to produce HF, which can be effectively removed from the semiconductor wafer. In a preferred embodiment, Ar-H 2

담체 가스 혼합물은 대략 50%의 수소이다. The carrier gas mixture is approximately 50% hydrogen.

응용Applications

본 발명의 다양한 응용이 아래에 발표된다. Various applications of the present invention are presented below.

응용1:구리 확산 장벽Application 1: Copper Diffusion Barrier

상감 공정은 구리 확산 장벽으로 질화텅스텐을 사용한다. 질화텅스텐층이 구리 금속화 층에 대한 접착층으로 작용함이 또한 발견되었다.The damascene process uses tungsten nitride as the copper diffusion barrier. It has also been found that the tungsten nitride layer acts as an adhesive layer to the copper metallization layer.

구리 금속화 층은 두 성분, 즉 제1 시드 박층과 제2 전해질 벌크 구리층을 포함할 수 있다. 시드 층은 물리적 증착(PVD), CVD, ALD 또는 무-전기 침착에 의해 침착될 수 있다. 무-전기 침착은 구리 이온 소스, 환원제, 및 용액을 알칼리성이 되게 하는 염기를 포함한 도금 용액으로 달성된다. 중공 음극 전자관을 사용한 PVD공정이 선호되지만 다른 PVD장치도 사용될 수 있다.The copper metallization layer may comprise two components, a first seed thin layer and a second electrolyte bulk copper layer. The seed layer may be deposited by physical vapor deposition (PVD), CVD, ALD or electroless deposition. Electroless deposition is accomplished with a plating solution comprising a copper ion source, a reducing agent, and a base that renders the solution alkaline. PVD processes using hollow cathode electron tubes are preferred, but other PVD devices may be used.

질화텅스텐은 PNL 또는 ALD에 의해 침착된다. 금속 텅스텐 층을 통해 간접적으로 또는 질화물에 직접적으로 구리 시드 층이 형성될 수 있다. 금속 텅스텐층이 사용될 경우 유전체, 질화텅스텐, 금속 텅스텐 및 구리로 구성된 스택이 형성된다. 금속 텅스텐은 PNL, ALD, CVD 또는 이의 조합에 의해 형성될 수 있다.Tungsten nitride is deposited by PNL or ALD. The copper seed layer can be formed indirectly through the metal tungsten layer or directly to the nitride. If a metal tungsten layer is used, a stack consisting of dielectric, tungsten nitride, metal tungsten and copper is formed. Metal tungsten can be formed by PNL, ALD, CVD or a combination thereof.

다음은 여러 공정 옵션을 제시한다.The following presents several process options.

1. PNL WNx-PNLW-CVDW(2단계 텅스텐 침착)-HCM-Cu 시드(HCM=중공 음극 전자관)-전기분해 구리 도금1.PNL WNx-PNLW-CVDW (Two Stage Tungsten Deposition) -HCM-Cu Seed (HCM = Hollow Cathode Electron Tube) -Electrolytic Copper Plating

2. PNL WNx-PNLW-HCM-Cu 시드-전기분해 구리 도금2. PNL WNx-PNLW-HCM-Cu Seed-Electrolytic Copper Plating

3. PNL WNx-CVDW-HCM-Cu 시드-전기분해 구리 도금3. PNL WNx-CVDW-HCM-Cu seed-electrolytic copper plating

4. PNL WNx-HCM-Cu 시드-전기분해 구리 도금4.PNL WNx-HCM-Cu Seed-Electrolytic Copper Plating

5. PNL WNx-PNLW-CVDW-무-전기 구리 시드 및 전기분해 구리 충진5. PNL WNx-PNLW-CVDW-electroless copper seed and electrolytic copper filling

6. PNL WNx-PNLW-무-전기 구리 시드 및 전기분해 구리 충진6. PNL WNx-PNLW-electroless copper seed and electrolytic copper filling

7. PNL WNx-무-전기 구리 시드 및 전기분해 구리 충진7. PNL WNx-electroless copper seed and electrolytic copper filling

각 경우에 PNL WNx는 접착층으로 작용한다. PNL WNx 또는 PNL-W는 둘 다 구리 장벽으로 작용한다. Ta-TaN과 같은 전통적인 장벽에 대한 WN-W 장벽의 장점은 등각성(>10:1 AR 접촉부에서 100%) 및 향상된 텅스텐-구리 결합으로 인한 향상된 전기이동 저항성을 포함한다.In each case PNL WNx acts as an adhesive layer. PNL WNx or PNL-W both act as copper barriers. Advantages of the WN-W barrier over traditional barriers such as Ta-TaN include conformality (100% at> 10: 1 AR contacts) and improved electrophoresis resistance due to improved tungsten-copper bonding.

WN-W가 구리 시드 침착과 집적되지 않는 경우(단일 진공 집적 공구에서) 아르곤이나 아르곤-수소 이온 폭격에 의해 W표면으로부터 산화물이 제거될 수 있다. 비-진공 집적 W-Cu의 경우 세정 후에 W-Cu 접착이 크게 향상된다.If the WN-W is not integrated with copper seed deposition (in a single vacuum integration tool), oxides may be removed from the W surface by argon or argon-hydrogen ion bombardment. For non-vacuum integrated W-Cu, W-Cu adhesion is greatly improved after cleaning.

옵션1 및 5는 CVD 및 PNL 침착 공정을 둘 다 포함한 2단계 텅스텐 침착 공정을 포함한다. 이것은 CVD 침착된 필름이 낮은 비저항을 가지고 PNL 침착된 필름은 양호한 스텝 커버를 가지기 때문에 행해질 수 있다.Options 1 and 5 include a two stage tungsten deposition process including both CVD and PNL deposition processes. This can be done because the CVD deposited film has a low resistivity and the PNL deposited film has a good step cover.

옵션5-7의 경우 직접적인 도금은 질화텅스텐보다 텅스텐 표면에서 더 효과적이므로 PNL-WN/PNL-W 스택이 선호된다. 산화텅스텐의 용매화에 의해 Cu-W계면으로부터 최초 산화텅스텐의 제거를 촉진하기 위해 염기성 도금 용액에서 텅스텐/질화텅스텐 장벽 스택 상에 직접적인 도금이 수행되는 것이 좋다.For option 5-7, direct plating is more effective on tungsten surfaces than tungsten nitride, so the PNL-WN / PNL-W stack is preferred. Direct plating is performed on the tungsten / tungsten nitride barrier stack in basic plating solution to facilitate removal of the initial tungsten oxide from the Cu—W interface by solvation of tungsten oxide.

응용2:텅스텐 플러그필(flugfill)Application 2: tungsten plugfill

본 발명의 PNL질화텅스텐 침착 방법은 IC 웨이퍼 제조에서 접촉 또는 바이어 충진을 위한 텅스텐 플러그 발생 공정에서 사용된다. 질화텅스텐층은 확산 장벽과 텅스텐 접촉부에 대한 접착층으로 작용한다. PNL WN 장벽 또는 텅스텐 플러그필에 대한 접착층은 텅스텐, 금속 규화물(TiSix, CoSix, NiSix, WSix), 실리콘(N+, P+) 또는 다른 전기 전도성 물질과 접촉한다. 이것은 PNL-W(시드 층)/PNL-WN(장벽-접착층)/PNL-W(핵화 층)/CVD-W(일차 도체 및 벌크 플러그필)을 포함한 집적된 접촉 플러그 필름 스택에 조합될 수 있다. 이러한 텅스텐 플러그필 집적은 전통적인 Ti/TiN/W 텅스텐 플러그필 필름 스택을 대체할 의도이다.The PNL tungsten nitride deposition method of the present invention is used in a tungsten plug generation process for contact or via filling in IC wafer fabrication. The tungsten nitride layer acts as an adhesion layer to the diffusion barrier and the tungsten contact. The adhesive layer for the PNL WN barrier or tungsten plugfill is in contact with tungsten, metal silicides (TiSi x , CoSi x , NiSi x , WSi x ), silicon (N +, P +) or other electrically conductive material. It can be combined in an integrated contact plug film stack including PNL-W (seed layer) / PNL-WN (barrier-adhesive layer) / PNL-W (nucleation layer) / CVD-W (primary conductor and bulk plug fill). . This tungsten plugfill integration is intended to replace traditional Ti / TiN / W tungsten plugfill film stacks.

90nm 이상의 디바이스 기하에 대한 현재의 반도체 금속화에서 텅스텐은 접촉부나 바이어 적용을 위한 일차 도체로 사용된다. 요즈음 사용되는 전형적인 필름 스택은 PVD-Ti/CVD-TiN/W 핵화/CVD-W이다. PNL-WN을 사용하여 상기 필름 스택을 PNL-WN/PNL-W(핵화)/CVD-W로 단순화 시킬 수 있다. PNL-W의 적용은 당해 기술에 비해 많은 장점을 갖는다. 첫째 Ti-TiN 반도체 필요성을 제거함으로써 제작자는 전체 공구 세트를 제거할 수 있으며 공정 흐름을 크게 단순화 시키며 반도체 디바이스 제조비용을 줄일 수 있다. PNL-WN 및 PNL-W의 공정 온도는 TDMAT의 CVD-TiN의 경우 450℃이상, TiCl에서 생성한 CVD-TiN의 경우 500℃이상에 비해서 400℃미만, 특히 300℃미만이다. 공정온도의 저하는 논리 및 기억 디바이스 제작자가 티타늄 및 코발트 규화물 금속 접촉부를 450℃이상에서 훨씬 높은 전기 저항을 갖는 새로운 상으로 상변이를 하는 니켈 규화물로 대체할 수 있게 한다. 텅스텐 금속화 스택에서 PVD-Ti의 제거는 접촉부나 바이어의 입구에서 PVD 공정과 관련된 돌출 문제를 제거한다. 이것은 CVD-W로 원통형 접촉부 또는 바이어를 후속 충진하는 동안 시임 형성 집중을 가져오는 고유 특징부를 생성한다. Ti-TiN을 PNL-WN으로 대체하여 돌출을 제거함으로써 CVD-W 이전에 특징부 구멍에 돌출부가 생성되지 않으므로 결과의 중심 시임이 감소된다. 이러한 시임은 텅스텐 금속화를 완료하기 위해 텅스텐 필름을 CMP 하는 동안 개방될 수 있다. 마지막으로 CVD-W 이전에 접촉부나 바이어 내부에 필요한 라이너 장벽의 총 두께를 감소시킴으로써 PNL-WN은 전통적인 PVD-Ti/CVD-TiN/CVD-W 필름 스택에 비해 접촉부나 바이어 저항을 감소시킨다. 이러한 저항 감소는 더 큰 비율의 접촉부나 바이어 단면적이 더 높은 비저항의 Ti 및 TiN에 비해 낮은 비저항의 텅스텐 침착에 이용될 수 있기 때문이다.In current semiconductor metallization for device geometries over 90nm, tungsten is used as the primary conductor for contact or via applications. Typical film stacks used these days are PVD-Ti / CVD-TiN / W nucleation / CVD-W. PNL-WN can be used to simplify the film stack to PNL-WN / PNL-W (nucleation) / CVD-W. The application of PNL-W has many advantages over the art. First, eliminating the need for Ti-TiN semiconductors, manufacturers can eliminate the entire set of tools, greatly simplifying the process flow and reducing the cost of manufacturing semiconductor devices. The process temperature of PNL-WN and PNL-W is less than 400 ° C., in particular less than 300 ° C., compared to more than 450 ° C. for CVD-TiN of TDMAT and 500 ° C. or more for CVD-TiN produced from TiCl. Degradation of the process temperature allows logic and memory device manufacturers to replace titanium and cobalt silicide metal contacts with nickel silicides that phase change into new phases with much higher electrical resistance above 450 ° C. Removal of PVD-Ti in the tungsten metallization stack eliminates the protruding problems associated with the PVD process at the contacts or inlets of the vias. This creates inherent features that result in seam formation concentrations during subsequent filling of cylindrical contacts or vias with CVD-W. Replacing the Ti-TiN with PNL-WN to remove the protrusion results in no protrusion at the feature hole prior to CVD-W, thus reducing the center seam of the result. This seam can be opened during CMP of the tungsten film to complete tungsten metallization. Finally, by reducing the total thickness of the liner barrier needed inside the contacts or vias prior to CVD-W, PNL-WN reduces contact or via resistance compared to traditional PVD-Ti / CVD-TiN / CVD-W film stacks. This reduction in resistance is due to the fact that a larger proportion of contacts or via cross-sections can be used for lower resistivity tungsten deposition compared to higher resistivity Ti and TiN.

전통적인 Ti-TiN 집적에 비해 질화텅스텐 플러그필 집적의 장점은 다음과 같다:The advantages of tungsten nitride plug fill integration over traditional Ti-TiN integration are:

1)PNL-WN/PNL-W 공정은 20:1이상의 가로세로비를 갖는 접촉부와 바이어를 충진할 수 있다. 이것은 CVD-TiN/PVD-Ti 스텝 커버에 비해 큰 개선이다.1) The PNL-WN / PNL-W process can fill vias and contacts with aspect ratios greater than 20: 1. This is a big improvement over the CVD-TiN / PVD-Ti step cover.

2)Ti-TiN 시설 및 처리 단계의 제거. 이러한 단순화는 텅스텐 플러그필 공정의 비용을 줄인다. 한 측면에서 미리 세정된 웨이퍼, PNL-WN, PNL-W 및 CVD-W가 집적된 클러스터 공구를 통해 단일 웨이퍼 통과로 완료된다. Ti-TiN 침착 공구를 제거함으로써 공구에서 공구로 웨이퍼 이동을 감소시키며 반도체 청정실 공간을 줄이며 반도체 제조시설 투자 비용을 줄인다.2) Elimination of Ti-TiN facilities and processing steps. This simplification reduces the cost of the tungsten plugfill process. In one aspect, precleaned wafers, PNL-WN, PNL-W and CVD-W are completed in a single wafer pass through an integrated cluster tool. Eliminating Ti-TiN deposition tools reduces wafer movement from tool to tool, reduces semiconductor clean room space, and reduces investment in semiconductor manufacturing facilities.

3)반도체 웨이퍼 최대 처리온도 감소. 최신 반도체 웨이퍼 처리 열 부담 요건은 접촉부 금속화의 경우 450℃이하, 저-K 유전체에서 바이어의 경우 350℃이하의 최대 처리온도를 요구한다. PNL-WN, PNL-W는 둘 다 300℃미만의 웨이퍼 온도로 침착된다.3) Reduced semiconductor wafer maximum processing temperature. Modern semiconductor wafer processing heat burden requirements require a maximum processing temperature of less than 450 ° C for contact metallization and less than 350 ° C for vias in low-K dielectrics. Both PNL-WN and PNL-W are deposited at wafer temperatures below 300 ° C.

4)텅스텐 플러그의 후처리-CMP 센터 시임 개방(코어링)의 감소. 접촉부나 바이어의 측면에서 중심으로 텅스텐이 성장함에 따라 플러그 중심선에 얇은 시임이 남겨진다. Ti-TiN 라이너 장벽 스택의 경우에 (PVD)Ti 및 (CVD)TiN의 상대적으로 불량한 스텝 커버는 접촉부 입구에 돌출된 특징부를 가져온다. 이것은 플러그 중앙 부위에서 보다 상부에서 더 작은 직경의 특징부를 형성시킨다. 이러한 돌출문제는 특징부 중앙이 완전 충진되기 이전에 성장하는 텅스텐 필름이 특징부 상부를 밀폐시키므로 플러그 내부에 개방된 시임을 생성한다. 이러한 시임은 CMP 동안 노출되어 웨이퍼 결함을 가져온다. PNL-WN/PNL-W 라이너 장벽 필름 스택의 경우에 두 물질은 사실상 100% 스텝 커버를 하므로 CVD 플러그필 동안 시임이 없다.4) Post-treatment of tungsten plugs-reduction of CMP center seam opening (coring). As tungsten grows centered on the contact or via side, a thin seam is left on the plug centerline. In the case of Ti-TiN liner barrier stacks the relatively poor step cover of (PVD) Ti and (CVD) TiN results in protruding features at the contact inlet. This forms smaller diameter features at the top than at the plug center. This protruding problem creates an open seam inside the plug because the tungsten film growing before the center of the feature is fully filled seals the top of the feature. These seams are exposed during CMP, resulting in wafer defects. In the case of the PNL-WN / PNL-W liner barrier film stacks, both materials are virtually 100% step covered and thus there is no seam during the CVD plug fill.

5)라이너 장벽층 총 두께를 전형적인 Ti-TiN의 경우의 200Å에서 PNL-WN의 경우의 50Å미만으로 감소시킴으로써 일차 도체이며 PVD-Ti, CVD-TiN 또는 PNL-WN 보다 매우 낮은 비저항을 갖는 CVD-W를 상당량 접촉부나 바이어에 채울 수 있다. 이것은 라이너 장벽층 두께가 특징부 반경의 상당한 비율인 작은 직경의 접촉부나 바이어의 전기 저항을 감소시킨다.5) The thickness of the liner barrier layer is reduced from 200 μs for typical Ti-TiN to less than 50 μs for PNL-WN and is a primary conductor and CVD- with a lower resistivity than PVD-Ti, CVD-TiN or PNL-WN. W can be filled in a significant amount of contact or via. This reduces the electrical resistance of the small diameter contacts or vias where the liner barrier layer thickness is a significant proportion of the feature radius.

본 발명에서 PNL WN이 유전체 상에 직접 혹은 PNL-W 시드층을 포함한 채로 바이어나 접촉부 구멍에 형성될 수 있다. 텅스텐은 PNL, ALD, CVD 또는 이의 조합에 의해 침착된다. 또한 이 공정은 PNL-WN 침착 전의 탈기나 예비 세정 단계(예 플라즈마 에칭)와 집적될 수 있다. 조합된 TiN/WN 장벽층을 생성하는 것이 유리할 수도 있다.In the present invention, the PNL WN may be formed in the via or contact hole directly on the dielectric or with the PNL-W seed layer included. Tungsten is deposited by PNL, ALD, CVD or a combination thereof. The process can also be integrated with degassing or precleaning steps (eg plasma etching) prior to PNL-WN deposition. It may be advantageous to create a combined TiN / WN barrier layer.

사용되는 반응기는 단일 모듈로 집적된 WN PNL 및 W CVD를 사용한 단일 웨이퍼 처리나 멀티 스테이션 순차 침착을 지원한다. 한 측면에서 웨이퍼 예열, 예비 세정 및 PNL-WN 침착이 하나의 멀티 스테이션 공정 모듈에서 조합되며 제2 공정 모듈은 PNL-W 및 CVD-W 침착 전용이다. 한 측면에서 공정 융통성 향상을 위해 웨이퍼 예열/탈기와 웨이퍼 예비 세정이 집적된 클러스터 공구에서 별도의 공정 모듈로 행해진다.The reactor used supports single wafer processing or multi-station sequential deposition using WN PNL and W CVD integrated into a single module. In one aspect wafer preheating, preclean and PNL-WN deposition are combined in one multi station process module and the second process module is dedicated to PNL-W and CVD-W deposition. In one aspect, wafer preheating / degassing and wafer pre-cleaning are performed as separate process modules in an integrated cluster tool for improved process flexibility.

공정도는 다음과 같다:The flow chart is as follows:

1. PNL- WN-PNLW 핵화 층-CVDW 플러그필PNL-WN-PNLW nucleation layer-CVDW plug fill

2. PNL- W 시드/PNL-WN 장벽 접착/PNLW 핵화/CVD-W 벌크 플러그필 2.PNL-W Seed / PNL-WN Barrier Adhesion / PNLW Nucleation / CVD-W Bulk Plug Fill

3. 예열/웨이퍼 예비 세정/PNL- W 시드/PNL-WN 장벽 접착/PNLW 핵화/CVD-W 플러그필3. Preheat / Wafer Pre-cleaning / PNL-W Seed / PNL-WN Barrier Adhesion / PNLW Nucleation / CVD-W Plug Fill

4. PNL WN-CVD-W플러그필4.PNL WN-CVD-W Plug Fill

5. 탈기 및 예비 세정(DFE 또는 반응성 세정)과 조합된 WN-W5. WN-W in combination with degassing and precleaning (DFE or reactive cleaning)

6. 탈기 및 예비 세정(DFE 또는 반응성 세정)과 조합된 WN-W과 HCM-Ti(중공 음극 전자관을 통해 침착된 티타늄 박층)6. WN-W and HCM-Ti (thin titanium layer deposited through hollow cathode electron tube) in combination with degassing and precleaning (DFE or reactive cleaning)

DFE는 Dual Frequency Etch이다. 가령 Novellus INOVA 웨이퍼 예비 세정(Novellus Systems, Inc., San Jose, CA)는 고밀도 플라즈마(고주파 성분)과 독립적으로 제어 가능한 이온 가속(저주파 성분)을 제공하기 위해 이중 주파수 유도 플라즈마에서 나온 아르곤 이온을 사용한다.DFE is Dual Frequency Etch. For example, Novellus INOVA wafer precleaning (Novellus Systems, Inc., San Jose, Calif.) Uses argon ions from a dual frequency induced plasma to provide controllable ion acceleration (low frequency components) independently of high density plasma (high frequency components). do.

응용3:커패시터 전극Application 3: Capacitor Electrode

TiN/폴리실리콘 전극을 사용하는 현재의 DRAM 커패시터 전극은 CVD-TiN(500℃이상, TiCl4) 및 폴리실리콘 CVD(600℃이상, SiH4) 둘 다 높은 침착 온도 문제가 있다. 이러한 높은 침착 온도는 커패시터 유전체에 반응 부산물을 도입하여 유전체의 유전상수와 결과의 저장 커패시터의 커패시턴스를 감소시킨다. 진보된 메모리 셀 전극 형성에 있어서 높은 스텝 커버는 필수 요건이고 15:1이상의 가로세로비를 갖는 특징부에서 거의 100% 스텝 커버는 이전의 CVD 및 PVD WN 공정에 비해 우월하다. WN과 W의 일함수는 4~4,5eV로서 CVD-TiN의 일함수(2.2eV) 보다 높다. 전극의 높은 일함수는 메모리 셀 커패시터의 누출을 감소시킨다고 알려진다.Current DRAM capacitor electrodes using TiN / polysilicon electrodes both have high deposition temperature problems with both CVD-TiN (above 500 ° C, TiCl 4 ) and polysilicon CVD (above 600 ° C, SiH 4 ). These high deposition temperatures introduce reaction byproducts into the capacitor dielectric, reducing the dielectric constant of the dielectric and the capacitance of the resulting storage capacitor. High step cover is an essential requirement for advanced memory cell electrode formation and nearly 100% step cover in features with aspect ratios of 15: 1 or greater is superior to previous CVD and PVD WN processes. The work function of WN and W is 4 to 4,5 eV, which is higher than that of CVD-TiN (2.2 eV). The high work function of the electrode is known to reduce leakage of memory cell capacitors.

PNL 침착된 WN층이 단독으로 또는 PNL-WN/PNL-W 필름 스택으로 금속 전극으로 사용된다. 더욱 일반적으로 상부나 하부 커패시터 전극에서 WN층이 접착층, 장벽층, 또는 일차 전기 도체로 기능을 할 수 있다. 텅스텐은 PNL, ALD, CVD 또는 이의 조합에 의해 침착된다. 탈기나 예비 세정이 행해질 수 있다. 단일 웨이퍼 처리나 멀티-스테이션 순차 침착이 사용될 수 있다.PNL deposited WN layers are used alone or as metal electrodes in a PNL-WN / PNL-W film stack. More generally, the WN layer at the top or bottom capacitor electrode can function as an adhesive layer, barrier layer, or primary electrical conductor. Tungsten is deposited by PNL, ALD, CVD or a combination thereof. Degassing or preliminary cleaning may be performed. Single wafer processing or multi-station sequential deposition can be used.

집적회로 커패시터 전극은 현재 CVD-TiN 및 고 도핑 폴리실리콘으로 구성된 필름 스택으로부터 제조된다. TiCl4 기초 CVD-TiN 및 폴리실리콘의 침착 온도는 각각 550℃이상 및 600℃이상이다. 이러한 고온은 불순물(예 Cl)을 커패시터 유전체에 도입하고 TiN 장벽층을 산화시켜 커패시턴스를 감소시키고 커패시터 누출을 증가시킨다. WN-W커패시터 전극은 제조 온도를 크게 저하시켜 누출을 감소시키고 필적하는 누출의 경우 후-어닐링 커패시턴스를 향상시킨다. 다음은 상부나 하부 커패시터 전극 침착에 사용되는 공정이다.Integrated circuit capacitor electrodes are currently manufactured from film stacks consisting of CVD-TiN and highly doped polysilicon. The deposition temperatures of TiCl 4 based CVD-TiN and polysilicon are at least 550 ° C. and at least 600 ° C., respectively. This high temperature introduces impurities (e.g., Cl) into the capacitor dielectric and oxidizes the TiN barrier layer to reduce capacitance and increase capacitor leakage. WN-W capacitor electrodes significantly reduce the fabrication temperature to reduce leakage and, in the case of comparable leakage, to improve post-anneal capacitance. The following process is used to deposit the upper and lower capacitor electrodes.

1. PNL- WN/PNLW 핵화 층/CVD-W1.PNL-WN / PNLW nucleation layer / CVD-W

2. PNL- W /PNL-WN /PNL-W/CVD-W2.PNL- W / PNL-WN / PNL-W / CVD-W

3. PNL WN-CVD-W플러그필3.PNL WN-CVD-W Plug Fill

4. 탈기 및 예비 세정(DFE 또는 반응성 세정)과 조합된 WN-W4. WN-W in combination with degassing and precleaning (DFE or reactive cleaning)

커패시터는 트렌치 커패시터, 핀 커패시터, 플레이트 커패시터 또는 IC에 적합한 기타 구조이다. 스택 커패시터의 경우에 구조 형성을 촉진하기 위해 폴리실리콘 하부 전극에 하부 전극이 침착된다. PNL-WN과 PNL-W의 높은 스텝 커버로 최신 반도체 메모리 셀 전극용으로 PNL-WN 구현에 필요한 특징부가 가능하다.Capacitors are trench capacitors, pin capacitors, plate capacitors, or other structures suitable for ICs. In the case of a stack capacitor, a bottom electrode is deposited on the polysilicon bottom electrode to facilitate structure formation. The high step cover of the PNL-WN and PNL-W enables the features needed to implement the PNL-WN for modern semiconductor memory cell electrodes.

응용4:게이트 전극Application 4: Gate Electrode

이 경우 WN은 게이트 전극에서 접착층, 장벽층, 또는 일차 전기 도체로 기능을 한다. 질화텅스텐은 게이트 유전체에 직접 적용되거나 폴리실리콘 라인 두께를 감소시키기 위해 폴리실리콘 전극에 적용된다.In this case, the WN functions as an adhesive layer, a barrier layer, or a primary electrical conductor at the gate electrode. Tungsten nitride is applied directly to the gate dielectric or to the polysilicon electrode to reduce the polysilicon line thickness.

트랜지스터 게이트용 요건은 조절 가능한 일함수, 열 안정성, 및 내산화성이다, 침착된 필름의 W/N 화학양론 조절이나 붕소(디보레인과 같은), 실리콘(가령 실란) 또는 질소(가령 암모니아)와 같은 도핑제 첨가를 통해 PNL WN의 일함수를 조절할 수 있다. 붕소 및 질소에 추가적으로 Al, Ga, P, As와 같은 IIIV족 도핑제가 사용될 수 있다. PNL-WN의 일함수를 조절하는 또 다른 효과적인 방법은 층화된 PNL-WN과 PNL-W 구조를 생성하는 것이다. 층의 개수, 두께 및 순서는 가변적이지만 매우 얇고(10Å미만) PNL-WN과 PNL-W가 교대하며 PNL-W로 시작하여 끝나는 것이 선호된다.Requirements for transistor gates are adjustable work function, thermal stability, and oxidation resistance, such as W / N stoichiometry of deposited films or boron (such as diborane), silicon (such as silane), or nitrogen (such as ammonia). The addition of a dopant can control the work function of PNL WN. In addition to boron and nitrogen, group IIIV dopants such as Al, Ga, P, As may be used. Another effective way to control the work function of PNL-WN is to create stratified PNL-WN and PNL-W structures. The number, thickness, and order of layers are variable, but very thin (less than 10 μs), with PNL-WN and PNL-W alternating, preferably starting and ending with PNL-W.

게이트 전극으로서 PNL-WN 또는 WN/W 필름 스택은 폴리실리콘으로 제조된 비금속 게이트 전극에서 발견되는 전하 고갈 현상이 없는 금속 게이트를 제공한다. 전하 고갈은 게이트 유전체 두께를 증가시킨다. 게이트 유전체/폴리실리콘 계면을 변화시키지 않으면서 폴리실리콘 게이트 요건을 완화시키도록 폴리실리콘 게이트 전극 상부에 WN/W 게이트 전극이 형성될 수도 있다. 혼성 N+ 및 P+ 트랜지스터 디바이스의 경우 일함수 조절을 촉진하기 위해 층화된 PNL-W/PNL-WN 게이트 전극 구조를 제조할 수 있다. PNL-WN or WN / W film stacks as gate electrodes provide metal gates free of charge depletion found in non-metal gate electrodes made of polysilicon. Charge depletion increases the gate dielectric thickness. WN / W gate electrodes may be formed over the polysilicon gate electrodes to mitigate polysilicon gate requirements without changing the gate dielectric / polysilicon interface. For mixed N + and P + transistor devices, layered PNL-W / PNL-WN gate electrode structures can be fabricated to facilitate work function regulation.

다양한 공정이 가능하다.Various processes are possible.

1. PNL- WN-PNL-W-CVD-W 벌크 침착 및 상호 연결1.PNL- WN-PNL-W-CVD-W Bulk Deposition and Interconnection

2. 층화된 PNL- WN-PNL-W-CVD-W 벌크 침착 및 상호 연결2. Layered PNL- WN-PNL-W-CVD-W Bulk Deposition and Interconnection

3. 폴리-Si 플러그 및 상호 연결부를 갖는 PNL-WN/PNL-W게이트 전극3. PNL-WN / PNL-W Gate Electrode with Poly-Si Plug and Interconnect

4. 폴리-Si 두께 감소를 위해 얇은 폴리-Si 게이트 전극 상에 PNL- WN/PNL-W/CVD-W 4. PNL-WN / PNL-W / CVD-W on thin poly-Si gate electrode for poly-Si thickness reduction

5. PNL- WN-CVD-W 플러그필5.PNL- WN-CVD-W Plug Fill

공구 구성 옵션은 다음과 같다:Tool configuration options are as follows:

1) 탈기 및 예비 세정(DFE 또는 반응성 세정)과 조합된 WN-W1) WN-W in combination with degassing and precleaning (DFE or reactive cleaning)

2)단일 모듈에서 집적된 WN, PNL, 및 WCVD를 사용한 단일 웨이퍼 처리나 멀티-스테이션 순차 침착2) Single wafer processing or multi-station sequential deposition using integrated WN, PNL, and WCVD in a single module

응용5:기타 용도Application 5: Other Applications

PNL-WN은 DRAM 디바이스에서 비트라인 또는 워드라인 국지 상호연결부 침착을 위한 접착층 및 장벽으로 작용한다. 또한 CCD디바이스의 광 차폐부와 같은 반도체 응용에서 산화물 상의 W 침착을 위한 접착층으로 작용한다. 또한 저-K 유전체 패턴화를 위한 하드마스크로 작용한다. WN-W 스택은 전통적인 PECVD SiN 하드마스크보다 더 낮은 온도에서 유해 아민에 대한 포토레지스트의 더 적은 노출로 침착될 수 있다.PNL-WN acts as an adhesive layer and barrier for depositing bitline or wordline local interconnects in DRAM devices. It also serves as an adhesive layer for W deposition on oxides in semiconductor applications, such as light shielding of CCD devices. It also acts as a hard mask for low-K dielectric patterning. WN-W stacks can be deposited with less exposure of photoresist to hazardous amines at lower temperatures than traditional PECVD SiN hardmasks.

침착 장치Deposition apparatus

본 방법은 여러 공정실에서 수행될 수 있다. 가령 Novellus Systems Concept2 Altus 챔버, Novellus Systems Concept3 Altus 챔버 또는 다양한 시판 CVD공구가 있다. 반응기는 병렬 반응이 일어나는 복수의 침착 스테이션을 포함할 수 있다(미국특허6,143,082). 한 측면에서 단일 침착 챔버에 설비된 다중 침착 스테이션의 하나인 제1 스테이션에서 펄스화 핵화가 이루어진다. 환원 가스, 텅스텐 함유 선구물질 및 질화 가스가 기판 표면에 국지적 압력을 생성하는 개별 가스 공급 시스템을 써서 제1 스테이션에 있는 반도체 기판 표면에 교대로 도입된다. 반응 가스에 노출하는 도중에 불활성 가스나 수소와 불활성 가스의 조합을 챔버에 주입한다. 이러한 공정은 다중 침착 스테이션에서 동시에 이루어진다. 혹은 일부 스테이션은 WN의 PNL 침착을 하며 일부는 W의 PNL 또는 CVD 침착을 한다. 이러한 경우에 WN의 PNL 침착은 하나 이상의 스테이션에서 이루어질 수 있다. 필요한 PNL 싸이클이 종료되어 전체 질화물 두께를 침착시킨 이후에 기판이 다른 스테이션에 이동되고 새로운 WN 층에 금속 텅스텐이 침착된다. 한 측면에서 PNL-WN(텅스텐 시드 층 형성을 포함한)이 전용 공정 모듈에서 침착되고 진공 집적된 클러스터 공구에서 또 다른 공정 모듈에서 PNL-W 및 CVD-W가 침착된다.The method can be carried out in several process chambers. For example Novellus Systems Concept2 Altus chambers, Novellus Systems Concept3 Altus chambers or various commercial CVD tools. The reactor may comprise a plurality of deposition stations in which parallel reactions occur (US Pat. No. 6,143,082). In one aspect pulsed nucleation takes place in a first station, which is one of multiple deposition stations equipped in a single deposition chamber. Reducing gases, tungsten-containing precursors and nitride gases are alternately introduced to the semiconductor substrate surface at the first station using separate gas supply systems that create a local pressure on the substrate surface. During the exposure to the reaction gas, an inert gas or a combination of hydrogen and inert gas is injected into the chamber. This process takes place simultaneously in multiple deposition stations. Or some stations do PNL deposition of WN and some do PNL or CVD deposition of WN. In this case, the PNL deposition of the WN may be at one or more stations. After the required PNL cycle is terminated to deposit the entire nitride thickness, the substrate is moved to another station and metal tungsten is deposited on the new WN layer. In one aspect, PNL-WN (including tungsten seed layer formation) is deposited in a dedicated process module and PNL-W and CVD-W are deposited in another process module in a vacuum integrated cluster tool.

또 다른 측면에서 단일 PNL 침착 싸이클 동안 상이한 침착 스테이션 간에 반도체 기판이 이동된다. 이 경우 상이한 스테이션은 싸이클 내에서 상이한 공정 전용이다. 가령 하나 또는 두개의 스테이션은 환원제를 제공하고 하나 또는 두개의 다른 스테이션은 텅스텐 선구물질을 제공하고 또 다른 스테이션은 질화제를 제공한다. 다른 경우에 특정 스테이션은 도핑 선구물질을 제공한다. 전용 가스와 불활성 가스의 동시 전달을 위한 다양한 스테이션이 제공될 수 있다. 필요한 텅스텐 두께 달성할 때까지 반복적으로 웨이퍼가 환원 가스, 텅스텐 함유 선구물질 가스, 질화 가스에 순서대로 노출되도록 웨이퍼를 스테이션 간에 이동시켜 WN이 침착된다. In another aspect, the semiconductor substrate is moved between different deposition stations during a single PNL deposition cycle. In this case different stations are dedicated to different processes in the cycle. For example, one or two stations provide a reducing agent, one or two other stations provide tungsten precursors, and another station provides a nitriding agent. In other cases, a particular station provides a doping precursor. Various stations may be provided for simultaneous delivery of dedicated gas and inert gas. The WN is deposited by repeatedly moving the wafer between stations so that the wafer is repeatedly exposed to reducing gas, tungsten-containing precursor gas, and nitride gas until the required tungsten thickness is achieved.

이러한 시나리오에서 병렬 웨이퍼 처리를 위해 한 침착 스테이션에서 다음 침착 스테이션까지 웨이퍼가 인덱싱된다. 모든 기판이 필요한 두께로 피복될 때까지 인덱싱이 계속된다. 각각 인접한 스테이션과 분리된 국지적 압력을 갖는 여러 침착 스테이션이 단일 챔버내에서 가능하다.In this scenario, the wafer is indexed from one deposition station to the next for parallel wafer processing. Indexing continues until all substrates are coated to the required thickness. Several deposition stations, each having a local pressure separate from an adjacent station, are possible in a single chamber.

각 스테이션은 기판을 예정된 온도로 가열하고 유지하는 가열된 기판 지지대를 갖는다. 추가로 기판 배면에 W필름의 침착을 방지하는 배면 가스 분배 시스템과 기판을 지지대에 고정하는 진공 고정 분기관이 있다. 마지막으로 침착 챔버에는 침착 스테이션 사이와 챔버 안팎으로 기판이나 웨이퍼를 이동시키는 시스템이 설비된다.Each station has a heated substrate support that heats and maintains the substrate at a predetermined temperature. Additionally there is a back gas distribution system that prevents deposition of the W film on the back of the substrate and a vacuum holding branch that holds the substrate to the support. Finally, the deposition chamber is equipped with a system for moving the substrate or wafer between and between deposition stations.

본 발명의 또 다른 측면은 WN 또는 WN/W 스택의 교대 침착을 위한 다음 요소를 갖는 모듈을 제공한다:Another aspect of the invention provides a module having the following elements for alternating deposition of a WN or WN / W stack:

샤워헤드 아래로 웨이퍼를 유지하는 가열된 구조와 쌍으로 균일한 가스 도입을 위한 분산 플레이트 또는 샤워헤드를 포함한 복수의 침착 스테이션;A plurality of deposition stations comprising a showerhead or a dispersion plate for uniform gas introduction in pairs with a heated structure holding the wafer under the showerhead;

모듈에서 가스 재-순환을 최소화하고 교대하는 침착 단계 사이에 효과적인 가스 주입을 촉진하기 위해 모듈 진공 챔버 상부와 동일 높이로 장착된 샤워헤드를 갖는 복수의 침착 스테이션;A plurality of deposition stations having a showerhead mounted flush with the top of the module vacuum chamber to minimize gas recirculation in the module and to facilitate effective gas injection between alternate deposition steps;

침착 싸이클 사이에 스테이션 간 분리 향상 및 가스 주입시간 단축을 위해 침착 샤워헤드에 점유되지 않은 상부 플레이트 영역을 덮는 주입 가스 기둥으로 구성된 모듈 진공 챔버의 완전 가스 주입된는 상부 플레이트;A full gas injected top plate of the modular vacuum chamber consisting of an injection gas column covering the top plate area not occupied by the deposition showerhead for improved inter-station separation and shorter gas injection time between deposition cycles;

각 침착 스테이션의 가열된 구조가 챔버 배출구에 연결된 환형 펌프질 링에 의해 서로 완전 또는 부분적으로 분리되는 진공 챔버. 이 특징은 스테이션 간 분리를 더욱 향상시키며 동일 모듈에서 교대하는 스테이션에서 상이한 공정이 동시에 수행될 수 있게 한다.Wherein the heated structure of each deposition station is completely or partially separated from each other by an annular pumping ring connected to the chamber outlet. This feature further improves the separation between stations and allows different processes to be performed simultaneously in alternating stations in the same module.

모듈은 각 샤워헤드에 제공되며 샤워헤드와 기판 지지대 사이에 RF 플라즈마를 생성하는 장치를 더욱 포함한다. 이러한 수단은 RF에너지원, 매치 네트워크, 전기 연결부를 포함한다. 또 다른 경우 모듈은 챔버에 원격 플라즈마를 생성하는 수단을 포함한다. 일부 모듈은 공정 진곡 배기부에 직접 연결된 라인을 제공하여 각 물질 흐름 제어기(MFCs)가 켜진 직후 공정 가스가 침착 챔버를 통과한다. 추가로 가스 전달 시스템에 라인 충전 부피를 조절하는 장치가 제공될 수 있다. 이것은 질화제, 텅스텐 선구물질(WF6) 또는 환원제(SiH4,B2H6)의 적절한 시기에 전달에 중요하다. 이러한 하드웨어를 써서 PNL 동안 온 오프 되는 가스가 전달될 수 있다.The module further includes an apparatus provided for each showerhead and generating an RF plasma between the showerhead and the substrate support. Such means include RF energy sources, match networks, electrical connections. In another case the module comprises means for generating a remote plasma in the chamber. Some modules provide a line directly connected to the process vent exhaust so that process gas passes through the deposition chamber immediately after each material flow controller (MFCs) is turned on. In addition, a device may be provided for adjusting the line fill volume in the gas delivery system. This is important for the timely delivery of nitriding agent, tungsten precursor (WF 6 ) or reducing agent (SiH 4 , B 2 H 6 ). With this hardware, gas that is turned on and off during the PNL can be delivered.

본 발명은 도3에 도시된 대로 라인 충전물을 다양한 가스 분배 라인에 제공하는 가스 분기관 시스템을 사용하여 실시될 수 있다. 분기관(304)은 텅스텐 함유 선구물질 가스원으로부터 입구(302)를 가지며 분기관(311)은 디보레인이나 기타 환원 가스원으로부터 입구(309)를 가지며 분기관(319)은 질화제 소스로부터 입구(321)를 가진다. 분기관(304,311,319)은 밸브 분배 라인(305,313,325)를 통해 침착 챔버에 텅스텐 함유 선구물질 가스, 환원 가스 및 질화제를 제공한다. 라인을 충전시켜 분배라인을 가압하기 위해 다양한 밸브가 개폐된다. 가령 분배 라인(305)을 가압하기 위해 밸브(306)가 진공에 대해 닫히고 밸브(308)가 닫힌다. 적당한 시간 경과 후에 밸브(308)가 개방되고 밸브(315)가 닫히고 텅스텐 함유 선구물질 가스가 챔버에 전달된다. 적당 시간 후에 밸브(308)가 닫힌다. 이후 밸브(306)를 진곡에 대해 개방하여 챔버가 진공이 된다.The invention can be practiced using a gas branch pipe system that provides line charges to various gas distribution lines as shown in FIG. Branch 304 has an inlet 302 from a tungsten-containing precursor gas source, branch 311 has an inlet 309 from a diborane or other reducing gas source and branch 319 is an inlet from a nitriding source. Has 321. Branch tubes 304, 311 and 319 provide tungsten containing precursor gas, reducing gas and nitriding agent to the deposition chamber via valve distribution lines 305, 313 and 325. Various valves are opened and closed to fill the line and pressurize the distribution line. For example, valve 306 is closed against vacuum and valve 308 is closed to pressurize dispense line 305. After the appropriate time has passed, valve 308 is opened, valve 315 is closed and tungsten-containing precursor gas is delivered to the chamber. After a suitable time, the valve 308 is closed. The valve 306 is then opened to the true curve so that the chamber is vacuumed.

유사한 절차가 환원 가스 및 질화제 전달에 사용된다. 환원 가스 도입을 위해서 밸브(315)를 닫고 밸브(317)를 진공에 대해 닫아 분배 라인(313)이 충전된다. 밸브(315)의 개방은 환원 가스를 챔버에 전달한다. 유사하게 질화제 도입을 위해 밸브(327)를 닫고 밸브(323)를 진공에 대해 닫아 분배 라인(325)이 충전된다. 밸브(327)의 개방은 암모니아나 다른 질화제를 챔버에 전달한다. 라인 충전에 걸리는 시간은 가스의 초기 전달 시기 및 양을 변화시킨다.Similar procedures are used for reducing gas and nitriding delivery. Dispensing line 313 is filled by closing valve 315 and closing valve 317 against vacuum for introduction of reducing gas. Opening of the valve 315 delivers the reducing gas to the chamber. Similarly, dispensing line 325 is filled by closing valve 327 and closing valve 323 against vacuum for nitriding introduction. Opening of valve 327 delivers ammonia or other nitriding agent to the chamber. The time it takes to fill the line changes the initial delivery timing and amount of gas.

도3은 시스템을 퍼징하기 위해 밸브(306,317,323)가 개방되는 진공 펌프를 보여준다. 다양한 분배 라인을 통한 가스의 공급은 마이크로프로세서에 의해 제어되는 물질 흐름 조절기, 흐름 기간, 유속, 서열이 프로그램된 디지털 신호 처리기와 같은 제어기에 의해 조절된다.Figure 3 shows a vacuum pump in which valves 306, 317 and 323 are opened to purge the system. The supply of gas through the various distribution lines is controlled by controllers such as material flow regulators controlled by microprocessors, flow durations, flow rates, and sequenced digital signal processors.

공정이 붕소 함유 환원제와 실란 환원제를 둘 다 사용하면 환원제에 대한 2개의 서브시스템이 있을 수 있다.If the process uses both a boron containing reducing agent and a silane reducing agent, there may be two subsystems for the reducing agent.

PNL공정은 PNL-WN 침착 동안 반도체 기판으로의 시약 펄스를 제공하는 물질 흐름 조절기와 밸브의 정확한 타이밍이 필요하다. 한 가지 방식에서 밸브와 MFC 명령이 PNL 침착 동안 시간 종속 명령을 위한 지령을 포함한 이산 정보 패킷으로 디지털 입출력 제어기(IOC)에 전달된다. Novellus C2 및 C3 ALTUS 시스템은 적어도 하나의 IOC 서열을 제공한다. IOCs는 장치의 다양한 지점, 가령 프로세스 모듈이나 이로부터 떨어진 스탠드-파워 랙 내에 물리적으로 위치한다. 각 모듈에 복수의 IOC(가령 모듈당 3개)가 있다. 서열에 포함된 정확한 지령에 대해서 밸브를 조절하고 MFC 흐름을 설정하는(캐리어 및 반응 가스) 모든 명령이 단일 IOC 서열에 포함된다. 따라서 모든 디바이스의 타이밍이 절대적 및 상대적으로 조절될 수 있다. 주어진 순간에 대체로 복수의 IOC 서열이 있다. 따라서 스테이션1-2에서 PNL이 수행되고 이들 스테이션에서 PNL-WN 침착에 필요한 모든 하드웨어 성분에 대해 타이밍이 조절된다. 제2 서열은 동일한 모듈에서 다른 침착 스테이션에서 CVD-W를 동시에 침착할 수 있다. 스테이션3-5로의 시약 전달을 제어하는 디바이스의 상대적 타이밍은 이 디바이스 군에서는 중요하지만 스테이션1-2에서 PNL 공정의 상대적 타이밍은 스테이션3-5에서 CVD의 상대적 타이밍으로부터 오프셋 된다. IOC는 패킷화된 서열에 정보를 번역하여 밸브를 제어하는 공압식 솔레노이드 뱅크나 MFC에 직접 디지털이나 아날로그 명령 신호를 전달한다. 이것은 5ms 정도로 밸브나 MFC에서 명령 수행 지연을 감소시킨다. IOC에 하나씩 명령이 내려지는 전형적인 제어 시스템은 컴퓨터 제어 모듈 작동과 IOC 간에 통신 지연을 겪는다. 단일 명령 방식에서 지연은 250ms를 초과할 수 있다.The PNL process requires accurate timing of the valves and material flow regulators to provide reagent pulses to the semiconductor substrate during PNL-WN deposition. In one approach, valve and MFC commands are delivered to the digital input / output controller (IOC) in discrete information packets containing instructions for time-dependent commands during PNL deposition. The Novellus C2 and C3 ALTUS system provides at least one IOC sequence. IOCs are physically located at various points in the device, such as in a process module or stand-power rack away from it. Each module has multiple IOCs (eg three per module). All instructions to control the valve and set the MFC flow (carrier and reactant gas) for the correct instructions contained in the sequence are contained in a single IOC sequence. Thus, the timing of all devices can be adjusted absolutely and relatively. There are usually a plurality of IOC sequences at any given moment. Thus, PNL is performed at stations 1-2 and timing is adjusted for all hardware components required for PNL-WN deposition at these stations. The second sequence may simultaneously deposit CVD-W in different deposition stations in the same module. The relative timing of the devices that control the delivery of reagents to stations 3-5 is important in this family of devices but the relative timing of the PNL process at stations 1-2 is offset from the relative timing of CVD at stations 3-5. The IOC translates information into a packetized sequence and delivers digital or analog command signals directly to a pneumatic solenoid bank or MFC that controls the valve. This reduces command execution delay in the valve or MFC by 5ms. A typical control system, one commanded to the IOC, experiences communication delays between computer control module operation and the IOC. In single command mode, the delay can exceed 250ms.

양호한 응답 및 재현을 위해서 질화제 물질 흐름 제어기(MFC)를 통해 먼저 흐르게 하고 챔버에 도달하기 전에 흐름을 안정시키기 위해 진공 챔버에 흐르게 하여 질화제가 도입된다. 질화제 흐름을 안정시키기 위해 밸브(327)가 닫히고 밸브(323)가 개방된다. 분배관 시스템은 분배 라인(325)을 가압하여 밸브(323)를 닫아 질화제의 조절된 초기 분출을 확보하고 밸브(327)는 0.10~3.33초 정도 닫힌다. 다음에 시스템은 밸브(327)를 챔버 WHr으로 개방하고 침착 동안 질화제가 챔버에 전달되도록 진공 쪽으로의 밸브는 닫는다. 모든 밸브 타이밍은 IOC 명령 서열을 사용 제어된다. 위의 과정은 PNL 또는 CVD를 사용하여 텅스텐 핵화층, 벌크층, 캡 층을 침착하는데 적용될 수 있다.Nitriding agents are introduced by first flowing through a nitriding material flow controller (MFC) for good response and reproduction and then in a vacuum chamber to stabilize the flow before reaching the chamber. Valve 327 is closed and valve 323 is open to stabilize the nitriding flow. The distribution line system pressurizes the distribution line 325 to close the valve 323 to ensure a controlled initial release of the nitriding agent and the valve 327 is closed for about 0.10 to 3.33 seconds. The system then opens the valve 327 to the chamber WHr and closes the valve toward the vacuum so that the nitriding agent is delivered to the chamber during deposition. All valve timings are controlled using IOC command sequences. The above procedure can be applied to deposit tungsten nucleation layer, bulk layer, cap layer using PNL or CVD.

챔버에 붕소 함유 가스(가령 디보레인)를 전달하는 하나의 분기관 시스템 서열은 다음과 같다. 먼저 MFC나 다른 유량 조절 장치 안정화 동안 일정 기간 시스템은 디보레인-캐리어 가스 혼합물을 진공 챔버 쪽으로 도입한다. 질소 캐리어 가스에 5부피% 디보레인 혼합물의 경우 0.5-5초 걸린다. 다음 진공 쪽으로의 밸브와 챔버의 출구를 닫아 시스템은 디보레인 전달 분기관을 가압한다. 이것은 0.1~5초 걸린다. 이것은 챔버로의 출구가 개방될 때 초기 시약 분출을 가져온다. 출구 밸브는 0.1~10초간 개방된다. 이후 적당한 캐리어 가스를 사용하여 챔버에서 디보레인을 방출한다.One branching system sequence for delivering boron containing gas (eg diborane) to the chamber is as follows. First during a period of MFC or other flow regulator stabilization, the system introduces a diborane-carrier gas mixture into the vacuum chamber. The nitrogen carrier gas takes 0.5-5 seconds for a 5% by volume diborane mixture. By closing the valve and the outlet of the chamber to the next vacuum, the system pressurizes the deborane delivery branch. This takes 0.1-5 seconds. This results in initial reagent ejection when the outlet to the chamber is opened. The outlet valve opens for 0.1 to 10 seconds. A suitable carrier gas is then used to release the diborane from the chamber.

텅스텐 함유 가스의 펄스는 다음과 같이 발생된다. 초기에 시스템은 MFC나 다른 유량 조절 장치 안정화 동안 일정 기간 WF6를 을 진공 챔버 쪽으로 도입한다. 이것은 0.5-5초 걸린다. 다음 출구(306)과 챔버로의 출구(308)를 닫아 시스템은 텅스텐 가스 전달 분기관을 가압한다. 이것은 0.1~5초 걸린다. 이것은 챔버로의 출구가 개방될 때 초기 시약 분출을 가져온다. 출구 밸브(308)는 0.1~10초간 개방된다. 이후 적당한 퍼징 가스를 사용하여 챔버에서 텅스텐 함유 가스를 방출한다.The pulse of the tungsten containing gas is generated as follows. Initially, the system introduces WF 6 into the vacuum chamber for a period of time during MFC or other flow regulator stabilization. This takes 0.5-5 seconds. By closing the outlet 306 and the outlet 308 to the chamber, the system then pressurizes the tungsten gas delivery branch. This takes 0.1-5 seconds. This results in initial reagent ejection when the outlet to the chamber is opened. The outlet valve 308 opens for 0.1 to 10 seconds. A suitable purge gas is then used to release the tungsten containing gas from the chamber.

밸브(317) 및 (315)를 조절하여 실란이나 기타 환원제의 펄스화 흐름이 실시된다. 텅스텐 함유 가스의 경우와 유사하게 과정이 진행된다. 0.1~10초간 환원 가스를 펄스화 한 이후 밸브(315)를 닫고 챔버에 퍼징가스를 주입한다. The valves 317 and 315 are adjusted to effect pulsed flow of silane or other reducing agent. The process proceeds similarly to tungsten containing gas. After pulsing the reducing gas for 0.1-10 seconds, the valve 315 is closed and a purging gas is injected into the chamber.

사용되는 하드웨어 요소는 다음과 같다:The hardware elements used are:

1)B2H6-WF6-NH3 반응 부산물인 NH4F 응축을 방지하기위해 모든 내면이 100℃이상으로 유지되도록 충분히 가열되고 절연된 PNL-WN과정.1) PNL-WN process that is sufficiently heated and insulated so that all internal surfaces are maintained above 100 ° C to prevent condensation of NH4F, a by-product of B2H6-WF6-NH3 reaction.

2) 상기 반응제를 다중침착스테이션으로 공급하기위해 (불활성캐리어가스 MFC와 쌍을 이루는 )단일 반응 MFC가 분열되도록 가스매니폴드의 시스템. 상기 실시예가 효과적으로 다중침착스테이션을 가로질러 성분을 공유하여 하드웨어비용을 효과적으로 감소시킨다. 또한 단일 공급원으로부터 다중스테이션을 제공하여 웨이퍼에 대한 웨이퍼 그리고 스테이션에 대한 스테이션의 다양서을 감소시킨다. 2) A system of gas manifolds such that a single reaction MFC (paired with inert carrier gas MFC) is disrupted to supply the reactant to a multiple deposition station. This embodiment effectively shares components across multiple deposition stations, effectively reducing hardware costs. It also provides multiple stations from a single source, reducing the variety of wafers to wafers and stations to stations.

3) 침착스테이션에 대한 매니폴드의 각 유출구가 개별적으로 밸브조정되는 상기 (#2) 공유반응매니폴드를 가진 시스템. 그결과 사용자가 주어진 침착사이클동안 특정 침착스테이션에 대해 주어진 반응젝 전달되는 가를 선택할 수 있다. 또한 다중 침착으로 층구조의 필름을 전달하기 위한 기구의 능력이 향상된다. 예를 들어 (B 2H6-WF6 반응으로 부터)얇은 텅스텐시드(seed)의 성장을 촉진하기 위해 제 1 침착스테이션에서 암모니아 유출구가 한개이상의 침착사이클을 위해 폐쇄될 수 있다. 3) The system with the (# 2) shared reaction manifold wherein each outlet of the manifold to the deposition station is individually valved. As a result, the user can select whether a given reaction is delivered for a particular deposition station during a given deposition cycle. Multiple deposition also improves the ability of the mechanism to deliver layered films. For example, the ammonia outlet at the first deposition station can be closed for one or more deposition cycles to promote the growth of thin tungsten seeds (from the B 2 H 6 -WF 6 reaction).

4) Ar, H2 및 NH3를 가열된 웨이퍼서셉터를 통해 웨이퍼의 배면으로 전달하기 위한 수용배면측가스전달하드웨어, 후방측암모니아의 존재에 의해 웨이퍼의 전체표면에 걸쳐 화학양론적 제어가 용이해진다. 후방측 반응제어가 없다면, 다수의 반응제가 웨어퍼변부에서 고갈된다. 4) The stoichiometric control is facilitated over the entire surface of the wafer by the presence of a receiving backside gas delivery hardware and backside ammonia for transferring Ar, H2 and NH3 to the backside of the wafer via the heated wafer susceptor. Without back side reaction control, a number of reactants are depleted at the wafer edge.

5)PNL-WN 침전모듈내에서 웨이퍼스테이션의 포함.5) Inclusion of wafer station in PNL-WN precipitation module.

6) PNL-WN침전모듈내에서 웨이퍼예비청정스테이션의 포함. 6) Inclusion of wafer preclean station in PNL-WN precipitation module.

7) 반도체웨이퍼 표면으로부터천연 산소, 에칭잔류물 및 다른 오염물을 제거하기 위하여 원자 및 분자플루오르를 이용하여 반응 웨이퍼예비청정분자의 포함. 7) Inclusion of reactive wafer preclean molecules using atomic and molecular fluorine to remove natural oxygen, etch residues and other contaminants from the surface of semiconductor wafers.

a) 원자 및 분자 플루오르를 위한 공급원으로서 NF3, CF4, C2F6 또는 기타 불소 함유 가스를 해리하는 유도 결합 플라즈마 소스의 사용.a) Use of inductively coupled plasma sources that dissociate NF3, CF4, C2F6 or other fluorine-containing gases as sources for atomic and molecular fluorine.

b)예비 세정을 위해 웨이퍼에 도달하는 불소 선구물질을 정확히 조절하도록 위의 다이버트 및 라인 충전 가스 취급. 과다한 에칭은 직접적인 플러그필 응용에서 얕은 규화물 접합부와 같은 섬세한 구조의 불소 공격을 가져오므로 바람직하지 않다.b) Handling the diver and line fill gas above to precisely control the fluorine precursor reaching the wafer for preliminary cleaning. Excessive etching is undesirable as it results in delicate fluorine attack, such as shallow silicide junctions, in direct plug-fill applications.

8)챔버 퍼징의 포함으로 시약을 반도체에 능동적으로 전달하는 샤워헤드에 의해 점유되지 않은 모듈 상부의 대부분은 불활성 캐리어에 의해 능동적으로 퍼징된다. 샤워헤드는 이와 동일 높이로 퍼징 가스 기둥에 파묻힌다. 이러한 구성은 챔버 내부에서 가스 재-순환을 제거할 수 있고 시약 방출시간을 단축시킨다. 가스 커텐은 다중 스테이션 챔버의 분리를 개선한다.8) The incorporation of a chamber purge allows the majority of the module top that is not occupied by the showerhead to actively deliver reagents to the semiconductor being actively purged by an inert carrier. The showerhead is embedded in the purge gas column at the same height. This configuration can eliminate gas recirculation inside the chamber and shorten reagent release time. Gas curtains improve separation of multiple station chambers.

9)IOC를 통한 밸브 및 MFC로의 시간 종속 명령 제어로 밸브 타이밍 서열이 패킷으로 IOC에 전달되고 침착에 대한 모든 명령이 침착 모듈 제어 컴퓨터로의 데이터를 읽거나 쓰지 않고서도 실시된다. 느린 읽기 및 쓰기 통신을 제거하여 IOC는 10~20ms 이내로 밸브 및 기타 디바이스 타이밍을 조절할 수 있으며 이 시간이면 짧은 라인 충전, 투여, 및 퍼징 시간으로 인해 PNL-WN 처리에 충분하다.9) Time-dependent command control to valves and MFCs via the IOC allows valve timing sequences to be delivered in packets to the IOC and all commands for deposition are made without reading or writing data to the deposition module control computer. By eliminating slow read and write communications, the IOC can adjust valve and other device timing within 10-20ms, which is sufficient for PNL-WN processing due to short line charge, dosing, and purging times.

도 1은 본 발명에서 사용되는 관련 작업을 도시하는 흐름도를 도시한다. 얇은, 정각의 텅스텐 금속 층이 기질 상에 형성되며, 이어서 텅스텐 금속의 질화로 질화텅스텐이 형성된다.1 shows a flow diagram illustrating the related work used in the present invention. A thin, regular tungsten metal layer is formed on the substrate, followed by tungsten nitride to form tungsten nitride.

도 2의 2A-2D는 펄스 핵형성층 증착 메커니즘의 순차적인 단면도를 도시한다. 2A-2D of FIG. 2 show sequential cross-sectional views of the pulse nucleation layer deposition mechanism.

도 3은 본 발명의 실시를 위하여 적절한 장치의 기본적인 특성을 도시하는 개략도를 도시한다. 3 shows a schematic diagram illustrating the basic characteristics of a device suitable for the practice of the invention.

Claims (50)

(a)기판에 기상 붕소 함유 작용제를 침착시켜 기판상에 붕소함유 희생층을 형성하고; (a) depositing a gaseous boron-containing agent on the substrate to form a boron-containing sacrificial layer on the substrate; (b) 붕소함유 희생층을 텅스텐 함유 선구물질에 노출시켜 텅스텐층을 형성하고;(b) exposing the boron-containing sacrificial layer to a tungsten-containing precursor to form a tungsten layer; (c) 텅스텐층을 질화제에 노출시켜 제1 질화텅스텐층을 형성하고;(c) exposing the tungsten layer to a nitriding agent to form a first tungsten nitride layer; (d)하나 이상의 추가 싸이클로 질화텅스텐 침착을 수행하여 질화텅스텐층 형성을 완료하고, 각 추가 싸이클에서 환원제, 텅스텐 함유 선구물질, 및 질화제와 접촉을 시키는 단계를 포함한 기판상에 질화텅스텐층을 형성하는 방법(d) performing tungsten nitride deposition with one or more additional cycles to complete the formation of the tungsten nitride layer, and forming a tungsten nitride layer on the substrate comprising contacting a reducing agent, a tungsten-containing precursor, and a nitriding agent in each additional cycle. How to 제 1항에 있어서, 기판이 부분적으로 제조된 반도체 디바이스임을 특징으로 하는 방법The method of claim 1 wherein the substrate is a partially fabricated semiconductor device. 제 1항에 있어서, 질화텅스텐층이 부분적으로 제조된 반도체 디바이스의 노출된 유전체의 적어도 일부에 침착됨을 특징으로 하는 방법The method of claim 1 wherein the tungsten nitride layer is deposited on at least a portion of the exposed dielectric of the partially fabricated semiconductor device. 제 1항에 있어서, 붕소 함유 작용제가 보레인임을 특징으로 하는 방법The method of claim 1 wherein the boron containing agent is borane. 제 1항에 있어서, 붕소 함유 희생층이 3~20Å의 두께임을 특징으로 하는 방법The method of claim 1, wherein the boron-containing sacrificial layer is a thickness of 3 ~ 20Å. 제 1항에 있어서, 텅스텐 함유 선구물질이 WF6, WCl6,W(CO)6 또는 이의 조합임을 특징으로 하는 방법The method of claim 1 wherein the tungsten-containing precursor is WF 6 , WCl 6 , W (CO) 6 or a combination thereof. 제 1항에 있어서, 질화제가 N2, NH3, NF3, N2H6 또는 이의 조합임을 특징으로 하는 방법The method of claim 1 wherein the nitriding agent is N 2 , NH 3 , NF 3 , N 2 H 6, or a combination thereof. 제 1항에 있어서, 단계(a),(b),(c)중 적어도 한 단계 이후에 가스를 주입하는 단계를 더욱 포함하는 방법The method of claim 1 further comprising injecting a gas after at least one of steps (a), (b) and (c). 제 1항에 있어서, 단계(d) 이후 질화텅스텐층 위로 금속 텅스텐층을 형성하는 단계를 더욱 포함하는 방법The method of claim 1 further comprising forming a metal tungsten layer over the tungsten nitride layer after step (d). 제 9항에 있어서, 금속 텅스텐층이 CVD에 의해 침착됨을 특징으로 하는 방법10. The method of claim 9, wherein the metal tungsten layer is deposited by CVD. 제 9항에 있어서, 금속 텅스텐층이 펄스화 핵화층 공정에 의해 침착됨을 특징으로 하는 방법10. The method of claim 9, wherein the metal tungsten layer is deposited by a pulsed nucleation layer process. 제 9항에 있어서, 금속 텅스텐층 위로 구리층을 침착하는 단계를 더욱 포함하는 방법10. The method of claim 9, further comprising depositing a copper layer over the metal tungsten layer. 제 12항에 있어서, 제1 구리층 부위가 스퍼터링 침착을 사용하여 금속 텅스텐층에 침착됨을 특징으로 하는 방법13. The method of claim 12, wherein the first copper layer portion is deposited on the metal tungsten layer using sputter deposition. 제 13항에 있어서, 제2 구리층 부위가 전기분해 도금에 의해 제1구리층 부위에 침착됨을 특징으로 하는 방법The method of claim 13, wherein the second copper layer portion is deposited on the first copper layer portion by electrolytic plating. 제 12항에 있어서, 구리층이 구리 시드층임을 특징으로 하는 방법13. The method of claim 12, wherein the copper layer is a copper seed layer. 제 15항에 있어서, 구리 시드층이 무-전기 도금 용액으로부터 침착됨을 특징으로 하는 방법The method of claim 15, wherein the copper seed layer is deposited from the electroless plating solution. 제 1항에 있어서, (c)에서 질화제에 노출시키기 이전에 (b)에서 생성된 텅스텐층을 수소나 아르곤-수소 플라즈마로 처리하는 단계를 더욱 포함하는 방법The method of claim 1 further comprising treating the tungsten layer produced in (b) with hydrogen or argon-hydrogen plasma prior to exposure to nitriding agent in (c). 제 1항에 있어서, 질화텅스텐층에 도핑제를 제공하는 단계를 더욱 포함하는 방법The method of claim 1 further comprising providing a dopant to the tungsten nitride layer. 제 18항에 있어서, 도핑제가 인, 비소, 안티몬, 비스무스, 붕소, 알루미늄, 갈륨, 인듐, 질소 또는 탈륨임을 특징으로 하는 방법19. The method of claim 18, wherein the dopant is phosphorus, arsenic, antimony, bismuth, boron, aluminum, gallium, indium, nitrogen or thallium. 제 1항에 있어서, (a)단계 이전에 어닐링 공정이나 플라즈마 에칭에 의해 기판을 예비 처리하는 단계를 더욱 포함하는 방법The method of claim 1, further comprising pretreating the substrate by an annealing process or plasma etching prior to step (a). 제 1항에 있어서, 질화텅스텐 침착의 하나 이상의 추가 싸이클이 붕소 함유 작용제를 포함하지 않은 환원제에 노출하는 단계를 포함함을 특징으로 하는 방법The method of claim 1, wherein the at least one additional cycle of tungsten nitride deposition comprises exposing to a reducing agent that does not include a boron containing agent. 제 21항에 있어서, 환원제가 수소화규소를 포함함을 특징으로 하는 방법The method of claim 21 wherein the reducing agent comprises silicon hydride. 제 1항에 있어서, 질화텅스텐층상에 금속 텅스텐층을 형성하여 질화텅스텐층과 금속 텅스텐층으로 구성된 게이트 전극을 형성하는 단계를 포함함을 특징으로 하는 방법2. The method of claim 1 including forming a metal tungsten layer on the tungsten nitride layer to form a gate electrode consisting of a tungsten nitride layer and a metal tungsten layer. 제 1항에 있어서, 질화텅스텐층상에 금속 텅스텐층을 형성하여 질화텅스텐층과 금속 텅스텐층으로 구성된 커패시터 전극을 형성하는 단계를 포함함을 특징으로 하는 방법2. The method of claim 1 including forming a metal tungsten layer on the tungsten nitride layer to form a capacitor electrode consisting of a tungsten nitride layer and a metal tungsten layer. 제 1항에 있어서, 질화텅스텐층상에 금속 텅스텐 플러그를 형성하여 텅스텐 상호연결부를 형성하고 질화텅스텐층이 접착층, 확산 장벽층, 또는 후속 텅스텐 침착을 위한 핵화층으로 작용함을 특징으로 하는 방법2. The method of claim 1, wherein a metal tungsten plug is formed on the tungsten nitride layer to form a tungsten interconnect and the tungsten nitride layer acts as an adhesive layer, a diffusion barrier layer, or a nucleation layer for subsequent tungsten deposition. 제 25항에 있어서, 질화텅스텐층 형성 전에 티타늄층을 형성하는 단계를 더욱 포함하는 방법27. The method of claim 25, further comprising forming a titanium layer prior to forming the tungsten nitride layer. 제 1항에 있어서, 붕소 함유 작용제, 텅스텐 함유 선구물질 및 질화제가 불활성 캐리어 가스나 불활성 가스와 질소 또는 수소 분자의 혼합물에 운반됨을 특징으로 하는 방법The method of claim 1, wherein the boron-containing agent, tungsten-containing precursor and nitriding agent are carried in an inert carrier gas or a mixture of inert gas and nitrogen or hydrogen molecules. (a)기판을 침착 챔버에 위치시키고;(a) placing the substrate in a deposition chamber; (b)기판에 기상 환원제를 침착시켜 기판상에 환원제층을 형성하고;(b) depositing a gaseous reducing agent on the substrate to form a reducing agent layer on the substrate; (c)환원제층을 텅스텐 함유 선구물질에 노출시켜 텅스텐층을 형성하고;(c) exposing the reducing agent layer to a tungsten-containing precursor to form a tungsten layer; (d) 텅스텐층을 질화제에 노출시켜 제1 질화텅스텐층을 형성하고;(d) exposing the tungsten layer to a nitriding agent to form a first tungsten nitride layer; (e)하나 이상의 추가 싸이클 동안 단계(b)~(d)를 반복하여 질화텅스텐층 형성을 완료하는 단계를 포함한 기판상에 질화텅스텐층을 형성하는 방법(e) A method of forming a tungsten nitride layer on a substrate comprising repeating steps (b) to (d) to complete tungsten nitride layer formation for one or more additional cycles. 제 28항에 있어서, 환원제가 보레인임을 특징으로 하는 방법29. The method of claim 28, wherein the reducing agent is borane. 제 28항에 있어서, 환원제가 실란임을 특징으로 하는 방법29. The method of claim 28, wherein the reducing agent is silane. 제 28항에 있어서, (c) 이전에 (b), (d)이전에 (c)단계가 되게 순차적으로 수행됨을 특징으로 하는 방법29. The method of claim 28, wherein (c) is performed sequentially in steps (b) and (d) prior to (c). 제 28항에 있어서, (b) 이전에 (c)단계가 되게 순차적으로 수행됨을 특징으로 하는 방법29. The method of claim 28, wherein before step (b), step (c) is performed sequentially. 제 28항에 있어서, 환원제, 텅스텐 함유 선구물질 또는 질화제가 제1 질화텅스텐층 형성에 사용될 경우와 (e)에서 사용될 경우 상이한 화합물을 포함함을 특징으로 하는 방법29. The method of claim 28, wherein the reducing agent, tungsten-containing precursor or nitriding agent comprises different compounds when used in forming the first tungsten nitride layer and when used in (e). 제 33항에 있어서, 환원제는 단계(b)에서 보레인을 포함하고 단계(e)에서 수소화규소를 포함함을 특징으로 하는 방법34. The method of claim 33, wherein the reducing agent comprises borane in step (b) and silicon hydride in step (e). 제 28항에 있어서, 질화텅스텐층상에 금속 텅스텐층을 형성하여 질화텅스텐층과 금속 텅스텐층으로 구성된 게이트 전극을 형성하는 단계를 포함함을 특징으로 하는 방법29. The method of claim 28 including forming a metal tungsten layer on the tungsten nitride layer to form a gate electrode consisting of a tungsten nitride layer and a metal tungsten layer. 제 28항에 있어서, 질화텅스텐층상에 금속 텅스텐층을 형성하여 질화텅스텐층과 금속 텅스텐층으로 구성된 커패시터 전극을 형성하는 단계를 포함함을 특징으로 하는 방법29. The method of claim 28 including forming a metal tungsten layer on the tungsten nitride layer to form a capacitor electrode consisting of the tungsten nitride layer and the metal tungsten layer. 제 28항에 있어서, 질화텅스텐층상에 금속 텅스텐 플러그를 형성하여 텅스텐 상호연결부를 형성하고 질화텅스텐층이 접착층, 확산 장벽층, 또는 후속 텅스텐 침착을 위한 핵화층으로 작용함을 특징으로 하는 방법29. The method of claim 28, wherein a metal tungsten plug is formed on the tungsten nitride layer to form a tungsten interconnect and the tungsten nitride layer serves as an adhesion layer, a diffusion barrier layer, or a nucleation layer for subsequent tungsten deposition. 제 28항에 있어서, 질화텅스텐층 위로 구리 시드층을 형성하는 단계를 더욱 포함하고 질화텅스텐층이 확산 장벽을 포함함을 특징으로 하는 방법29. The method of claim 28, further comprising forming a copper seed layer over the tungsten nitride layer, wherein the tungsten nitride layer comprises a diffusion barrier. 제 28항에 있어서, (b),(c),(d),(e) 단계중 적어도 하나의 단계가 다중 스테이션 장치에서 (a)와 상이한 스테이션에서 이루어짐을 특징으로 하는 방법29. The method of claim 28, wherein at least one of steps (b), (c), (d), and (e) is performed at a station different from (a) in a multi-station apparatus. 제 28항에 있어서, (b),(c),(d) 또는 이의 조합 이후에 플라즈마 노출 단계를 포함함을 특징으로 하는 방법29. The method of claim 28 comprising the step of exposing the plasma after (b), (c), (d) or a combination thereof. 제 40항에 있어서, 플라즈마가 Ar, N2, H2, NH3 또는 이의 조합을 포함한 RF 플라즈마임을 특징으로 하는 방법41. The method of claim 40, wherein the plasma is an RF plasma comprising Ar, N 2 , H 2 , NH 3, or a combination thereof. 제 40항에 있어서, 플라즈마가 반응 챔버로부터 원격 위치하며 Ar, N2, H2, NH3 또는 이의 조합을 포함함을 특징으로 하는 방법The method of claim 40, wherein the plasma is remote from the reaction chamber and comprises Ar, N 2 , H 2 , NH 3, or a combination thereof. 제 28항에 있어서, 멀티-스테이션 반응 챔버에서 질화텅스텐 침착이 수행되어 (a)챔버의 하나 이상의 침착 스테이션에서 질화텅스텐이 침착되고;29. The method of claim 28, wherein tungsten nitride deposition is performed in a multi-station reaction chamber to (a) deposit tungsten nitride in one or more deposition stations of the chamber; (b)반응기의 제로 또는 하나 이상의 스테이션에서 펄스화 핵화층(PNL) 텅스텐이 침착되고;(b) pulsed nucleation layer (PNL) tungsten is deposited at zero or one or more stations of the reactor; (c)반응기의 제로 또는 하나 이상의 스테이션에서 CVD텅스텐이 침착되고;(c) CVD tungsten is deposited at zero or one or more stations of the reactor; (d)한 침착 스테이션에서 다른 침착 스테이션으로 기판이 이동되어 WN, PNL-W 및 CVD-W로 구성된 층화된 필름이 형성되고;(d) the substrate is moved from one deposition station to another to form a layered film consisting of WN, PNL-W and CVD-W; (e)(d)의 층화된 필름이 임의 순서 및 임으의 층 개수로 조합되는 것을 특징으로 하는 방법(e) The layered film of (d) is combined in any order and in any number of layers. 제 28항에 있어서, 하나 이상의 침착 스테이션을 갖는 전용 질화텅스텐 모듈에서 질화텅스텐이 침착되고 질화텅스텐 모듈이 웨이퍼 예열 스테이션 및 예비 세정 스테이션을 포함하고;29. The method of claim 28, wherein tungsten nitride is deposited in a dedicated tungsten nitride module having one or more deposition stations and the tungsten nitride module comprises a wafer preheating station and a preclean station; 예비 세정 모듈은 유도쌍 플라즈마를 사용한 불소 함유 시약의 분해로 발생된 불소 기초 세정 약품을 사용하는 반응성 예비 세정을 위한 특징부를 제공하며;The preclean module provides features for reactive precleans using fluorine based cleaning chemicals generated by decomposition of fluorine containing reagents using an inductive pair plasma; 질화텅스텐 침착 모듈에서 웨이퍼 예비 세정 스테이션이나 다른 스테이션이 기판 예비 세정 후에 기판을 부동태화 하는 특징부를 제공함을 특징으로 하는 방법Wherein a wafer preclean station or another station in the tungsten nitride deposition module provides a feature to passivate the substrate after substrate preclean 제 28항에 있어서, 기판의 부동태화가 (a)수소 노출; (b)원격 H/H2 플라즈마 노출; (c)직접적인 H/H2 또는 Ar/H/H2 또는 RF 플라즈마 노출; (d)WF6노출; (e) 일련으로 또는 동시에 H/H2 또는 H/H2플라즈마 및 NH3; (f) 산소에 노출을 수단으로 수행됨을 특징으로 하는 방법The method of claim 28, wherein the passivation of the substrate comprises: (a) hydrogen exposure; (b) remote H / H 2 plasma exposure; (c) direct H / H 2 or Ar / H / H 2 or RF plasma exposure; (d) WF 6 exposure; (e) H / H 2 or H / H 2 plasma and NH 3 in series or simultaneously; (f) by means of exposure to oxygen; 제 28항에 있어서, 질화텅스텐 침착 모듈이 텅스텐의 CVD 또는 펄스화 핵화 전용 모듈과 진공 집적됨을 특징으로 하는 방법29. The method of claim 28, wherein the tungsten nitride deposition module is vacuum integrated with a tungsten CVD or pulsed nucleation dedicated module. (a)기판을 침착 챔버에 위치시키고;(a) placing the substrate in a deposition chamber; (b)반도체 웨이퍼에 하나 이상의 펄스화 침착 텅스텐층을 침착하고;(b) depositing one or more pulsed deposition tungsten layers on the semiconductor wafer; (c)하나 이상의 텅스텐층상에 하나 이상의 펄스화 침착 질화텅스텐층을 침착하고;(c) depositing one or more pulsed deposition tungsten nitride layers on the one or more tungsten layers; (d)(b)~(c)를 반복하여 W-WN 이중층이나 다중 텅스텐 및 다중 질화 텅스텐층으로 구성된 다층 구조를 생성하는 단계를 포함한 기판상에 질화텅스텐층을 형성하는 방법(d) repeating (b) to (c) to form a tungsten nitride layer on the substrate, including the step of creating a W-WN bilayer or a multi-layer structure composed of multiple tungsten and multiple tungsten nitride layers 제 47항에 있어서, W-WN 복합필름의 하부층이 텅스텐층임을 특징으로 하는 방법48. The method of claim 47, wherein the bottom layer of the W-WN composite film is a tungsten layer. 제 47항에 있어서, W-WN 복합필름의 하부층이 WN층임을 특징으로 하는 방법48. The method of claim 47, wherein the lower layer of the W-WN composite film is a WN layer. 제 47항에 있어서, W 및 WN층에서 W와 N의 원자 비율이 2대1의 비율로 존재하여서 화학양론적 W2N이 직접적이나 열처리에 의한 간접적으로 형성됨을 특징으로 하는 방법48. The method of claim 47, wherein the atomic ratios of W and N in the W and WN layers are present in a ratio of 2 to 1 so that stoichiometric W 2 N is formed directly or indirectly by heat treatment.
KR1020040013210A 2004-02-26 2004-02-26 Deposition of tungsten nitride KR101108304B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040013210A KR101108304B1 (en) 2004-02-26 2004-02-26 Deposition of tungsten nitride

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040013210A KR101108304B1 (en) 2004-02-26 2004-02-26 Deposition of tungsten nitride

Publications (2)

Publication Number Publication Date
KR20050087428A true KR20050087428A (en) 2005-08-31
KR101108304B1 KR101108304B1 (en) 2012-01-25

Family

ID=37270694

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040013210A KR101108304B1 (en) 2004-02-26 2004-02-26 Deposition of tungsten nitride

Country Status (1)

Country Link
KR (1) KR101108304B1 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101287271B1 (en) * 2009-09-09 2013-07-17 노벨러스 시스템즈, 인코포레이티드 Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101383406B1 (en) * 2006-02-06 2014-04-17 노벨러스 시스템즈, 인코포레이티드 Reducing silicon attack and improving resistivity of tungsten nitride film
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
KR101462154B1 (en) * 2008-12-15 2014-11-14 주식회사 원익아이피에스 Method for depositing W thin film
KR101485506B1 (en) * 2008-11-19 2015-01-28 주식회사 원익아이피에스 Method for depositing thin film on wafer
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
KR20150072377A (en) * 2013-12-19 2015-06-29 램 리써치 코포레이션 Method for depositing extremely low resistivity tungsten
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9236297B2 (en) 2009-04-16 2016-01-12 Novellus Systems, Inc. Low tempature tungsten film deposition for small critical dimension contacts and interconnects
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
KR20170106927A (en) * 2016-03-14 2017-09-22 램 리써치 코포레이션 Method for etching features in dielectric layers
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20200018547A (en) * 2016-10-31 2020-02-19 가부시키가이샤 히다치 하이테크놀로지즈 Plasma etching method
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
KR20210058289A (en) 2019-11-14 2021-05-24 주식회사 아이켐스 Tungsten Precursor, Method for Preparation of the Same, and Tungsten-Containing Thin Film, Method of Manufacturing the Same
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (en) * 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9583385B2 (en) 2001-05-22 2017-02-28 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR101383406B1 (en) * 2006-02-06 2014-04-17 노벨러스 시스템즈, 인코포레이티드 Reducing silicon attack and improving resistivity of tungsten nitride film
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101485506B1 (en) * 2008-11-19 2015-01-28 주식회사 원익아이피에스 Method for depositing thin film on wafer
KR101462154B1 (en) * 2008-12-15 2014-11-14 주식회사 원익아이피에스 Method for depositing W thin film
US9236297B2 (en) 2009-04-16 2016-01-12 Novellus Systems, Inc. Low tempature tungsten film deposition for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9673146B2 (en) 2009-04-16 2017-06-06 Novellus Systems, Inc. Low temperature tungsten film deposition for small critical dimension contacts and interconnects
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
KR101287271B1 (en) * 2009-09-09 2013-07-17 노벨러스 시스템즈, 인코포레이티드 Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20200006620A (en) * 2012-03-27 2020-01-20 노벨러스 시스템즈, 인코포레이티드 Tungsten feature fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
KR20150072377A (en) * 2013-12-19 2015-06-29 램 리써치 코포레이션 Method for depositing extremely low resistivity tungsten
KR20220104138A (en) * 2013-12-19 2022-07-26 램 리써치 코포레이션 Method for depositing extremely low resistivity tungsten
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529722B2 (en) 2015-02-11 2020-01-07 Lam Research Corporation Tungsten for wordline applications
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10546751B2 (en) 2015-05-27 2020-01-28 Lam Research Corporation Forming low resistivity fluorine free tungsten film without nucleation
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
KR20170106927A (en) * 2016-03-14 2017-09-22 램 리써치 코포레이션 Method for etching features in dielectric layers
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
KR20200018547A (en) * 2016-10-31 2020-02-19 가부시키가이샤 히다치 하이테크놀로지즈 Plasma etching method
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20210058289A (en) 2019-11-14 2021-05-24 주식회사 아이켐스 Tungsten Precursor, Method for Preparation of the Same, and Tungsten-Containing Thin Film, Method of Manufacturing the Same

Also Published As

Publication number Publication date
KR101108304B1 (en) 2012-01-25

Similar Documents

Publication Publication Date Title
KR101108304B1 (en) Deposition of tungsten nitride
US7005372B2 (en) Deposition of tungsten nitride
US7754604B2 (en) Reducing silicon attack and improving resistivity of tungsten nitride film
US7262125B2 (en) Method of forming low-resistivity tungsten interconnects
US7589017B2 (en) Methods for growing low-resistivity tungsten film
US8835311B2 (en) High temperature tungsten metallization process
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
US9969622B2 (en) Ternary tungsten boride nitride films and methods for forming same
US7585762B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20180277428A1 (en) Doping Control of Metal Nitride Films
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
JP2005505690A (en) Method for depositing a refractory metal layer using a series of deposition techniques
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US20240006180A1 (en) Low resistance pulsed cvd tungsten
TWI515803B (en) Doping aluminum in tantalum silicide
TW202108815A (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR101383406B1 (en) Reducing silicon attack and improving resistivity of tungsten nitride film
WO2022182590A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces
CN114606477A (en) Silicon precursors for silicon nitride deposition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151228

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170103

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171229

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181226

Year of fee payment: 8