KR20050045434A - Apparatus for manufacturing a semiconductor device - Google Patents

Apparatus for manufacturing a semiconductor device Download PDF

Info

Publication number
KR20050045434A
KR20050045434A KR1020030079502A KR20030079502A KR20050045434A KR 20050045434 A KR20050045434 A KR 20050045434A KR 1020030079502 A KR1020030079502 A KR 1020030079502A KR 20030079502 A KR20030079502 A KR 20030079502A KR 20050045434 A KR20050045434 A KR 20050045434A
Authority
KR
South Korea
Prior art keywords
load lock
chamber
lock chamber
wafer
door
Prior art date
Application number
KR1020030079502A
Other languages
Korean (ko)
Inventor
이정희
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030079502A priority Critical patent/KR20050045434A/en
Publication of KR20050045434A publication Critical patent/KR20050045434A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

반도체 소자를 제조하기 위한 장치가 개시되어 있다. 상기 장치는 반도체 소자를 제조하기 위한 공정이 진행되는 공정 챔버 및 상기 공정 챔버에서 공정이 완료되거나 공정이 진행될 웨이퍼를 보관하며, 일측을 통해 내부를 퍼지하기 위한 가스가 제공되는 로드락 챔버를 포함한다. 버퍼 챔버는 상기 공정 챔버와 상기 로드락 챔버를 연결한다. 구동부는 상기 로드락 챔버에 공급되는 가스를 제어하기 위한 밸브 및 상기 로드락 챔버와 버퍼 챔버 사이의 도어를 동시에 개폐한다. 따라서 상기 웨이퍼가 상기 로드락 챔버에 정체되는 동안 불량 발생을 억제할 수 있다. An apparatus for manufacturing a semiconductor device is disclosed. The apparatus includes a process chamber in which a process for manufacturing a semiconductor device is in progress and a load lock chamber in which a process for storing a wafer in which the process is completed or in which the process is to be performed is provided and a gas for purging the interior is provided through one side. . A buffer chamber connects the process chamber and the load lock chamber. The driving unit simultaneously opens and closes a valve for controlling the gas supplied to the load lock chamber and a door between the load lock chamber and the buffer chamber. Therefore, the occurrence of defects can be suppressed while the wafer is stagnated in the load lock chamber.

Description

반도체 소자 제조 장치{Apparatus for manufacturing a semiconductor device}Apparatus for manufacturing a semiconductor device

본 발명은 반도체 소자 제조 장치에 관한 것으로, 보다 상세하게는 반도체 소자 제조 공정중 웨이퍼의 불량 발생을 억제하기 위한 반도체 소자 제조 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device manufacturing apparatus, and more particularly, to a semiconductor device manufacturing apparatus for suppressing wafer defects during a semiconductor device manufacturing process.

근래에 정보 통신 분야의 급속한 발달과 컴퓨터와 같은 정보 매체가 널리 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이에 따라, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다.In recent years, with the rapid development of the information communication field and the widespread use of information media such as computers, semiconductor devices are also rapidly developing. In terms of its function, the semiconductor device is required to operate at a high speed and to have a large storage capacity. Accordingly, the manufacturing technology of the semiconductor device has been developed to improve the degree of integration, reliability, and response speed.

상기 반도체 장치는 일반적으로 막 형성, 패턴 형성, 금속 배선 형성 등을 위한 일련의 단위 공정들을 순차적으로 수행함으로서 제조된다. 상기 단위 공정은 일반적으로 진공이 유지된 공정 챔버의 내부에서 진행되어지고, 이러한 진공상태가 유지된 공정챔버의 내부로 웨이퍼를 로딩 및 언로딩시키기 위해서 저진공을 유지하고, 공정이 진행될 웨이퍼를 일시적으로 보관하는 로드락 챔버를 통해 로딩 및 언로딩하게 된다. 이와 같은 로드락 챔버 일측에는 진공으로 실링된 도어가 설치되어 공정을 진행하는 공정 설비의 진공 상태를 유지해주고 선행 공정이 완료된 웨이퍼를 다시 로드락 챔버에 투입시킨다.The semiconductor device is generally manufactured by sequentially performing a series of unit processes for film formation, pattern formation, metal wiring formation, and the like. The unit process generally proceeds inside a process chamber maintained in vacuum, maintains low vacuum to load and unload wafers into a process chamber maintained in such a vacuum state, and temporarily transfers the wafer to be processed. Loading and unloading through the load lock chamber to be stored as. One side of the load lock chamber is provided with a vacuum-sealed door to maintain the vacuum state of the process equipment that proceeds the process, and the wafer, in which the preceding process is completed, is put back into the load lock chamber.

도 1은 종래 기술에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic configuration diagram illustrating a semiconductor device manufacturing apparatus according to the prior art.

도 1을 참조하면, 공정챔버(10)는 건식식각공정, 화학기상증착공정 등의 반도체 소자의 제조 공정이 진행되며, 고진공펌프(11)의 가동에 의해서 고진공 상태가 형성된다. 상기 공정챔버(10)와 내부 중앙에 웨이퍼 이송용 로봇암(미도시)이 설치된 버퍼챔버(12)가 웨이퍼 이동용 도어(미도시)를 사이에 두고 인접 설치되어 있다. 상기 버퍼챔버(12) 일측에는 버퍼챔버(12)의 내부압력을 조절하기 위한 저진공펌프(13)가 연결되어 있고, 상기 버퍼챔버(12) 내부에서는 투입된 웨이퍼를 플랫존(Flat zone)을 기준으로 일방향으로 정렬하는 정렬공정이 진행된다.Referring to FIG. 1, the process chamber 10 is a process of manufacturing a semiconductor device such as a dry etching process and a chemical vapor deposition process, and a high vacuum state is formed by the operation of the high vacuum pump 11. The process chamber 10 and the buffer chamber 12 in which the wafer transfer robot arm (not shown) is installed in the inner center are adjacently provided with the wafer movement door (not shown) interposed therebetween. One side of the buffer chamber 12 is connected to a low vacuum pump 13 for controlling the internal pressure of the buffer chamber 12, and the wafer introduced into the buffer chamber 12 is referenced to a flat zone. The alignment process to align in one direction proceeds.

또한, 상기 버퍼챔버(12)의 소정 부위에는 저진공펌프(15)에 의해서 내부 압력이 조절되는 제 1 로드락챔버(14)가 웨이퍼 이동용 도어(미도시)를 사이에 두고 인접 설치되어 있고, 상기 버퍼챔버(12)의 다른 소정 부위에는 저진공펌프(17)에 의해서 내부 압력이 조절되는 제 2 로드락챔버(16)가 역시 웨이퍼 이동용 도어(미도시)를 사이에 두고 인접 설치되어 있다. 그리고, 상기 제 1 로드락챔버(14) 및 제 2 로드락챔버(16)의 일측부에는 다수의 웨이퍼가 적재된 카세트를 투입/방출하기 위한 카세트용 도어(미도시)가 각각 설치되어 있다.In addition, a first load lock chamber 14 having an internal pressure controlled by the low vacuum pump 15 is adjacent to a predetermined portion of the buffer chamber 12 with a wafer moving door (not shown) interposed therebetween. In another predetermined portion of the buffer chamber 12, a second load lock chamber 16 whose internal pressure is controlled by the low vacuum pump 17 is also provided adjacent to the wafer moving door (not shown). One side of the first load lock chamber 14 and the second load lock chamber 16 is provided with a cassette door (not shown) for inputting / ejecting a cassette on which a plurality of wafers are loaded.

그리고, 상기 버퍼 챔버(12)와 상기 버퍼 챔버(12) 내부의 압력 조절과 퍼지(purge)를 위해 질소가스를 공급하는 질소가스 공급원(18)이 질소가스 공급라인(20)에 의해서 서로 연결되어 있다. 상기 질소가스 공급라인(20)에는 온오프(On-off) 동작을 수행하는 밸브(19)가 구비된다.In addition, the buffer chamber 12 and the nitrogen gas supply source 18 for supplying nitrogen gas for pressure control and purge inside the buffer chamber 12 are connected to each other by the nitrogen gas supply line 20. have. The nitrogen gas supply line 20 is provided with a valve 19 for performing an on-off operation.

따라서, 소정의 반도체 소자 제조공정이 수행된 다수의 웨이퍼가 적재된 카세트가 상기 카세트 도어를 통해서 제1 로드락챔버(14) 및 제2 로드락챔버(16) 내부에 투입되면, 상기 로드락챔버(14, 16)와 연결된 저진공펌프(15, 17)는 가동되어 로드락챔버(14, 16)의 내부압력은 저진공상태로 형성된다. 상기 카세트에 적재된 웨이퍼는 버퍼챔버(12)의 로봇암에 의해서 웨이퍼 이동용 도어를 통해서 저진공펌프(13)의 가동에 의해서 저진공상태가 형성된 버퍼챔버(12)내부로 이동된다. 다음으로, 상기 버퍼챔버(12) 내부의 웨이퍼는 고진공펌프(11)의 가동에 의해서 고진공상태가 형성된 공정챔버(10) 내부로 이동하게 된다. 계속해서, 상기 공정챔버(10) 내부의 웨이퍼는 전술한 바와 같은 동작의 역순으로 다시 로봇암에 의해서 버퍼챔버(12)를 경유하여 각 로드락챔버(14, 16)의 카세트에 적재된다.Therefore, when a cassette in which a plurality of wafers having a predetermined semiconductor device manufacturing process is performed is loaded into the first load lock chamber 14 and the second load lock chamber 16 through the cassette door, the load lock chamber is loaded. The low vacuum pumps 15 and 17 connected to the 14 and 16 are operated so that the internal pressure of the load lock chambers 14 and 16 is formed in a low vacuum state. The wafer loaded in the cassette is moved by the robot arm of the buffer chamber 12 into the buffer chamber 12 in which the low vacuum state is formed by the operation of the low vacuum pump 13 through the wafer moving door. Next, the wafer inside the buffer chamber 12 is moved into the process chamber 10 in which the high vacuum state is formed by the operation of the high vacuum pump 11. Subsequently, the wafer inside the process chamber 10 is loaded into the cassettes of the load lock chambers 14 and 16 via the buffer chamber 12 again by the robot arm in the reverse order of the operation described above.

상기 로드락 챔버(14, 16)는 웨이퍼 이동시에만 개방되고 일반적으로 폐쇄된 상태를 유지하게 되므로, 웨이퍼는 상기 로드락 챔버(14, 16)에서 장시간 동안 정체된다. Since the load lock chambers 14 and 16 remain open and generally closed only during wafer movement, the wafers are stagnated for a long time in the load lock chambers 14 and 16.

상기 로드락 챔버(14, 16) 내에서의 정체 시간 동안 웨이퍼는 청정화 공기의 온도 및 습도와 동일한 분위기에 노출되어 있으므로, 상기 웨이퍼는 청정화 공기 중의 습기(H2O) 및 오존(O3)과 같은 각종 공기중 분자상 오염물질(airborne molecular contamination)들에 노출되는 문제점이 발생한다. 또한, 공정 챔버(10)의 공정 진행시 사용된 공정 가스의 잔량에 의해 웨이퍼에 다양한 불량이 발생된다.During the stagnation time in the load lock chambers 14 and 16, the wafer is exposed to the same atmosphere as the temperature and humidity of the cleansing air, so that the wafer is exposed to moisture (H 2 O) and ozone (O 3 ) The problem arises such as exposure to various airborne molecular contaminations. In addition, various defects are generated in the wafer by the remaining amount of the process gas used during the process of the process chamber 10.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은 반도체 소자 제조 장치에 가스를 공급하여 반도체 소자 제조 공정 중에 웨이퍼의 불량 발생을 방지할 수 있는 반도체 소자 제조 장치를 제공하는데 있다. An object of the present invention for solving the above problems is to provide a semiconductor device manufacturing apparatus that can supply a gas to the semiconductor device manufacturing apparatus to prevent the occurrence of defects in the wafer during the semiconductor device manufacturing process.

상기 본 발명의 목적을 달성하기 위하여 본 발명은 웨이퍼를 수용하여 공정을 진행하기 위한 공간을 제공하는 공정 챔버와, 상기 공정 챔버에서 공정이 완료되거나 공정이 진행될 웨이퍼를 수용하며, 일측을 통해 내부를 퍼지하기 위한 가스가 제공되는 로드락 챔버와, 상기 공정 챔버와 상기 로드락 챔버를 연결하며, 버퍼 공간을 제공하기 위한 버퍼 챔버 및 상기 로드락 챔버에 공급되는 가스를 제어하기 위한 밸브 및 상기 로드락 챔버와 버퍼 챔버 사이의 도어를 각각 개폐하며, 상기 도어가 개폐되는 경우 상기 밸브도 동시에 개폐하는 구동부를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치를 제공한다.In order to achieve the object of the present invention, the present invention includes a process chamber that accommodates a wafer and provides a space for the process, and accommodates a wafer to be processed or processed in the process chamber, the interior through one side A load lock chamber provided with a gas for purging, connecting the process chamber and the load lock chamber, a buffer chamber for providing a buffer space, a valve for controlling gas supplied to the load lock chamber, and the load lock chamber And opening and closing the door between the chamber and the buffer chamber, respectively, and when the door is opened or closed, the valve also includes a driving unit to simultaneously open and close the valve.

상기 가스는 상기 로드락 챔버를 퍼지하기 위한 것으로, 질소 가스가 사용되는 것이 바람직하다. The gas is for purging the load lock chamber, and nitrogen gas is preferably used.

이와 같이 구성된 본 발명에 따르면 상기 로드락 챔버와 버퍼 챔버 사이의 도어가 개방됨과 동시에 상기 질소가스 공급 밸브도 개방되므로 상기 로드락 챔버로 질소 가스가 공급된다. 따라서 로드락 챔버에 장시간 정체되는 웨이퍼의 오염을 방지하여 불량을 줄인다.According to the present invention configured as described above, the nitrogen gas is supplied to the load lock chamber since the door between the load lock chamber and the buffer chamber is opened and the nitrogen gas supply valve is also opened. Therefore, to prevent the contamination of the wafer that is stagnated for a long time in the load lock chamber to reduce the defect.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 따른 반도체 소장 제조 장치에 대해 상세히 설명한다. Hereinafter, a semiconductor device manufacturing apparatus according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 바람직한 일실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.2 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to an embodiment of the present invention.

도 2를 참조하면, 본 발명에 따른 반도체 소자 제조 장치는 크게 웨이퍼(W)를 수용하여 공정을 진행하기 위한 공간을 제공하는 공정 챔버(110)와, 공정 챔버(110)에서 공정이 완료되거나 공정이 진행될 웨이퍼(W)를 보관하며, 일측을 통해 내부를 퍼지하기 위한 가스가 제공되는 로드락 챔버(130, 140)와, 공정 챔버(110)와 로드락 챔버(130, 140)를 연결하며, 버퍼 공간을 제공하기 위한 버퍼 챔버(120) 및 로드락 챔버(130, 140)에 공급되는 가스를 제어하기 위한 밸브(154, 158) 및 로드락 챔버(130, 140)와 버퍼 챔버(120) 사이의 도어(134, 144)를 동시에 개폐하기 위한 구동부(160, 162))를 포함한다. Referring to FIG. 2, the semiconductor device manufacturing apparatus according to the present invention may include a process chamber 110 that provides a space for accommodating a wafer W, and a process may be completed or processed in the process chamber 110. To store the wafer (W) to be carried out, connecting the load lock chamber (130, 140), the process chamber 110 and the load lock chamber (130, 140) is provided with a gas for purging the interior through one side, Between the buffer chamber 120 and the valves 154 and 158 for controlling the gas supplied to the load lock chambers 130 and 140 and the load lock chambers 130 and 140 and the buffer chamber 120 to provide the buffer space. The drive unit (160, 162) for simultaneously opening and closing the door (134, 144) of the.

공정챔버(110)는 웨이퍼(W)를 수용하여 10-3 Torr 이하의 고진공상태에서 화학기상증착공정, 건식식각공정 등의 반도체 소자 제조공정이 수행되기 위한 공간을 제공한다. 여기서, 공정챔버(110)의 일측에는 공정챔버(110)의 내부압력을 조절하도록 터보펌프 등의 고진공펌프(112)가 연결 구비되어 있다. 상기 도면에서 공정챔버(110)는 하나만 구비되는 것으로 도시되었지만, 다수의 웨이퍼(W)에 대해 공정을 진행하기 위해 다수개 구비될 수도 있다.The process chamber 110 accommodates the wafer W and provides a space for performing a semiconductor device manufacturing process such as a chemical vapor deposition process and a dry etching process in a high vacuum state of 10 −3 Torr or less. Here, one side of the process chamber 110 is provided with a high vacuum pump 112, such as a turbo pump to adjust the internal pressure of the process chamber 110. Although only one process chamber 110 is illustrated in the drawing, a plurality of process chambers 110 may be provided to process a plurality of wafers W.

버퍼챔버(120)는 공정챔버(110)와 웨이퍼(W) 이동용 도어(미도시)를 사이에 두고 투입된 웨이퍼(W)를 일방향으로 정렬하고, 공정챔버(110)로 상기 웨이퍼(W)를 투입하거나, 공정챔버(110)로부터 방출된 웨이퍼(W)를 수납한다. 여기서, 상기 버퍼챔버(120) 일측에는 버퍼챔버(120)의 내부압력을 10-3 Torr 내지 10-4 Torr 정도의 저진공상태로 형성할 수 있도록 드라이펌프 등의 저진공펌프(122)가 연결 구비되어 있고, 버퍼챔버(120)의 중앙에는 웨이퍼(W) 이송을 위한 로봇암(124)이 구비되어 있다.The buffer chamber 120 aligns the inserted wafer W in one direction with the process chamber 110 and the wafer W moving door (not shown) interposed therebetween, and inserts the wafer W into the process chamber 110. Alternatively, the wafer W discharged from the process chamber 110 is stored. Here, a low vacuum pump 122 such as a dry pump is connected to one side of the buffer chamber 120 so that the internal pressure of the buffer chamber 120 can be formed in a low vacuum state of about 10 -3 Torr to 10 -4 Torr. The robot arm 124 for transferring the wafer W is provided at the center of the buffer chamber 120.

제1 로드락챔버(130)는 버퍼챔버(120)의 일측에 웨이퍼(W) 이동하기 위한 제1 도어(134)를 사이에 두고 구비되며, 버퍼챔버(120)로 투입되거나 버퍼챔버(120)로부터 방출된 웨이퍼(W)가 대기하는 공간을 제공한다. 제2 로드락챔버(140)도 버퍼챔버(120)의 일측에 웨이퍼(W) 이동하기 위한 제2 도어(144)를 사이에 두고 구비되며, 버퍼챔버(120)로 투입되거나 버퍼챔버(120)로부터 방출된 웨이퍼(W)가 대기하는 공간을 제공한다. 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 일측에는 로드락챔버들(130, 140)의 내부압력을 10-3 Torr 내지 10-4 Torr 정도의 저진공상태로 형성할 수 있도록 드라이펌프 등의 저진공펌프(132, 142)가 각각 연결 구비된다. 그리고, 제1 로드락챔버(130) 및 제2 로드락챔버(140)에는 웨이퍼(W)를 수납되는 카세트가 투입하기 위한 도어(미도시)가 더 구비된다.The first load lock chamber 130 is provided with a first door 134 for moving the wafer W on one side of the buffer chamber 120, and is introduced into the buffer chamber 120 or the buffer chamber 120. Provides a space for the wafer W ejected from the atmosphere. The second load lock chamber 140 is also provided with a second door 144 for moving the wafer W on one side of the buffer chamber 120, and is introduced into the buffer chamber 120 or the buffer chamber 120. Provides a space for the wafer W ejected from the atmosphere. On one side of the first load lock chamber 130 and the second load lock chamber 140 to form the internal pressure of the load lock chambers (130, 140) in a low vacuum state of about 10 -3 Torr to 10 -4 Torr Low vacuum pumps 132 and 142 such as dry pumps are provided to be connected to each other. The first load lock chamber 130 and the second load lock chamber 140 may further include a door (not shown) for inserting a cassette into which the wafer W is accommodated.

상기 실시예에서는 버퍼챔버(120), 제1 로드락챔버(130) 및 제2 로드락챔버(140)에 각각 저진공펌프(122, 132, 142)를 구비하였으나 통합하여 하나로 구비할 수도 있으며, 공정챔버(110)와 특정 저진공펌프(122, 132, 142), 예를 들면 버퍼챔버(120)와 연결된 저진공펌프(122)를 연결 구비하여 초기에는 상기 저진공펌프(122)를 가동시켜 일정수준의 저진공상태를 형성한 후, 다시 고진공펌프(112)를 가동시켜 고진공상태를 형성하도록 할 수도 있다.In the above embodiment, the low vacuum pumps 122, 132, and 142 are provided in the buffer chamber 120, the first load lock chamber 130, and the second load lock chamber 140, respectively. The low vacuum pump 122 is connected to the process chamber 110 and the specific low vacuum pumps 122, 132, and 142, for example, the buffer chamber 120 to initially operate the low vacuum pump 122. After forming a predetermined low vacuum level, the high vacuum pump 112 may be operated again to form a high vacuum state.

질소가스 공급원(150)은 제1 질소가스 공급라인(152)을 통하여 제1 로드락챔버(130)와 연결된다. 또한 질소가스 공급원(150)은 제2 질소가스 공급라인(156)을 통하여 제2 로드락챔버(140)와 연결된다. 상기 질소 가스는 제1 로드락 챔버(130) 및 제2 로드락챔버(140)의 압력을 조절하고, 제1 로드락 챔버(130) 및 제2 로드락챔버(140) 내부를 퍼지하기 위해 사용된다. 질소가스 공급원(150)은 제1 도어(134) 또는 제2 도어(144)가 개방되었을 때 제1 로드락 챔버(130)와 버퍼챔버(120) 또는 제2 로드락 챔버(140)와 버퍼챔버(130)가 400 sccm이 유지되도록 질소 가스를 공급한다.The nitrogen gas supply source 150 is connected to the first load lock chamber 130 through the first nitrogen gas supply line 152. In addition, the nitrogen gas supply source 150 is connected to the second load lock chamber 140 through the second nitrogen gas supply line 156. The nitrogen gas is used to adjust the pressure of the first load lock chamber 130 and the second load lock chamber 140 and to purge the inside of the first load lock chamber 130 and the second load lock chamber 140. do. The nitrogen gas supply source 150 may include the first load lock chamber 130 and the buffer chamber 120 or the second load lock chamber 140 and the buffer chamber when the first door 134 or the second door 144 is opened. 130 supplies nitrogen gas such that 400 sccm is maintained.

제1 밸브(154)는 제1 질소가스 공급라인(152) 상에 구비되어 제1 질소가스 공급라인(152)을 개방 또는 폐쇄한다. 제2 밸브(158)는 제2 질소가스 공급라인(156) 상에 구비되어 제2 질소가스 공급라인(156)을 개방 또는 폐쇄한다. The first valve 154 is provided on the first nitrogen gas supply line 152 to open or close the first nitrogen gas supply line 152. The second valve 158 is provided on the second nitrogen gas supply line 156 to open or close the second nitrogen gas supply line 156.

제1 구동부(160)는 제1 도어(134) 및 제1 밸브(154)를 개폐하기 위한 구동력을 제공하며, 특히 제1 도어(134)의 개폐시 제1 밸브(154)도 동시에 개폐되도록 한다. 제2 구동부(162)도 제2 도어(144) 및 제2 밸브(158)를 개폐하기 위한 구동력을 제공하며, 특히 제2 도어(144)의 개폐시 제2 밸브(158)도 동시에 개폐되도록 한다.The first driving unit 160 provides driving force for opening and closing the first door 134 and the first valve 154, and in particular, opens and closes the first valve 154 at the same time when the first door 134 is opened and closed. . The second driving unit 162 also provides a driving force for opening and closing the second door 144 and the second valve 158, and in particular, the second valve 158 also opens and closes at the same time when the second door 144 is opened and closed. .

소정의 반도체 소자 제조공정이 수행된 복수의 웨이퍼(W)가 적재된 카세트가 카세트 도어를 통해서 제1 로드락챔버(130) 및 제2 로드락챔버(140) 내부에 투입되면, 제1 로드락챔버(130) 및 제2 로드락챔버(140)와 각각 연결된 저진공펌프(132, 142)는 작동되어 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 내부압력은 10-3 Torr 내지 10-4 Torr 정도의 저진공상태로 형성된다.When a cassette in which a plurality of wafers W, on which a predetermined semiconductor device manufacturing process is performed, is loaded into the first load lock chamber 130 and the second load lock chamber 140 through a cassette door, the first load lock The low vacuum pumps 132 and 142 connected to the chamber 130 and the second load lock chamber 140, respectively, are operated so that the internal pressure of the first load lock chamber 130 and the second load lock chamber 140 is 10- . It is formed in a low vacuum state of 3 Torr to 10 -4 Torr.

이어서, 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 각 웨이퍼(W)는 버퍼챔버(120)의 로봇암(124)에 의해서 웨이퍼(W) 이동하기 위한 제1 도어(134) 및 제2 도어(144)를 통해서 저진공펌프(33)의 작동에 의해서 10-3 Torr 내지 10-4 Torr 정도의 저진공상태가 형성된 버퍼챔버(120)로 이동하게 된다. 제1 도어(134) 및 제2 도어(144)가 개방될 때 질소가스 공급라인(152, 156) 상의 제1 밸브(154) 및 제2 밸브(158)도 동시에 개방된다. 따라서 질소가스 공급원(150)으로부터 질소 가스가 제1 로드락챔버(130) 및 제2 로드락챔버(140)로 각각 공급되므로, 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 청정화 공기가 퍼지된다. 이때 제1 로드락챔버(130), 제2 로드락챔버(140) 및 버퍼챔버(120)의 질소 가스가 400 sccm 이 유지된다.Subsequently, each of the wafers W of the first load lock chamber 130 and the second load lock chamber 140 is moved by the robot arm 124 of the buffer chamber 120. The low vacuum pump 33 is moved through the second door 144 to the buffer chamber 120 having a low vacuum of about 10 −3 Torr to about 10 −4 Torr. When the first door 134 and the second door 144 are opened, the first valve 154 and the second valve 158 on the nitrogen gas supply lines 152 and 156 also open simultaneously. Therefore, since nitrogen gas is supplied from the nitrogen gas supply source 150 to the first load lock chamber 130 and the second load lock chamber 140, respectively, the first load lock chamber 130 and the second load lock chamber 140 are provided. Cleansing air is purged. At this time, the nitrogen gas of the first load lock chamber 130, the second load lock chamber 140, and the buffer chamber 120 is maintained at 400 sccm.

다음으로, 버퍼챔버(120) 내부의 웨이퍼(W)는 다시 버퍼챔버(120)에 구비된 로봇암(124)에 의해 웨이퍼(W) 이동용 도어를 통해서 공정챔버(10) 내부로 이동하게 된다. 이때 공정챔버(110)는 고진공펌프(112)의 작동에 의해서 10-3 Torr 이하의 고진공이 형성된 상태이다. 공정챔버(110) 내부에서는 웨이퍼(W) 상에 특정 박막을 형성하는 화학기상증착공정, 웨이퍼(W)의 표면 일부를 식각하는 건식식각공정 등이 진행된다.Next, the wafer W inside the buffer chamber 120 is moved again into the process chamber 10 through the wafer W moving door by the robot arm 124 provided in the buffer chamber 120. At this time, the process chamber 110 is a state in which a high vacuum of 10 -3 Torr or less is formed by the operation of the high vacuum pump 112. In the process chamber 110, a chemical vapor deposition process of forming a specific thin film on the wafer W, a dry etching process of etching a portion of the surface of the wafer W, and the like are performed.

공정챔버(110) 내부에서 공정이 완료된 웨이퍼(W)는 전술한 바와 같은 동작의 역순으로 다시 로봇암(124)에 의해서 버퍼챔버(120)를 경유하여 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 카세트에 적재된다. 상기에서 웨이퍼(W)가 버퍼챔버(120)에서 제1 로드락챔버(130) 및 제2 로드락챔버(140)로 이송될 때 제1 구동부(160) 및 제2 구동부(162)에 의해 제1 도어(134) 및 제2 도어(144)가 개방되므로 제1 밸브(154) 및 제2 밸브(158)도 동시에 각각 개방된다. 따라서 제1 로드락챔버(130) 및 제2 로드락챔버(140)에 질소 가스가 공급되어 웨이퍼(W)에 잔류하는 공정 가스를 퍼지한다. 그러므로 잔류 공정 가스에 의한 웨이퍼(W) 불량을 방지한다. 마찬가지로 이때 제1 로드락챔버(130), 제2 로드락챔버(140) 및 버퍼챔버(120)의 질소 가스가 400 sccm 이 유지된다.In the process chamber 110, the wafer W, which has been processed, is returned to the first load lock chamber 130 and the second through the buffer chamber 120 by the robot arm 124 in the reverse order of the above-described operation. It is loaded in the cassette of the load lock chamber 140. When the wafer W is transferred from the buffer chamber 120 to the first load lock chamber 130 and the second load lock chamber 140, the wafer W may be formed by the first driver 160 and the second driver 162. Since the first door 134 and the second door 144 are opened, the first valve 154 and the second valve 158 are also simultaneously opened. Therefore, nitrogen gas is supplied to the first load lock chamber 130 and the second load lock chamber 140 to purge the process gas remaining on the wafer W. Therefore, defects of the wafer W due to the residual process gas are prevented. Similarly, at this time, the nitrogen gas of the first load lock chamber 130, the second load lock chamber 140, and the buffer chamber 120 is maintained at 400 sccm.

제1 로드락챔버(130) 및 제2 로드락챔버(140)의 카세트에 모든 웨이퍼(W)가 수납되면, 제1 로드락챔버(130) 및 제2 로드락챔버(140)와 각각 연결된 저진공펌프(132, 142)의 가동을 중지시킨다. 그리고, 제1 질소가스 공급라인(152) 및 제2 질소가스 공급라인(156) 상에 설치된 제1 밸브(154) 및 제2 밸브(158)를 개방함에 따라 질소가스 공급원(150)에 저장된 질소가스가 제1 로드락챔버(130) 및 제2 로드락챔버(140) 내부로 공급된다. 이에 따라 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 내부압력은 10-3 Torr 내지 10-4 Torr 정도의 저진공상태에서 대기압상태로 전환된다. 제1 로드락챔버(130) 및 제2 로드락챔버(140)의 카세트는 상기 카세트용 도어를 통해서 외부로 이송된다.When all the wafers W are stored in the cassettes of the first load lock chamber 130 and the second load lock chamber 140, the low loads connected to the first load lock chamber 130 and the second load lock chamber 140, respectively. The operation of the vacuum pumps 132 and 142 is stopped. The nitrogen stored in the nitrogen gas supply source 150 is opened by opening the first valve 154 and the second valve 158 provided on the first nitrogen gas supply line 152 and the second nitrogen gas supply line 156. Gas is supplied into the first load lock chamber 130 and the second load lock chamber 140. Accordingly, the internal pressures of the first load lock chamber 130 and the second load lock chamber 140 are converted to the atmospheric pressure in a low vacuum state of about 10 −3 Torr to 10 −4 Torr. The cassettes of the first load lock chamber 130 and the second load lock chamber 140 are transferred to the outside through the cassette door.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 반도체 소자 제조 장치는 질소 가스를 공급하여 로드락챔버를 퍼지함으로써 상기 로드락챔버에 정체되는 웨이퍼(W)의 불량 발생을 억제한다. As described above, the semiconductor device manufacturing apparatus according to the preferred embodiment of the present invention suppresses the occurrence of defects of the wafer W stagnant in the load lock chamber by supplying nitrogen gas to purge the load lock chamber.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

도 1은 종래 기술에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.1 is a schematic configuration diagram illustrating a semiconductor device manufacturing apparatus according to the prior art.

도 2는 본 발명의 바람직한 일실시예에 따른 반도체 소자 제조 장치를 설명하기 위한 개략적인 구성도이다.2 is a schematic diagram illustrating a semiconductor device manufacturing apparatus according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

110 : 공정 챔버 112 : 고진공 펌프110: process chamber 112: high vacuum pump

120 : 버퍼 챔버 122 : 저진공 펌프120: buffer chamber 122: low vacuum pump

124 : 로봇암 130 : 제1 로드락 챔버124: robot arm 130: the first load lock chamber

132 : 저진공 펌프 134 : 제1 도어132: low vacuum pump 134: first door

140 : 제2 로드락 챔버 142 : 저진공 펌프140: second load lock chamber 142: low vacuum pump

144 : 제2 도어 150 : 질소가스 공급원144: second door 150: nitrogen gas supply source

152 : 제1 공급라인 154 : 제1 밸브152: first supply line 154: first valve

156 : 제2 공급라인 158 : 제2 밸브156: second supply line 158: second valve

160 : 제1 구동부 162 : 제2 구동부160: first driving unit 162: second driving unit

W : 웨이퍼W: Wafer

Claims (2)

웨이퍼를 수용하여 공정을 진행하기 위한 공간을 제공하는 공정 챔버;A process chamber for receiving a wafer and providing a space for processing the wafer; 상기 공정 챔버에서 공정이 완료되거나 공정이 진행될 웨이퍼를 수용하며, 일측을 통해 내부를 퍼지하기 위한 가스가 제공되는 로드락 챔버;A load lock chamber accommodating a wafer to be processed or completed in the process chamber, and provided with a gas for purging the interior through one side; 상기 공정 챔버와 상기 로드락 챔버를 연결하며, 버퍼 공간을 제공하기 위한 버퍼 챔버; 및A buffer chamber connecting the process chamber and the load lock chamber to provide a buffer space; And 상기 로드락 챔버에 공급되는 가스를 제어하기 위한 밸브 및 상기 로드락 챔버와 버퍼 챔버 사이의 도어를 각각 개폐하며, 상기 도어가 개폐되는 경우 상기 밸브도 동시에 개폐하는 구동부를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.And a valve for controlling the gas supplied to the load lock chamber, and a driving unit which opens and closes the door between the load lock chamber and the buffer chamber, respectively, and simultaneously opens and closes the valve when the door is opened and closed. Device manufacturing apparatus. 제1항에 있어서, 상기 가스는 질소 가스인 것을 특징으로 하는 반도체 소자 제조 장치.The semiconductor device manufacturing apparatus according to claim 1, wherein the gas is nitrogen gas.
KR1020030079502A 2003-11-11 2003-11-11 Apparatus for manufacturing a semiconductor device KR20050045434A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030079502A KR20050045434A (en) 2003-11-11 2003-11-11 Apparatus for manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030079502A KR20050045434A (en) 2003-11-11 2003-11-11 Apparatus for manufacturing a semiconductor device

Publications (1)

Publication Number Publication Date
KR20050045434A true KR20050045434A (en) 2005-05-17

Family

ID=37245127

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030079502A KR20050045434A (en) 2003-11-11 2003-11-11 Apparatus for manufacturing a semiconductor device

Country Status (1)

Country Link
KR (1) KR20050045434A (en)

Similar Documents

Publication Publication Date Title
JP7164824B2 (en) Loadport with door opening system and door opening system
JP7193748B2 (en) load port
KR100799415B1 (en) Purge system for a product container and table for use in the purge system
US6053686A (en) Device and method for load locking for semiconductor processing
KR100964041B1 (en) Substrate processing method and substrate processing apparatus
KR101220790B1 (en) Vaccum processing apparatus, method of operating the same, and storage medium
JP3486821B2 (en) Processing apparatus and method of transporting object to be processed in processing apparatus
JP4251580B1 (en) Containment transport system
JP4916140B2 (en) Vacuum processing system
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
US11501987B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
US6446646B1 (en) Substrate processing apparatus
JP3151582B2 (en) Vacuum processing equipment
JP2007149948A (en) Vacuum treatment device
US10896835B2 (en) Processing system
JP5224567B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2000150613A (en) Transporting device for object to be treated
WO2005001925A1 (en) Vacuum processing device operating method
KR20050045434A (en) Apparatus for manufacturing a semiconductor device
JPH09306972A (en) Semiconductor manufacturing apparatus
JPH11186355A (en) Load locking mechanism, substrata processing device and substrate processing method
JPH04271139A (en) Semiconductor manufacturing equipment
US6030459A (en) Low-pressure processing device
JPH0529263A (en) Semiconductor manufacturing device
JP7307241B1 (en) Substrate automatic transfer device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination