KR20050028514A - Semiconductor device having gates of mos transistors and method of the same - Google Patents

Semiconductor device having gates of mos transistors and method of the same Download PDF

Info

Publication number
KR20050028514A
KR20050028514A KR1020030064795A KR20030064795A KR20050028514A KR 20050028514 A KR20050028514 A KR 20050028514A KR 1020030064795 A KR1020030064795 A KR 1020030064795A KR 20030064795 A KR20030064795 A KR 20030064795A KR 20050028514 A KR20050028514 A KR 20050028514A
Authority
KR
South Korea
Prior art keywords
gate electrode
substrate
lower gate
forming
trench
Prior art date
Application number
KR1020030064795A
Other languages
Korean (ko)
Inventor
김성민
박동건
여경환
조혜진
윤은정
이신애
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030064795A priority Critical patent/KR20050028514A/en
Publication of KR20050028514A publication Critical patent/KR20050028514A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A semiconductor device having a gate of a MOS(metal oxide semiconductor) transistor is provided to prevent the sidewall of a lower gate electrode from being damaged by an etch process by forming the lower gate electrode in a trench having an active region. A gate insulation layer(114) is conformally formed in a trench(112) formed in a predetermined region of a substrate(100). A lower gate electrode(116a) is disposed on the gate insulation layer to fill the trench. At least a part of the lower gate electrode is made of metal silicide(120). An upper gate electrode(130) is formed on the lower gate electrode. A pair of impurity diffusion layers are formed in the substrate at both sides of the upper gate electrode.

Description

모스 트랜지스터의 게이트를 갖는 반도체 소자 및 그 형성 방법{Semiconductor device having gates of MOS transistors and method of the same}Semiconductor device having gates of MOS transistors and method for forming the same

본 발명은 반도체 소자 및 그 형성 방법에 관한 것으로, 특히, 모스 트랜지스터의 게이트를 갖는 반도체 소자 및 그 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of forming the same, and more particularly, to a semiconductor device having a gate of a MOS transistor and a method of forming the same.

통상적으로, 반도체 소자의 모스 트랜지스터는 반도체기판 내에 서로 이격되어 배치된 소오스/드레인 영역들, 상기 소오스/드레인 영역들 사이의 채널 영역 상부에 배치된 게이트 전극으로 구성될 수 있다. In general, the MOS transistor of the semiconductor device may include source / drain regions spaced apart from each other in the semiconductor substrate, and a gate electrode disposed over a channel region between the source / drain regions.

현재, 반도체 소자는 고집적화가 심화되고 있는 반면에, 빠른 동작 속도가 요구되고 있다. 즉, 상기 게이트 전극의 선폭이 점점 감소되는 것에 반하여, 상기 게이트 전극의 저항의 감소가 요구되고 있다. 이에 따라, 상기 게이트 전극의 저항을 감소시키는 방안으로, 다층 구조(multiple layered structure)를 갖는 게이트 전극이 제안되고 있다. 종래의 다층 구조의 게이트를 갖는 모스 트랜지스터의 형성 방법을 도 1 및 도 2를 참조하여 설명한다.At present, while semiconductor devices have been highly integrated, high operating speeds are required. In other words, while the line width of the gate electrode is gradually reduced, a decrease in the resistance of the gate electrode is required. Accordingly, as a method of reducing the resistance of the gate electrode, a gate electrode having a multiple layered structure has been proposed. A method of forming a MOS transistor having a gate of a conventional multilayer structure will be described with reference to FIGS. 1 and 2.

도 1 및 도 2는 종래의 모스 트랜지스터를 형성하는 방법을 설명하기 위한 공정단면도들이다.1 and 2 are cross-sectional views illustrating a method of forming a conventional MOS transistor.

도 1 및 도 2를 참조하면, 반도체기판(1, 이하 기판이라고 함) 상에 게이트 산화막(2), 도핑된 폴리실리콘막(3), 텅스텐실리사이드막(4) 및 하드마스크막(5)을 차례로 형성한다. 상기 게이트 산화막(2)은 열산화막으로 형성하고, 상기 하드마스크막(5)은 실리콘 질화막으로 형성한다.1 and 2, a gate oxide film 2, a doped polysilicon film 3, a tungsten silicide film 4, and a hard mask film 5 are formed on a semiconductor substrate 1 (hereinafter referred to as a substrate). Form in turn. The gate oxide film 2 is formed of a thermal oxide film, and the hard mask film 5 is formed of a silicon nitride film.

상기 하드마스크막(5), 텅스텐실리사이드막(4), 도핑된 폴리실리콘막(3) 및 게이트 산화막(2)을 연속적으로 패터닝하여 차례로 적층된 게이트 산화막 패턴(2a), 게이트 전극(7) 및 하드마스크 패턴(5a)으로 구성된 게이트 패턴(10)을 형성한다. 상기 게이트 전극(7)은 차례로 적층된 도핑된 폴리실리콘 패턴(3a) 및 텅스텐 실리사이드 패턴(4a)으로 구성된 다층 구조이다. 상기 텅스텐 실리사이드 패턴(4a)은 상기 도핑된 폴리실리콘 패턴(3a)에 비하여 낮은 저항을 갖는 도전물질이다. 이에 따라, 상기 텅스텐 실리사이드 패턴(4a)은 상기 게이트 전극(7)의 선폭이 감소될지라도, 상기 게이트 전극(7)의 저항을 감소시킬 수 있다.The hard oxide film 5, the tungsten silicide film 4, the doped polysilicon film 3, and the gate oxide film 2 are successively patterned and stacked to sequentially form a gate oxide film pattern 2a, a gate electrode 7, and The gate pattern 10 formed of the hard mask pattern 5a is formed. The gate electrode 7 is a multi-layer structure composed of a doped polysilicon pattern 3a and a tungsten silicide pattern 4a that are sequentially stacked. The tungsten silicide pattern 4a is a conductive material having a lower resistance than the doped polysilicon pattern 3a. Accordingly, the tungsten silicide pattern 4a may reduce the resistance of the gate electrode 7 even if the line width of the gate electrode 7 is reduced.

한편, 상기 게이트 패턴(10)을 형성을 위한 패터닝 공정의 식각공정으로 인하여, 상기 게이트 패턴(10)의 측벽들 또는 상기 게이트 패턴(10) 양측의 상기 기판(1)이 손상될 수 있다. 이를 치유하기 위하여, 상기 게이트 패턴(10)을 형성한 후에, 게이트 산화 공정을 수행한다. 상기 게이트 산화 공정 수행시, 상기 게이트 전극(7)의 측벽에는 산화막(8)이 형성될 수 있다. 상기 게이트 패턴(10) 양측의 상기 기판(1)이 노출될 경우, 상기 산화막(8)은 상기 노출된 기판(1)의 표면에도 형성될 수 있다. 이어서, 상기 게이트 패턴(10) 양측의 상기 기판(1)에 불순물 이온들을 선택적으로 주입하여 소오스/드레인 영역들(9)을 형성한다.Meanwhile, due to an etching process of the patterning process for forming the gate pattern 10, the sidewalls of the gate pattern 10 or the substrate 1 on both sides of the gate pattern 10 may be damaged. In order to cure this, after the gate pattern 10 is formed, a gate oxidation process is performed. When the gate oxidation process is performed, an oxide layer 8 may be formed on sidewalls of the gate electrode 7. When the substrate 1 on both sides of the gate pattern 10 is exposed, the oxide layer 8 may also be formed on the exposed surface of the substrate 1. Subsequently, source / drain regions 9 are formed by selectively implanting impurity ions into the substrate 1 on both sides of the gate pattern 10.

상술한 종래의 모스 트랜지스터의 형성 방법에 있어서, 상기 게이트 패턴(10)을 형성하기 위해서는, 여러 막들(2,3,4,5)로 구성된 다층막을 패터닝하여 한다. 이에 따라, 상기 게이트 패턴(10)의 프로파일(특히, 측벽의 프로파일)이 매우 열화될 수 있다. 이로 인하여, 상기 게이트 전극(7)의 특성이 열화될 수 있다.In the above-described method of forming a MOS transistor, in order to form the gate pattern 10, a multilayer film made of various films 2, 3, 4, and 5 is patterned. Accordingly, the profile of the gate pattern 10 (particularly, the sidewall profile) may be very degraded. As a result, the characteristics of the gate electrode 7 may be degraded.

또한, 상기 게이트 산화 공정은 여러가지 문제점들을 유발시킬 수 있다. 즉, 상기 게이트 전극(7)의 양측벽에 산화막(8)이 형성됨으로써, 상기 게이트 전극(7)의 실질적인 선폭이 감소되어 상기 게이트 전극(7)의 저항이 감소될 수 있다. 이에 더하여, 상기 게이트 전극(7)이 텅스텐등의 금속막을 포함할 경우, 상기 게이트 산화 공정으로 인하여, 상기 금속막에 기묘한 형상의 부산물들이 형성될 수 있다. 상기 부산물들은 상기 게이트 패턴(10)으로 부터 이탈되어 파티클등의 형태로 반도체 소자에 여러가지 문제점들을 유발시킬 수 있다. 예컨대, 소자들간의 전기적인 쇼트(short) 또는 후속에 형성되는 패턴들의 불량등을 유발시킬 수 있다.In addition, the gate oxidation process can cause various problems. That is, since the oxide film 8 is formed on both sidewalls of the gate electrode 7, the substantial line width of the gate electrode 7 may be reduced, thereby reducing the resistance of the gate electrode 7. In addition, when the gate electrode 7 includes a metal film such as tungsten, by-products having strange shapes may be formed in the metal film due to the gate oxidation process. The byproducts may be separated from the gate pattern 10 to cause various problems in the semiconductor device in the form of particles. For example, it may cause electrical short between the elements or failure of the subsequently formed patterns.

본 발명이 이루고자 하는 기술적 과제는 모스 트랜지스터를 구성하는 게이트의 열화를 방지할 수 있는 반도체 소자 및 그 형성 방법을 제공하는데 있다.SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a semiconductor device capable of preventing deterioration of a gate constituting a MOS transistor and a method of forming the same.

본 발명이 이루고자 하는 다른 기술적 과제는 게이트 산화 공정으로 유발될 수 있는 반도체 소자의 열화를 방지할 수 있는 반도체 소자 및 그 형성 방법을 제공하는데 있다.Another object of the present invention is to provide a semiconductor device and a method of forming the same that can prevent deterioration of the semiconductor device that may be caused by a gate oxidation process.

본 발명이 이루고자 하는 또 다른 기술적 과제는 엔모스 및 피모스 트랜지스터들의 특성을 동시에 향상시킬 수 있는 반도체 소자 및 그 형성 방법을 제공하는데 있다.Another object of the present invention is to provide a semiconductor device and a method of forming the same that can simultaneously improve the characteristics of NMOS and PMOS transistors.

상술한 기술적 과제들을 해결하기 위한 모스 트랜지스터의 게이트를 갖는 반도체 소자를 제공한다. 이 소자는 기판의 소정영역에 형성된 트렌치 내에 콘포말하게 형성된 게이트 절연막 및, 상기 게이트 절연막 상에 상기 트렌치를 채우도록 배치된 하부 게이트 전극을 포함한다. 상기 하부 게이트 전극은 적어도 그것의 일부분이 금속실리사이드로 형성된다. 상기 하부 게이트 전극 상에 상부 게이트 전극이 형성된다. 상기 상부 게이트 전극 양측의 상기 기판에 한 쌍의 불순물확산층들이 배치된다.Provided is a semiconductor device having a gate of a MOS transistor for solving the above technical problems. The device includes a gate insulating film conformally formed in a trench formed in a predetermined region of a substrate, and a lower gate electrode disposed to fill the trench on the gate insulating film. The lower gate electrode is formed of at least a portion of the metal silicide. An upper gate electrode is formed on the lower gate electrode. A pair of impurity diffusion layers are disposed on the substrate on both sides of the upper gate electrode.

구체적으로, 상기 하부 게이트 전극은 그것의 모든 부분이 금속실리사이드로 형성될 수 있다. 상기 상부 게이트 전극은 상기 금속실리사이드에 포함된 금속과 다른 금속으로 이루어질 수 있다. 이와는 달리, 상기 상부 게이트 전극 및 상기 금속실리사이드는 서로 동일한 금속들을 포함할 수 있다.In detail, the lower gate electrode may be formed of metal silicide in all portions thereof. The upper gate electrode may be made of a metal different from the metal included in the metal silicide. Alternatively, the upper gate electrode and the metal silicide may include the same metals as each other.

상술한 기술적 과제들을 해결하기 위한 모스 트랜지스터의 게이트를 갖는 반도체 소자의 형성 방법을 제공한다. 이 방법은 기판 상에 형성된 절연막 및 상기 기판을 연속적으로 패터닝하여 상기 기판에 트렌치를 형성하는 단계를 포함한다. 상기 트렌치의 내측벽들 및 바닥면에 게이트 절연막을 형성하고, 상기 게이트 절연막 상에 상기 트렌치를 채우는 하부 게이트 전극을 형성한다. 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키고, 상기 하부 게이트 전극 상에 상부 게이트 전극을 형성한다. 상기 상부 게이트 전극 양측의 상기 기판에 한 쌍의 불순물확산층들을 형성한다.To provide a method of forming a semiconductor device having a gate of a MOS transistor for solving the above technical problems. The method includes successively patterning an insulating film formed on a substrate and the substrate to form a trench in the substrate. A gate insulating layer is formed on inner walls and bottom surfaces of the trench, and a lower gate electrode filling the trench is formed on the gate insulating layer. At least a portion of the lower gate electrode is formed of metal silicide, and an upper gate electrode is formed on the lower gate electrode. A pair of impurity diffusion layers are formed on the substrate on both sides of the upper gate electrode.

구체적으로, 일 실시예에 있어서, 상기 트렌치 및 하부 게이트 전극을 형성하는 단계는 기판 상에 절연막 및 하드마스크막을 차례로 형성하는 단계를 포함할 수 있다. 상기 하드마스크막 및 절연막을 연속적으로 패터닝하여 상기 기판의 소정영역을 노출시키는 그루브를 형성하고, 상기 노출된 기판을 선택적으로 식각하여 상기 트렌치를 형성한다. 상기 트렌치를 갖는 기판으로 부터 상기 하드마스크막을 제거하여 상기 절연막을 노출시킨다. 상기 게이트 절연막을 갖는 기판 전면 상에 상기 트렌치를 채우는 하부 게이트 도전막을 형성하고, 상기 하부 게이트 도전막을 상기 절연막이 노출될때까지 평탄화시키어 상기 하부 게이트 전극을 형성한다.In detail, the forming of the trench and the lower gate electrode may include sequentially forming an insulating film and a hard mask film on a substrate. The hard mask layer and the insulating layer are successively patterned to form grooves to expose a predetermined region of the substrate, and the exposed substrate is selectively etched to form the trenches. The hard mask film is removed from the substrate having the trench to expose the insulating film. A lower gate conductive layer is formed on the entire surface of the substrate having the gate insulating layer, and the lower gate conductive layer is planarized until the insulating layer is exposed to form the lower gate electrode.

일 실시예에 있어서, 상기 트렌치 및 하부 게이트 전극을 형성하는 단계는, 기판 상에 절연막 및 하드마스크막을 차례로 형성하는 단계, 상기 하드마스크막 및 절연막을 연속적으로 패터닝하여 상기 기판의 소정영역을 노출시키는 그루브를 형성하는 단계, 상기 노출된 기판을 선택적으로 식각하여 상기 트렌치를 형성하는 단계, 상기 게이트 절연막을 갖는 기판 전면 상에 상기 트렌치를 채우는 하부 게이트 도전막을 형성하는 단계 및, 상기 하부 게이트 도전막 및 상기 하드마스크막을 상기 절연막이 노출될때까지 연속적으로 평탄화시키어 상기 하부 게이트 전극을 형성하는 단계를 포함할 수 있다.In example embodiments, the forming of the trench and the lower gate electrode may include sequentially forming an insulating film and a hard mask film on a substrate, and subsequently patterning the hard mask film and the insulating film to expose a predetermined region of the substrate. Forming a groove, selectively etching the exposed substrate to form the trench, forming a lower gate conductive film filling the trench on the entire surface of the substrate having the gate insulating film, and forming the trench; And continuously planarizing the hard mask layer until the insulating layer is exposed to form the lower gate electrode.

일 실시예에 있어서, 상기 금속실리사이드 및 상부 게이트 전극을 형성하는 단계는, 상기 하부 게이트 전극을 갖는 기판 전면에 금속막을 증착하는 단계를 포함할 수 있다. 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키고, 상기 금속막의 미반응된 부분을 제거하여 상기 하부 게이트 전극을 노출시킨다. 상기 기판 전면 상에 상부 게이트 도전막을 형성하고, 상기 상부 게이트 도전막을 패터닝하여 상기 상부 게이트 전극을 형성한다.In example embodiments, the forming of the metal silicide and the upper gate electrode may include depositing a metal film on an entire surface of the substrate having the lower gate electrode. A silicidation process is performed to form at least a portion of the lower gate electrode with metal silicide, and to remove the unreacted portion of the metal film to expose the lower gate electrode. An upper gate conductive layer is formed on the entire surface of the substrate, and the upper gate conductive layer is patterned to form the upper gate electrode.

일 실시예에 있어서, 상기 금속실리사이드 및 상부 게이트 전극을 형성하는 단계는, 상기 하부 게이트 전극을 갖는 기판 전면에 금속막을 증착하는 단계, 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키는 단계 및, 상기 금속막의 미반응된 부분을 패터닝하여 상기 상부 게이트 전극을 형성하는 단계를 포함할 수 있다.In example embodiments, the forming of the metal silicide and the upper gate electrode may include depositing a metal film on an entire surface of the substrate having the lower gate electrode and performing a silicide process to at least partially deposit the metal silicide. And forming an upper gate electrode by patterning an unreacted portion of the metal film.

일 실시예에 있어서, 상기 하부 게이트 전극은 그것의 모든 부분이 금속실리사이드로 형성될 수 있다.In an embodiment, the lower gate electrode may be formed of metal silicide in all portions thereof.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided to ensure that the disclosed subject matter is thorough and complete, and that the spirit of the present invention to those skilled in the art will fully convey. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. In addition, where a layer is said to be "on" another layer or substrate, it may be formed directly on the other layer or substrate, or a third layer may be interposed therebetween. Portions denoted by like reference numerals denote like elements throughout the specification.

도 3a는 본 발명의 바람직한 실시예에 따른 반도체 소자를 나타내는 단면도이고, 도 3b는 본 발명의 바람직한 실시예에 따른 반도체 소자 중 하부 게이트 전극의 다른 형태를 보여주는 단면도이다.3A is a cross-sectional view illustrating a semiconductor device in accordance with a preferred embodiment of the present invention, and FIG. 3B is a cross-sectional view showing another shape of a lower gate electrode in a semiconductor device in accordance with a preferred embodiment of the present invention.

도 3a 및 도 3b를 참조하면, 본 발명의 바람직한 실시예에 따른 반도체 소자는 반도체기판(100, 이하 기판이라고 함)의 소정영역에 배치되어 활성영역을 한정하는 소자분리막(102)을 포함한다. 상기 소자분리막(102)은 트렌치형 소자분리막일 수 있다.3A and 3B, a semiconductor device according to a preferred embodiment of the present invention includes a device isolation film 102 disposed in a predetermined region of a semiconductor substrate (hereinafter, referred to as a substrate) to define an active region. The device isolation layer 102 may be a trench type device isolation layer.

상기 활성영역을 가로지르는 트렌치(112)가 배치되고, 상기 트렌치(112)의 내측벽들 및 바닥면에는 콘포말한 게이트 절연막(114)이 배치된다. 상기 게이트 절연막(114) 상에 상기 트렌치(112)를 채우는 하부 게이트 전극(116a)이 배치된다. 상기 하부 게이트 전극(116a)은 적어도 그것의 일부분이 금속실리사이드(120)로 형성되어 있다. 예컨대, 상기 하부 게이트 전극(116a)의 하부는 도핑된 폴리실리콘으로 이루어지고, 그것의 상부는 금속실리사이드(120)로 형성될 수 있다. 상기 게이트 절연막(114)은 실리콘 산화막, 특히, 열산화막으로 이루어질 수 있다. 상기 금속실리사이드(120)는 니켈실리사이드, 코발트실리사이드, 티타늄실리사이드, 탄탈늄실리사이드 또는 니켈-탄탈늄실리사이드등으로 이루어질 수 있다. A trench 112 crossing the active region is disposed, and a conformal gate insulating layer 114 is disposed on the inner walls and the bottom surface of the trench 112. The lower gate electrode 116a filling the trench 112 is disposed on the gate insulating layer 114. At least a portion of the lower gate electrode 116a is formed of the metal silicide 120. For example, a lower portion of the lower gate electrode 116a may be formed of doped polysilicon, and an upper portion thereof may be formed of metal silicide 120. The gate insulating layer 114 may be formed of a silicon oxide layer, in particular, a thermal oxide layer. The metal silicide 120 may be made of nickel silicide, cobalt silicide, titanium silicide, tantalum silicide or nickel-tantalum silicide.

이와는 다르게, 게이트의 저항을 더욱 감소시키기 위하여, 도 3b에 도시된 바와 같이, 하부 게이트 전극(216)은 그것의 모든 부분이 금속실리사이드로 형성될 수 있다. 상기 하부 게이트 전극(216)이 엔모스 및 피모스 트랜지스터들에 동시에 적용될 경우, 상기 엔모스 및 피모스 트랜지스터들의 특성들을 동시에 향상시킬 수 있다. 즉, 상기 하부 게이트 전극(216)으로 인하여, 상기 엔모스 트랜지스터가 요구하는 문턱전압 및 상기 피모스 트랜지스터가 요구하는 문턱전압을 동시에 구현할 수 있다. 또한, 상기 하부 게이트 전극(216)이 피모스 트랜지스터에 적용됨으로써, 종래의 보론들(borons)이 도핑된 게이트로 부터 보론들이 게이트 산화막에 침투하는 현상등을 방지할 수 있다. 상기 하부 게이트 전극(216)은 그것의 모든 부분이 니켈실리사이드, 코발트실리사이드, 티타늄실리사이드, 탄탈늄실리사이드 또는 니켈-탄탈늄실리사이드등으로 이루어질 수 있다.Alternatively, to further reduce the resistance of the gate, as shown in FIG. 3B, the lower gate electrode 216 may be formed of metal silicide in all portions thereof. When the lower gate electrode 216 is applied to the NMOS and PMOS transistors simultaneously, the characteristics of the NMOS and PMOS transistors may be improved at the same time. That is, due to the lower gate electrode 216, the threshold voltage required by the NMOS transistor and the threshold voltage required by the PMOS transistor may be simultaneously implemented. In addition, since the lower gate electrode 216 is applied to the PMOS transistor, it is possible to prevent a phenomenon in which boron penetrates into the gate oxide film from a gate doped with conventional borons. The lower gate electrode 216 may be made of nickel silicide, cobalt silicide, titanium silicide, tantalum silicide or nickel-tantalum silicide.

상기 하부 게이트 전극(116a) 상에 상부 게이트 전극(130)이 배치된다. 상기 하부 및 상부 게이트 전극들(116a, 130)은 전기적으로 접속된다. 상기 상부 게이트 전극(130)은 상기 하부 게이트 전극(116a)에 비하여 넓은 폭을 가질 수 있다. 상기 상부 게이트 전극(130)은 상기 하부 게이트 전극(116a)에 비하여 낮은 저항을 갖는 금속막으로 이루어지는 것이 바람직하다. 상기 상부 게이트 전극(130)은 텅스텐, 구리 또는 알루미늄으로 이루어질 수 있다. 이와는 달리, 상기 상부 게이트 전극(130)은 상기 금속실리사이드(120) 내에 포함된 금속들과 동일한 금속들로 이루어질 수 있다. 예컨대, 상기 상부 게이트 전극(130)은 니켈, 코발트, 티타늄, 탄탈늄 또는 니켈-탄탈늄으로 이루어질 수도 있다. 상기 하부 게이트 전극(116a) 및 상부 게이트 전극(130)은 모스 트렌지스터의 게이트를 구성한다.An upper gate electrode 130 is disposed on the lower gate electrode 116a. The lower and upper gate electrodes 116a and 130 are electrically connected to each other. The upper gate electrode 130 may have a wider width than the lower gate electrode 116a. The upper gate electrode 130 may be formed of a metal film having a lower resistance than the lower gate electrode 116a. The upper gate electrode 130 may be made of tungsten, copper, or aluminum. Alternatively, the upper gate electrode 130 may be made of the same metals as the metals included in the metal silicide 120. For example, the upper gate electrode 130 may be made of nickel, cobalt, titanium, tantalum, or nickel-tantalum. The lower gate electrode 116a and the upper gate electrode 130 constitute a gate of a MOS transistor.

상기 상부 게이트 전극(130) 상에는, 게이트 하드마스크 패턴(124a)이 배치될 수 있다. 상기 상부 게이트 전극(130) 및 게이트 하드마스크 패턴(124a)의 양측벽에는 스페이서(127)가 배치될 수 있다. 상기 게이트 하드마스크 패턴(124a) 및 상기 스페이서(127)는 실리콘 질화막으로 이루어질 수 있다.A gate hard mask pattern 124a may be disposed on the upper gate electrode 130. Spacers 127 may be disposed on both sidewalls of the upper gate electrode 130 and the gate hard mask pattern 124a. The gate hard mask pattern 124a and the spacer 127 may be formed of a silicon nitride layer.

상기 상부 게이트 전극(130) 양측의 상기 기판(100) 상에 버퍼 절연막(104')이 배치될 수도 있다. 상기 상부 게이트 전극(130)이 상기 하부 게이트 전극(116a)에 비하여 넓은 폭을 가질 경우, 상기 상부 게이트 전극(130)의 양 가장자리들(both edges)과 상기 기판(100) 사이에 상기 버퍼 절연막(104')의 일부분이 개재된다.A buffer insulating layer 104 ′ may be disposed on the substrate 100 at both sides of the upper gate electrode 130. When the upper gate electrode 130 has a wider width than the lower gate electrode 116a, the buffer insulating layer may be formed between both edges of the upper gate electrode 130 and the substrate 100. A portion of 104 ') is interposed.

상기 상부 게이트 전극(130) 양측의 상기 활성영역에 한 쌍의 불순물확산층들(129)이 배치된다. 상기 불순물확산층들(129)은 상기 하부 게이트 전극(116a)의 양측에 위치하며, 모스 트랜지스터의 소오스/드레인 영역들에 해당한다. 특히, 상기 불순물확산층들(129)은 엘리베이티드(elevated) 소오스/드레인 영역들로 형성된다. 상기 불순물확산층(129)은 저농도 확산층(126) 및 고농도 확산층(128)으로 구성된 엘디디 구조일 수 있다. 도 3a 또는 도 3b에는, 상기 불순물확산층(129)이 상기 하부 게이트 전극(116a)의 측벽을 완전히 덮는 형태로 도시되어 있으나, 상기 불순물확산층(129)은 상기 하부 게이트 전극(116a)의 하부 측벽을 덮지 않을 수도 있다. 다시 말해서, 상기 모스 트랜지스터의 채널 영역은 상기 하부 게이트 전극(116a)의 바닥면 하부에 위치할 수 있다. 또한, 상기 채널 영역의 가장자리는 상기 하부 게이트 전극(116a)의 하부 측벽까지 연장될 수도 있다.A pair of impurity diffusion layers 129 are disposed in the active region on both sides of the upper gate electrode 130. The impurity diffusion layers 129 are positioned at both sides of the lower gate electrode 116a and correspond to source / drain regions of the MOS transistor. In particular, the impurity diffusion layers 129 are formed of elevated source / drain regions. The impurity diffusion layer 129 may have an LED structure including a low concentration diffusion layer 126 and a high concentration diffusion layer 128. 3A or 3B, the impurity diffusion layer 129 completely covers the sidewalls of the lower gate electrode 116a, but the impurity diffusion layer 129 is formed on the lower sidewall of the lower gate electrode 116a. It may not be covered. In other words, the channel region of the MOS transistor may be located under the bottom surface of the lower gate electrode 116a. In addition, an edge of the channel region may extend to the lower sidewall of the lower gate electrode 116a.

상술한 반도체 소자에 있어서, 모스 트랜지스터의 게이트는 그것의 일부분 또는 그것의 모든 부분이 금속실리사이드로 형성된 하부 게이트 전극(116a)과, 상기 하부 게이트 전극(116a)에 비하여 낮은 저항을 갖는 상기 상부 게이트 전극(130)을 포함한다. 이에 따라, 상기 게이트의 저항이 감소되어 반도체 소자의 동작 속도를 향상시킬 수 있다. 또한, 상기 하부 게이트 전극(116a)은 상기 트렌치(112)를 채운다. 이에 따라, 상기 하부 게이트 전극(116a)의 양측벽은 식각 손상되지 않는다. 결과적으로, 본 발명에 따른 반도체 소자는 종래의 게이트 산화 공정이 요구되지 않는다.In the above-described semiconductor device, the gate of the MOS transistor has a lower gate electrode 116a formed of metal silicide or a portion thereof, and the upper gate electrode having a lower resistance than the lower gate electrode 116a. 130. Accordingly, the resistance of the gate can be reduced to improve the operating speed of the semiconductor device. In addition, the lower gate electrode 116a fills the trench 112. Accordingly, both side walls of the lower gate electrode 116a are not damaged by etching. As a result, the semiconductor device according to the present invention does not require a conventional gate oxidation process.

도 4 내지 도 9는 본 발명의 바람직한 실시예에 따른 반도체 소자의 형성 방법을 설명하기 위한 공정 단면도들이고, 도 10 및 도 11은 본 발명의 바람직한 실시예에 따른 반도체 소자 중 상부 게이트 전극을 형성하는 다른 방법을 설명하기 위한 공정 단면도들이다.4 to 9 are cross-sectional views illustrating a method of forming a semiconductor device in accordance with a preferred embodiment of the present invention, and FIGS. 10 and 11 illustrate forming an upper gate electrode of a semiconductor device in accordance with a preferred embodiment of the present invention. Process sectional drawing for demonstrating another method.

도 4를 참조하면, 기판(100)의 소정영역에 소자분리막(102)을 형성하여 활성영역을 한정한다. 상기 소자분리막(102)을 갖는 기판(100) 전면에 버퍼 절연막(104) 및 제1 하드마스크막(106)을 차례로 형성한다. 상기 버퍼 절연막(104)은 상기 하드마스크막(106) 및 상기 기판(100) 사이의 스트레스를 완충시키는 절연막으로 형성할 수 있다. 예를 들면, 상기 버퍼 절연막(104)은 열산화막 또는 CVD 실리콘 산화막등의 실리콘 산화막으로 형성할 수 있다. 상기 제1 하드마스크막(106)은 상기 기판(100)에 대하여 식각선택비를 갖고, 치밀한 구조를 갖는 절연막, 예컨대, 실리콘 질화막으로 형성할 수 있다.Referring to FIG. 4, an isolation region 102 is formed in a predetermined region of the substrate 100 to define an active region. A buffer insulating film 104 and a first hard mask film 106 are sequentially formed on the entire surface of the substrate 100 having the device isolation film 102. The buffer insulating layer 104 may be formed as an insulating layer that buffers stress between the hard mask layer 106 and the substrate 100. For example, the buffer insulating film 104 may be formed of a silicon oxide film such as a thermal oxide film or a CVD silicon oxide film. The first hard mask layer 106 may have an etching selectivity with respect to the substrate 100, and may be formed of an insulating layer, for example, a silicon nitride layer having a dense structure.

상기 제1 하드마스크막(106) 및 상기 버퍼 절연막(104)을 연속적으로 패터닝하여 상기 활성영역의 소정영역을 노출시키는 그루브(110)를 형성한다. 상기 그루브(110)는 상기 활성영역을 가로지르도록 형성할 수 있다.The first hard mask layer 106 and the buffer insulating layer 104 are successively patterned to form a groove 110 exposing a predetermined region of the active region. The groove 110 may be formed to cross the active region.

도 5, 도 6 및 도 7을 참조하면, 상기 그루브(110)에 노출된 활성영역을 선택적으로 식각하여 트렌치(112)를 형성한다. 상기 트렌치(112)를 갖는 기판(100)으로 부터 상기 제1 하드마스크막(106)을 제거하여 상기 버퍼 절연막(104)을 노출시킨다. 상기 트렌치(112)의 측벽들 및 바닥면에 콘포말한 게이트 절연막(114)을 형성한다. 상기 게이트 절연막(114)은 열산화막으로 형성하는 것이 바람직하다. 이로 인하여, 상기 게이트 절연막(114)의 형성시, 식각 손상될 수 있는 상기 트렌치(112)의 측벽들 및 바닥면이 치유될 수 있다. 상기 게이트 절연막(114)을 형성하는 단계는 상기 제1 하드마스크막(106)을 제거하기 전 또는 제거한 후에 수행할 수 있다.5, 6, and 7, the trench 112 may be formed by selectively etching the active region exposed to the groove 110. The first hard mask layer 106 is removed from the substrate 100 having the trench 112 to expose the buffer insulating layer 104. A conformal gate insulating layer 114 is formed on the sidewalls and the bottom surface of the trench 112. The gate insulating film 114 is preferably formed of a thermal oxide film. Thus, when the gate insulating layer 114 is formed, sidewalls and bottom surfaces of the trench 112, which may be etched, may be cured. The forming of the gate insulating layer 114 may be performed before or after removing the first hard mask layer 106.

상기 게이트 절연막(114)을 갖는 기판(100) 전면에 상기 트렌치(112)를 채우는 하부 게이트 도전막(116)을 형성한다. 상기 하부 게이트 도전막(116)은 도핑된 폴리실리콘막으로 형성하는 것이 바람직하다. 상기 하부 게이트 도전막(116)을 상기 버퍼 절연막(104)이 노출될때까지 평탄화시키어 상기 트렌치(112) 내에 하부 게이트 전극(116a)을 형성한다.A lower gate conductive layer 116 is formed on the entire surface of the substrate 100 having the gate insulating layer 114 to fill the trench 112. The lower gate conductive layer 116 may be formed of a doped polysilicon layer. The lower gate conductive layer 116 is planarized until the buffer insulating layer 104 is exposed to form the lower gate electrode 116a in the trench 112.

이와는 다른 방법으로, 상기 제1 하드마스크막(106)은 상기 하부 게이트 도전막(116)을 평탄화하는 공정에서 함께 제거될 수도 있다. 다시 말해서, 상기 트렌치(112)를 형성한 후에, 상기 게이트 절연막(114)을 형성하고, 상기 제1 하드마스크막(106) 상에 상기 트렌치(112)를 채우는 상기 하부 게이트 도전막(116)을 형성한다. 이어서, 상기 하부 게이트 도전막(116) 및 상기 제1 하드마스크막(106)을 상기 버퍼 절연막(104)이 노출될때까지 평탄화시키어 상기 하부 게이트 전극(116a)을 형성할 수 있다.Alternatively, the first hard mask layer 106 may be removed together in the process of planarizing the lower gate conductive layer 116. In other words, after the trench 112 is formed, the gate insulating layer 114 is formed, and the lower gate conductive layer 116 filling the trench 112 on the first hard mask layer 106 is formed. Form. Subsequently, the lower gate conductive layer 116 and the first hard mask layer 106 may be planarized until the buffer insulating layer 104 is exposed to form the lower gate electrode 116a.

상기 하부 게이트 전극(116a)을 갖는 기판(100) 전면에 금속막(118)을 증착하고, 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극(116a)의 일부분을 금속실리사이드(120)로 형성시킨다. 상기 실리사이드화 공정은 열공정일 수 있다. 상기 금속막(118)을 증착하는 공정과 상기 실리사이드화 공정은 하나의 공정 챔버에서 인시츄(in-situ)로 수행할 수 있다. 즉, 상기 금속막(118)을 증착하는 공정 챔버의 내부 온도를 상기 실리사이드화 공정이 요구하는 공정온도로 유지시킴으로써, 상기 금속막(118)이 증착됨과 동시에, 상기 하부 게이트 전극(116a)의 상부면으로 부터 상기 금속실리사이드(120)가 형성된다. 상기 금속막(118)은 니켈, 코발트, 티타늄, 탄탈늄 또는 니켈-탄탈늄등으로 형성할 수 있다. 이에 따라, 상기 금속실리사이드(120)는 니켈실리사이드, 코발트실리사이드, 티타늄실리사이드, 탄탈늄실리사이드 또는 니켈-탄탈늄실리사이드로 형성될 수 있다.The metal layer 118 is deposited on the entire surface of the substrate 100 having the lower gate electrode 116a and a silicide process is performed to form at least a portion of the lower gate electrode 116a as the metal silicide 120. The silicided process may be a thermal process. The process of depositing the metal film 118 and the silicideation process may be performed in-situ in one process chamber. That is, by maintaining the internal temperature of the process chamber in which the metal film 118 is deposited at the process temperature required by the silicidation process, the metal film 118 is deposited and the upper portion of the lower gate electrode 116a. The metal silicide 120 is formed from a surface. The metal layer 118 may be formed of nickel, cobalt, titanium, tantalum, or nickel-tantalum. Accordingly, the metal silicide 120 may be formed of nickel silicide, cobalt silicide, titanium silicide, tantalum silicide or nickel-tantalum silicide.

이에 더하여, 상기 하부 게이트 전극(116a)의 저항을 더욱 낮추고, 엔모스 및 피모스 트랜지스터들의 특성들을 동시에 향상시키기 위하여, 상기 금속막(118)의 두께 또는 상기 실리사이드화 공정의 공정 시간등을 조절하여 상기 하부 게이트 전극(116a)의 모든 부분을 금속실리사이드화할 수 있다. 이로써, 도 3b의 하부 게이트 전극(216)을 구현할 수 있다.In addition, in order to further lower the resistance of the lower gate electrode 116a and simultaneously improve the characteristics of the NMOS and PMOS transistors, the thickness of the metal film 118 or the process time of the silicideization process may be adjusted. All portions of the lower gate electrode 116a may be metal silicided. As a result, the lower gate electrode 216 of FIG. 3B may be implemented.

도 8 및 도 9를 참조하면, 이어서, 실리사이드화 되지 않은 상기 금속막(118)의 미반응된 부분을 제거하여 상기 버퍼 절연막(104) 및 상기 하부 게이트 전극(116a)을 노출시킨다. 상기 노출된 하부 게이트 전극(116a)을 갖는 기판(100) 전면 상에 상부 게이트 도전막(122) 및 제2 하드마스크막(124)을 차례로 형성한다. 상기 상부 게이트 도전막(122)은 상기 하부 게이트 전극(116a)에 비하여 낮은 저항을 갖는 도전막, 예컨대, 금속막인 텅스텐, 구리 또는 알루미늄으로 형성할 수 있다.8 and 9, the unreacted portion of the metal layer 118 that is not silicided is removed to expose the buffer insulating layer 104 and the lower gate electrode 116a. The upper gate conductive layer 122 and the second hard mask layer 124 are sequentially formed on the entire surface of the substrate 100 having the exposed lower gate electrode 116a. The upper gate conductive layer 122 may be formed of a conductive layer having a lower resistance than the lower gate electrode 116a, for example, tungsten, copper, or aluminum, which is a metal layer.

상기 제2 하드마스크막(124) 및 상기 상부 게이트 도전막(122)을 연속적으로 패터닝하여 상기 하부 게이트 전극(116a) 상에 차례로 적층된 상부 게이트 전극(130) 및 게이트 하드마스크 패턴(124a)을 형성한다. 이때, 상기 버퍼 절연막(104)은 게이트 절연막이 아님으로, 충분한 두께로 형성되어 상기 상부 게이트 전극(130) 양측의 상기 활성영역을 보호한다. 즉, 상기 패터닝 공정의 과식각에 의해, 상기 버퍼 절연막(104)의 일부분이 리세스될 수 있으나, 상기 기판(100)의 표면은 충분히 보호될 수 있다. 상기 상부 게이트 전극(130)을 형성한 후에, 상기 상부 게이트 전극(130) 양측의 상기 리세스된 버퍼 절연막(104')은 식각 손상을 야기시키지 않는 식각 공정, 예컨대, 습식식각등으로 제거할 수도 있다. 이와는 달리, 상기 리세스된 버퍼 절연막(104')은 잔존하여 후속의 불순물 이온들을 주입하는 공정시, 버퍼막으로 사용될 수도 있다. 상기 상부 게이트 전극(130)은 상기 하부 게이트 전극(116a)에 비하여 넓은 선폭을 갖도록 형성될 수 있다. 이 경우에, 상기 상부 게이트 전극(130)의 가장자리는 상기 리세스된 버퍼 절연막(104')에 의해 상기 활성영역과 전기적으로 절연된다. 상기 상부 게이트 전극(130)이 구리로 형성될 경우에는, 몰드층(미도시함)을 이용하여 다마신 기법으로 형성할 수도 있다. 상기 하부 및 상부 게이트 전극들(116a,130)은 모스 트랜지스터의 게이트를 구성한다.The second hard mask layer 124 and the upper gate conductive layer 122 are successively patterned to form the upper gate electrode 130 and the gate hard mask pattern 124a sequentially stacked on the lower gate electrode 116a. Form. In this case, since the buffer insulating layer 104 is not a gate insulating layer, the buffer insulating layer 104 is formed to a sufficient thickness to protect the active regions on both sides of the upper gate electrode 130. That is, a portion of the buffer insulating layer 104 may be recessed by the overetching of the patterning process, but the surface of the substrate 100 may be sufficiently protected. After the upper gate electrode 130 is formed, the recessed buffer insulating layer 104 ′ on both sides of the upper gate electrode 130 may be removed by an etching process that does not cause etching damage, for example, wet etching. have. Alternatively, the recessed buffer insulating film 104 ′ may be used as a buffer film in a process of implanting impurity ions which are subsequently remaining. The upper gate electrode 130 may be formed to have a wider line width than the lower gate electrode 116a. In this case, an edge of the upper gate electrode 130 is electrically insulated from the active region by the recessed buffer insulating layer 104 ′. When the upper gate electrode 130 is formed of copper, the upper gate electrode 130 may be formed by a damascene technique using a mold layer (not shown). The lower and upper gate electrodes 116a and 130 form a gate of a MOS transistor.

이와는 다르게, 상기 상부 게이트 전극(130)은 다른 방법으로 형성될 수 있다. 이 방법을 도 10 및 도 11을 참조하여 설명한다.Alternatively, the upper gate electrode 130 may be formed in another way. This method will be described with reference to FIGS. 10 and 11.

도 10 및 도 11을 참조하면, 하부 게이트 전극(116a)을 갖는 기판(100) 전면에 금속막(118')을 증착한다. 이때, 상기 금속막(118')은 충분한 두께로 증착한다. 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극(116a)의 일부분을 금속실리사이드(120)로 형성시킨다. 이 경우에도, 상기 금속막(118')을 증착하는 공정과 상기 실리사이드화 공정은 인시츄(in-situ)로 수행될 수 있다. 상기 금속막(118')은 니켈, 코발트, 티타늄, 탄탈늄, 니켈-탄탈늄으로 형성할 수 있다. 따라서, 상기 금속실리사이드(120)는 니켈실리사이드, 코발트실리사이드, 티타늄실리사이드, 탄탈늄실리사이드 또는 니켈-탄탈늄실리사이드로 형성될 수 있다.10 and 11, a metal film 118 ′ is deposited on the entire surface of the substrate 100 having the lower gate electrode 116a. At this time, the metal film 118 'is deposited to a sufficient thickness. A silicidation process is performed to form at least a portion of the lower gate electrode 116a as the metal silicide 120. Even in this case, the process of depositing the metal film 118 'and the silicidation process may be performed in-situ. The metal layer 118 ′ may be formed of nickel, cobalt, titanium, tantalum, or nickel-tantalum. Therefore, the metal silicide 120 may be formed of nickel silicide, cobalt silicide, titanium silicide, tantalum silicide or nickel-tantalum silicide.

이 방법에 있어서도, 상기 실리사이드화 공정의 공정시간등을 조절하여 상기 하부 게이트 전극(116a)의 모든 부분을 금속실리사이드화하여 도 3b의 하부 게이트 전극(216)을 구현할 수 있다.Also in this method, all parts of the lower gate electrode 116a may be metal silicided by adjusting a process time of the silicidation process to implement the lower gate electrode 216 of FIG. 3B.

이어서, 상기 금속막(118')의 미반응된 부분 상에 제2 하드마스크막(124')을 형성한다. 상기 제2 하드마스크막(124')은 실리콘 질화막으로 형성할 수 있다.Next, a second hard mask layer 124 ′ is formed on the unreacted portion of the metal layer 118 ′. The second hard mask layer 124 ′ may be formed of a silicon nitride layer.

상기 제2 하드마스크막(124') 및 금속막(118')의 미반응된 부분을 연속적으로 패터닝하여 상기 하부 게이트 전극(116a) 상에 차례로 적층된 상부 게이트 전극(130') 및 게이트 하드마스크 패턴(124a')을 형성한다. 즉, 이 방법에서는, 상기 금속실리사이드화 공정시, 반응하지 않은 상기 금속막(118')의 미반응된 부분을 패터닝하여 상기 상부 게이트 전극(130')을 형성한다. 이로써, 상기 금속실리사이드(120) 및 상기 상부 게이트 전극(130')은 서로 동일한 금속들을 포함한다. 이 방법에 의하면, 상부 게이트 전극(130')의 형성을 위한 공정들을 단순화시킬 수 있다.An upper gate electrode 130 'and a gate hard mask sequentially stacked on the lower gate electrode 116a by successively patterning unreacted portions of the second hard mask layer 124' and the metal layer 118 '. The pattern 124a 'is formed. That is, in this method, during the metal silicide formation process, the unreacted portion of the unreacted metal film 118 'is patterned to form the upper gate electrode 130'. Thus, the metal silicide 120 and the upper gate electrode 130 ′ include the same metals. According to this method, processes for forming the upper gate electrode 130 'can be simplified.

계속해서, 도 9를 참조하면, 상기 상부 게이트 전극(130)을 마스크로 사용하여 저도즈(low dose)의 불순물 이온들을 선택적으로 주입하여 한 쌍의 저농도 확산층들(126)을 형성한다. 이어서, 도 3a 또는 도 3b에 도시된 스페이서(127)를 형성하고, 고도즈(high dose)의 불순물 이온들을 선택적으로 주입하여 도 3에 도시된 한 쌍의 고농도 확산층들(128)을 형성한다. 상기 저농도 및 고농도 확산층들(126,128)은 도 3a 또는 도 3b의 불순물확산층(129)을 구성한다. 상기 고농도 확산층(128)을 형성하는 단계는 생략될 수도 있다.9, a pair of low concentration diffusion layers 126 are formed by selectively implanting low dose impurity ions using the upper gate electrode 130 as a mask. Subsequently, a spacer 127 shown in FIG. 3A or 3B is formed, and a high dose of impurity ions are selectively implanted to form a pair of high concentration diffusion layers 128 shown in FIG. 3. The low concentration and high concentration diffusion layers 126 and 128 constitute the impurity diffusion layer 129 of FIG. 3A or 3B. Forming the high concentration diffusion layer 128 may be omitted.

상술한 반도체 소자의 형성 방법에 있어서, 상기 하부 게이트 전극(116a)은 상기 트렌치(112)을 채우는 상기 하부 게이트 도전막(116)을 평탄화시켜 형성된다. 즉, 상기 하부 게이트 전극(116a)의 측벽들은 식각 손상되지 않는다. 이에 따라, 상기 하부 게이트 전극(116a)의 프로파일 및 특성을 향상시킬 수 있다. 또한, 상기 하부 게이트 전극(116a)의 일부분 또는 전부를 금속실리사이드로 형성시키고, 상기 하부 게이트 전극(116a) 상에 저저항의 상기 상부 게이트 전극(130)을 형성시킴으로써, 게이트의 저항을 감소시켜 동작 속도를 향상시킬 수 있다.In the above-described method for forming a semiconductor device, the lower gate electrode 116a is formed by planarizing the lower gate conductive layer 116 filling the trench 112. That is, sidewalls of the lower gate electrode 116a are not etched. Accordingly, the profile and characteristics of the lower gate electrode 116a may be improved. In addition, a part or all of the lower gate electrode 116a may be formed of metal silicide, and the lower gate electrode 116a may be formed on the lower gate electrode 116a to reduce the resistance of the gate. Can improve speed.

이에 더하여, 상기 하부 게이트 전극(116a)의 측벽들이 식각 손상되지 않고, 상기 상부 게이트 전극(130)의 형성을 위한 패터닝 공정시, 충분한 두께로 형성된 버퍼 절연막(104)에 의해 상기 기판(100)이 보호된다. 따라서, 종래의 게이트 산화 공정은 전혀 요구되지 않는다. 그 결과, 종래의 게이트 산화 공정으로 야기될 수 있는 반도체 소자의 열화를 방지할 수 있다.In addition, the sidewalls of the lower gate electrode 116a are not etched, and in the patterning process for forming the upper gate electrode 130, the substrate 100 is formed by the buffer insulating layer 104 formed to a sufficient thickness. Protected. Thus, no conventional gate oxidation process is required. As a result, it is possible to prevent deterioration of the semiconductor device, which may be caused by the conventional gate oxidation process.

더 나아가서, 상기 불순물확산층(129)에 의하여, 모스 트랜지스터의 소오스/드레인 영역들은 엘리베이티드(elevated)된 형상을 갖는다. 이로써, 반도체 소자의 고집적화로 야기될 수 있는 모스 트랜지스터의 쇼트 채널 효과를 방지할 수 있다.Further, by the impurity diffusion layer 129, source / drain regions of the MOS transistor have an elevated shape. As a result, it is possible to prevent the short channel effect of the MOS transistor, which may be caused by high integration of the semiconductor device.

상술한 바와 같이, 본 발명에 따르면, 활성영역에 형성된 트렌치 내에 하부 게이트 전극을 형성함으로써, 상기 하부 게이트 전극의 측벽들은 식각 손상으로 부터 보호된다. 또한, 상기 하부 게이트 전극의 일부분 또는 전부를 금속실리사이드로 형성시키고, 상기 하부 게이트 전극 상에 저저항의 상부 게이트 전극을 형성함으로써, 게이트의 저항을 감소시켜 반도체 소자의 동작 속도를 향상시킬 수 있다.As described above, according to the present invention, by forming the lower gate electrode in the trench formed in the active region, sidewalls of the lower gate electrode are protected from etching damage. In addition, by forming a part or all of the lower gate electrode of metal silicide and forming a lower resistance upper gate electrode on the lower gate electrode, the resistance of the gate may be reduced to improve the operation speed of the semiconductor device.

이에 더하여, 상기 하부 게이트 전극이 상기 트렌치 내에 형성되고, 상기 상부 게이트 전극 형성시, 버퍼 절연막이 상기 상부 게이트 전극 양측의 활성영역등을 보호함으로써, 종래의 게이트 산화 공정이 요구되지 않는다. 그 결과, 종래의 게이트 산화 공정으로 야기될 수 있는 반도체 소자의 열화를 방지할 수 있다.In addition, since the lower gate electrode is formed in the trench and the upper gate electrode is formed, a conventional gate oxidation process is not required because a buffer insulating film protects active regions on both sides of the upper gate electrode. As a result, it is possible to prevent deterioration of the semiconductor device, which may be caused by the conventional gate oxidation process.

도 1 및 도 2는 종래의 모스 트랜지스터를 형성하는 방법을 설명하기 위한 공정단면도들이다.1 and 2 are cross-sectional views illustrating a method of forming a conventional MOS transistor.

도 3a은 본 발명의 바람직한 실시예에 따른 반도체 소자를 나타내는 단면도이다.3A is a cross-sectional view illustrating a semiconductor device in accordance with a preferred embodiment of the present invention.

도 3b는 본 발명의 바람직한 실시예에 따른 반도체 소자 중 하부 게이트 전극의 다른 형태를 나타내는 단면도이다.3B is a cross-sectional view illustrating another form of the lower gate electrode of the semiconductor device according to the exemplary embodiment of the present invention.

도 4 내지 도 9는 본 발명의 바람직한 실시예에 따른 반도체 소자의 형성 방법을 설명하기 위한 공정 단면도들이다.4 through 9 are cross-sectional views illustrating a method of forming a semiconductor device in accordance with an embodiment of the present invention.

도 10 및 도 11은 본 발명의 바람직한 실시예에 따른 반도체 소자 중 상부 게이트 전극을 형성하는 다른 방법을 설명하기 위한 공정 단면도들이다.10 and 11 are cross-sectional views illustrating another method of forming an upper gate electrode among semiconductor devices according to an exemplary embodiment of the present invention.

Claims (11)

기판의 소정영역에 형성된 트렌치 내에 콘포말하게 형성된 게이트 절연막;A gate insulating film conformally formed in the trench formed in the predetermined region of the substrate; 상기 게이트 절연막 상에 상기 트렌치를 채우도록 배치되되, 적어도 일부분이 금속실리사이드로 형성된 하부 게이트 전극;A lower gate electrode disposed to fill the trench on the gate insulating layer, at least a portion of which is formed of metal silicide; 상기 하부 게이트 전극 상에 형성된 상부 게이트 전극; 및An upper gate electrode formed on the lower gate electrode; And 상기 상부 게이트 전극 양측의 상기 기판에 형성된 한 쌍의 불순물확산층들을 포함하는 반도체 소자.And a pair of impurity diffusion layers formed on the substrate on both sides of the upper gate electrode. 제 1 항에 있어서,The method of claim 1, 상기 하부 게이트 전극의 모든 부분이 금속실리사이드로 형성된 것을 특징으로 하는 반도체 소자.And all portions of the lower gate electrode are formed of metal silicide. 제 1 항에 있어서,The method of claim 1, 상기 상부 게이트 전극은 상기 금속실리사이드에 포함된 금속과 다른 금속으로 이루어지는 것을 특징으로 하는 반도체 소자.And the upper gate electrode is formed of a metal different from the metal included in the metal silicide. 제 1 항에 있어서,The method of claim 1, 상기 상부 게이트 전극 및 상기 금속실리사이드는 서로 동일한 금속들을 포함하는 것을 특징으로 하는 반도체 소자.And the upper gate electrode and the metal silicide include the same metals as each other. 기판 상에 형성된 절연막 및 상기 기판을 연속적으로 패터닝하여 상기 기판에 트렌치를 형성하는 단계;Successively patterning an insulating film formed on a substrate and the substrate to form a trench in the substrate; 상기 트렌치의 내측벽들 및 바닥면에 게이트 절연막을 형성하는 단계;Forming a gate insulating film on inner walls and bottom surfaces of the trench; 상기 게이트 절연막 상에 상기 트렌치를 채우는 하부 게이트 전극을 형성하는 단계;Forming a lower gate electrode filling the trench on the gate insulating layer; 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키는 단계;Forming at least a portion of the lower gate electrode with metal silicide; 상기 하부 게이트 전극 상에 상부 게이트 전극을 형성하는 단계; 및Forming an upper gate electrode on the lower gate electrode; And 상기 상부 게이트 전극 양측의 상기 기판에 한 쌍의 불순물확산층들을 형성하는 단계를 포함하는 반도체 소자의 형성 방법.Forming a pair of impurity diffusion layers on the substrate on both sides of the upper gate electrode. 제 5 항에 있어서,The method of claim 5, wherein 상기 트렌치 및 하부 게이트 전극을 형성하는 단계는,Forming the trench and the lower gate electrode, 기판 상에 절연막 및 하드마스크막을 차례로 형성하는 단계;Sequentially forming an insulating film and a hard mask film on the substrate; 상기 하드마스크막 및 절연막을 연속적으로 패터닝하여 상기 기판의 소정영역을 노출시키는 그루브를 형성하는 단계;Successively patterning the hard mask film and the insulating film to form a groove exposing a predetermined region of the substrate; 상기 노출된 기판을 선택적으로 식각하여 상기 트렌치를 형성하는 단계;Selectively etching the exposed substrate to form the trench; 상기 트렌치를 갖는 기판으로 부터 상기 하드마스크막을 제거하여 상기 절연막을 노출시키는 단계;Removing the hard mask film from the substrate having the trench to expose the insulating film; 상기 게이트 절연막을 갖는 기판 전면 상에 상기 트렌치를 채우는 하부 게이트 도전막을 형성하는 단계; 및Forming a lower gate conductive layer filling the trench on an entire surface of the substrate having the gate insulating layer; And 상기 하부 게이트 도전막을 상기 절연막이 노출될때까지 평탄화시키어 상기 하부 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 형성 방법.Forming the lower gate electrode by planarizing the lower gate conductive layer until the insulating layer is exposed. 제 5 항에 있어서,The method of claim 5, wherein 상기 트렌치 및 하부 게이트 전극을 형성하는 단계는,Forming the trench and the lower gate electrode, 기판 상에 절연막 및 하드마스크막을 차례로 형성하는 단계;Sequentially forming an insulating film and a hard mask film on the substrate; 상기 하드마스크막 및 절연막을 연속적으로 패터닝하여 상기 기판의 소정영역을 노출시키는 그루브를 형성하는 단계;Successively patterning the hard mask film and the insulating film to form a groove exposing a predetermined region of the substrate; 상기 노출된 기판을 선택적으로 식각하여 상기 트렌치를 형성하는 단계;Selectively etching the exposed substrate to form the trench; 상기 게이트 절연막을 갖는 기판 전면 상에 상기 트렌치를 채우는 하부 게이트 도전막을 형성하는 단계; 및Forming a lower gate conductive layer filling the trench on an entire surface of the substrate having the gate insulating layer; And 상기 하부 게이트 도전막 및 상기 하드마스크막을 상기 절연막이 노출될때까지 연속적으로 평탄화시키어 상기 하부 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 형성 방법.And planarizing the lower gate conductive layer and the hard mask layer continuously until the insulating layer is exposed to form the lower gate electrode. 제 5 항에 있어서,The method of claim 5, wherein 상기 금속실리사이드 및 상부 게이트 전극을 형성하는 단계는,Forming the metal silicide and the upper gate electrode, 상기 하부 게이트 전극을 갖는 기판 전면에 금속막을 증착하는 단계;Depositing a metal film on an entire surface of the substrate having the lower gate electrode; 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키는 단계;Performing a silicidation process to form at least a portion of the lower gate electrode with metal silicide; 상기 금속막의 미반응된 부분을 제거하여 상기 하부 게이트 전극을 노출시키는 단계;Removing the unreacted portion of the metal film to expose the lower gate electrode; 상기 기판 전면 상에 상부 게이트 도전막을 형성하는 단계; 및Forming an upper gate conductive layer on an entire surface of the substrate; And 상기 상부 게이트 도전막을 패터닝하여 상기 상부 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 형성 방법.And patterning the upper gate conductive layer to form the upper gate electrode. 제 5 항에 있어서,The method of claim 5, wherein 상기 금속실리사이드 및 상부 게이트 전극을 형성하는 단계는,Forming the metal silicide and the upper gate electrode, 상기 하부 게이트 전극을 갖는 기판 전면에 금속막을 증착하는 단계;Depositing a metal film on an entire surface of the substrate having the lower gate electrode; 실리사이드화 공정을 수행하여 적어도 상기 하부 게이트 전극의 일부분을 금속실리사이드로 형성시키는 단계; 및Performing a silicidation process to form at least a portion of the lower gate electrode with metal silicide; And 상기 금속막의 미반응된 부분을 패터닝하여 상기 상부 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 형성 방법.Patterning the unreacted portion of the metal film to form the upper gate electrode. 제 8 항 또는 제 9 항에 있어서,The method according to claim 8 or 9, 상기 금속막을 증착하는 단계와 상기 실리사이드화 공정을 수행하는 단계는 인시츄로 수행되는 것을 특징으로 하는 반도체 소자의 형성 방법.And depositing the metal film and performing the silicided process are performed in situ. 제 1 항에 있어서,The method of claim 1, 상기 하부 게이트 전극은 그것의 모든 부분이 금속실리사이드로 형성되는 것을 특징으로 하는 반도체 소자의 형성 방법.And wherein all of its lower gate electrodes are formed of metal silicide.
KR1020030064795A 2003-09-18 2003-09-18 Semiconductor device having gates of mos transistors and method of the same KR20050028514A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030064795A KR20050028514A (en) 2003-09-18 2003-09-18 Semiconductor device having gates of mos transistors and method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030064795A KR20050028514A (en) 2003-09-18 2003-09-18 Semiconductor device having gates of mos transistors and method of the same

Publications (1)

Publication Number Publication Date
KR20050028514A true KR20050028514A (en) 2005-03-23

Family

ID=37385446

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030064795A KR20050028514A (en) 2003-09-18 2003-09-18 Semiconductor device having gates of mos transistors and method of the same

Country Status (1)

Country Link
KR (1) KR20050028514A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100709437B1 (en) * 2005-04-01 2007-04-18 주식회사 하이닉스반도체 Semiconductor device
KR100743636B1 (en) * 2006-02-10 2007-07-27 주식회사 하이닉스반도체 Method of manufacturing mosfet device
KR100743637B1 (en) * 2006-02-10 2007-07-27 주식회사 하이닉스반도체 Method of manufacturing mosfet device
KR100791773B1 (en) * 2006-12-27 2008-01-04 동부일렉트로닉스 주식회사 The fabricating method of trench gate mosfet device
CN108807266A (en) * 2017-05-03 2018-11-13 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100709437B1 (en) * 2005-04-01 2007-04-18 주식회사 하이닉스반도체 Semiconductor device
KR100743636B1 (en) * 2006-02-10 2007-07-27 주식회사 하이닉스반도체 Method of manufacturing mosfet device
KR100743637B1 (en) * 2006-02-10 2007-07-27 주식회사 하이닉스반도체 Method of manufacturing mosfet device
KR100791773B1 (en) * 2006-12-27 2008-01-04 동부일렉트로닉스 주식회사 The fabricating method of trench gate mosfet device
CN108807266A (en) * 2017-05-03 2018-11-13 中芯国际集成电路制造(北京)有限公司 Semiconductor structure and forming method thereof

Similar Documents

Publication Publication Date Title
CN110098175B (en) Semiconductor device and method for manufacturing the same
US10103250B2 (en) Method of fabricating semiconductor device
US9793382B2 (en) Manufacturing method of semiconductor device
TWI641135B (en) Finfet transistor with epitaxial structures
TWI650804B (en) Semiconductor device and method for fabricating the same
US10529825B2 (en) Semiconductor device and manufacturing method thereof
JP5544367B2 (en) Recessed drain and source areas combined with advanced silicide formation in transistors
JP2005197753A (en) Semiconductor device having gate structure and manufacturing method therefor
CN104701150A (en) Transistor forming method
KR100574340B1 (en) Semiconductor device and method of manufacturing for the same
KR100668838B1 (en) Method for forming gate in semiconductor device
KR100273273B1 (en) Interconnects for semiconductor device, semiconductor device using such interconnects and fabricating method thereof
US10811505B2 (en) Gate electrode having upper and lower capping patterns
JP2008103504A (en) Semiconductor device and its manufacturing method
KR20050028514A (en) Semiconductor device having gates of mos transistors and method of the same
KR100553682B1 (en) Semiconductor device having gate electrodes and method of forming the same
KR100780618B1 (en) Method for fabricating semiconductor device
US7202180B2 (en) Methods of forming semiconductor devices using an etch stop layer
KR20080002480A (en) Method of manufacturing semiconductor device
JP2006086467A (en) Semiconductor device and method of manufacturing the same
US20220384615A1 (en) Method for manufacturing metal gate
KR20090032895A (en) Method for manufacturing semiconductor device
KR20070028068A (en) Method of manufacturing semiconductor device
KR100861358B1 (en) Method for forming of semiconductor memory device
JP2023552930A (en) Nanosheet semiconductor device with N/P boundary structure

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination