KR20050027390A - Semicoductor apparatus having load lock chamber - Google Patents

Semicoductor apparatus having load lock chamber Download PDF

Info

Publication number
KR20050027390A
KR20050027390A KR1020030063639A KR20030063639A KR20050027390A KR 20050027390 A KR20050027390 A KR 20050027390A KR 1020030063639 A KR1020030063639 A KR 1020030063639A KR 20030063639 A KR20030063639 A KR 20030063639A KR 20050027390 A KR20050027390 A KR 20050027390A
Authority
KR
South Korea
Prior art keywords
load lock
lock chamber
cassette
vacuum
wafer
Prior art date
Application number
KR1020030063639A
Other languages
Korean (ko)
Inventor
양재현
김현준
안요한
황태진
이병무
이옥선
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030063639A priority Critical patent/KR20050027390A/en
Publication of KR20050027390A publication Critical patent/KR20050027390A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A bit of semiconductor equipment with a loadlock chamber is provided to restrain a native oxide layer from being formed on an uppermost wafer in a wafer cassette by using a vacuum port with a higher position than that of the uppermost wafer. A plurality of wafers are stored in a cassette(150). A loadlock chamber(120) includes an inner space for loading the cassette enough and at least one vacuum port. A bit of process equipment(100) is connected through the inner space of the loadlock chamber by using an opening/closing part. The vacuum port is arranged at a higher position than that of an uppermost wafer of the cassette.

Description

로드락 챔버를 갖는 반도체 장비{Semicoductor apparatus having load lock chamber}Semiconductor equipment having a load lock chamber

본 발명은 반도체 장비에 관한 것으로서, 특히, 로드락 챔버를 갖는 반도체 장비에 관한 것이다.The present invention relates to semiconductor equipment, and more particularly, to semiconductor equipment having a load lock chamber.

반도체 소자의 제조를 위한 반도체 공정들 중에는, 초진공이 형성된 공간에서 수행되는 반도체 공정들이 있다. 이는, 반도체 소자의 초미세화 경향에 따라, 공기에 포함된 여러가지 가스들이 반도체 소자에 직간접적으로 영향을 주기 때문이다. 예를 들면, 공기 중의 산소 또는 수증기들은 반도체기판으로 사용되는 웨이퍼의 표면에 자연 산화막을 형성시킬 수 있다. 절연막인 상기 자연 산화막이 전기적인 접속이 요구되는 패턴들 사이에 형성될 경우, 상기 패턴들 간의 접촉저항이 크게 증가될 수 있다. 경우에 따라, 상기 자연 산화막은 상기 패턴들을 완전히 절연시킬 수도 있다. 또한, 공기 중의 소정의 기체들이 증착막 내에 포함될 경우, 상기 증착막의 특성이 열화되어 반소체 소자의 불량을 유발하기도 한다.Among semiconductor processes for manufacturing semiconductor devices, there are semiconductor processes performed in a space in which ultra vacuum is formed. This is because various gases included in the air directly or indirectly affect the semiconductor device according to the tendency of the semiconductor device to be ultra-fine. For example, oxygen or water vapor in the air may form a native oxide film on the surface of the wafer used as the semiconductor substrate. When the natural oxide film, which is an insulating film, is formed between patterns requiring electrical connection, the contact resistance between the patterns can be greatly increased. In some cases, the natural oxide layer may completely insulate the patterns. In addition, when certain gases in the air are included in the deposition film, the properties of the deposition film may be degraded, causing defects in the semi-element.

통상적으로, 초진공을 형성하기 위해서는, 오랜 공정시간과 많은 에너지가 요구되기 때문에, 상기 초진공이 요구되는 반도체 공정들을 수행하는 반도체 장비들은 공정이 수행되는 공정 설비의 내부를 항상 초진공으로 유지시킨다. 특히, 초진공을 위한 오랜 공정시간은 반도체 공정의 스루풋을 저하시키는 중요한 요소들 중에 하나이다. 이로 인하여, 상기 초진공이 요구되는 반도체 장비들은 일반적으로 상기 공정 설비와 연결된 로드락 챔버를 가질 수 있다.In general, since forming a super vacuum requires a long process time and a lot of energy, semiconductor equipment performing the semiconductor processes requiring the ultra-vacuum always maintains the inside of the process equipment in which the process is performed. . In particular, long process times for ultra-vacuum are one of the important factors that reduce the throughput of semiconductor processes. As a result, the semiconductor equipment requiring the ultra-vacuum may generally have a load lock chamber connected to the process equipment.

통상, 상기 로드락 챔버는 웨이퍼들을 외부로 부터 초진공 상태인 상기 공정 설비로 인입시키거나, 상기 공정 설비로 부터 외부로 인출시키기 위한 버퍼링 영역으로서 사용된다. 외부의 웨이퍼들을 상기 공정 설비로 인입시키는 방법을 간략히 설명하면, 먼저, 외부의 웨이퍼들을 상기 로드락 챔버로 인입시키고, 상기 로드락 챔버를 밀폐시킨 후에, 상기 로드락 챔버의 내부를 진공화시킨다. 상기 로드락 챔버는 상기 공정 설비에 비하여 상대적으로 작은 내부공간을 갖는다. 이로 인하여, 상기 로드락 챔버를 진공화시키는데 필요한 공정시간은 상기 공정 설비를 진공화시키는데 필요한 공정시간에 비하여 아주 짧다. 이어서, 상기 로드락 챔버의 내부 진공도가 상기 공정 설비의 진공도와 동일 또는 근접하게 되면, 상기 로드락 챔버와 상기 공정 설비를 연통시키고, 상기 로드락 챔버 내의 웨이퍼들을 상기 공정 설비로 이동시켜 소정의 반도체 공정을 수행한다.Typically, the load lock chamber is used as a buffering area for drawing wafers from the outside into the process equipment which is in a super vacuum state or from the process equipment. Briefly, a method of drawing external wafers into the process equipment is first introduced into the load lock chamber, the load lock chamber is sealed, and the inside of the load lock chamber is evacuated. The load lock chamber has a relatively smaller internal space than the process equipment. Because of this, the process time required for evacuating the load lock chamber is very short compared to the process time required for evacuating the process equipment. Subsequently, when the internal vacuum degree of the load lock chamber is equal to or close to the vacuum degree of the process equipment, the load lock chamber and the process equipment are in communication with each other, and the wafers in the load lock chamber are moved to the process equipment to provide a semiconductor Perform the process.

종래의 상기 로드락 챔버의 구조를 도 1를 참조하여 간략히 설명한다.The structure of the conventional load lock chamber is briefly described with reference to FIG.

도 1은 종래의 반도체 장비의 로드락 챔버를 보여주는 개략적인 도면이다.1 is a schematic view showing a load lock chamber of a conventional semiconductor equipment.

도 1을 참조하면, 로드락 챔버(1)는 카셋트(5)가 로딩될 수 있는 내부 공간을 갖는다. 상기 카셋트(5)는 복수매의 웨이퍼들(W)이 장착될 수 있다. 통상적으로, 웨이퍼들(W)은 상기 카셋트(5) 내에 장착되어 이동 또는 보관된다.Referring to FIG. 1, the load lock chamber 1 has an internal space in which the cassette 5 can be loaded. The cassette 5 may be equipped with a plurality of wafers (W). Typically, the wafers W are mounted in the cassette 5 and moved or stored.

상기 로드락 챔버(1)의 하부 측벽에는 진공 포트(2)가 배치된다. 이때, 상기 진공 포트(2)는 상기 카셋트(5)가 로딩될때, 상기 카셋트(5) 보다 아래에 배치된다. 상기 진공 포트(2)에는 진공 배관(3)이 연결되고, 상기 진공 배관(3)에는 진공 펌프(미도시함)가 연결된다.A vacuum port 2 is disposed on the lower sidewall of the load lock chamber 1. At this time, the vacuum port 2 is disposed below the cassette 5 when the cassette 5 is loaded. A vacuum pipe 3 is connected to the vacuum port 2, and a vacuum pump (not shown) is connected to the vacuum pipe 3.

상술한 종래의 로드락 챔버(1)에 있어서, 상기 로드락 챔버(1)의 내부 공간을 진공화시키는 동안, 상기 진공 포트(2)를 통하여 전달되는 진공압에 의하여 상기 로드락 챔버(1)의 내부 공간에는, 기체의 흐름(6)이 발생할 수 있다. 이때, 상기 진공 포트(2)는 상기 로드락 챔버(1)의 하부 측벽에 배치됨으로써, 상기 기체의 흐름(6)은 상기 로드락 챔버 내의 상부로 부터 하부로 향한다. 이로 인하여, 상기 카셋트(5)내의 최상층에 배치된 웨이퍼(W)의 상부면(반도체 소자가 형성되는 면)이 상기 기체의 흐름(6)에 노출될 수 있다. 즉, 상기 최상층 웨이퍼(W)의 상부면에 접촉하는 상기 기체들 내의 산소 또는 수증기의 량이 증가될 수 있다. 그 결과, 상기 최상층의 웨이퍼(W)의 상부면에 형성되는 자연 산화막의 량이 증가될 수 있다.In the above-described conventional load lock chamber 1, while evacuating the internal space of the load lock chamber 1, the load lock chamber 1 of the load lock chamber 1 by the vacuum pressure transmitted through the vacuum port (2) In the internal space, a flow of gas 6 can occur. At this time, the vacuum port 2 is disposed on the lower side wall of the load lock chamber 1, so that the gas flow 6 is directed from the top to the bottom in the load lock chamber. As a result, the upper surface (the surface on which the semiconductor element is formed) of the wafer W disposed on the uppermost layer in the cassette 5 may be exposed to the gas flow 6. That is, the amount of oxygen or water vapor in the gases in contact with the upper surface of the uppermost wafer W may be increased. As a result, the amount of natural oxide film formed on the upper surface of the wafer W of the uppermost layer can be increased.

상기 최상층의 웨이퍼(W)에 형성되는 자연 산화막의 두께를 도 2 및 도 3을 참조하여 설명한다.The thickness of the natural oxide film formed on the uppermost wafer W will be described with reference to FIGS. 2 and 3.

도 2는 종래의 로드락 챔버에 로딩 및 언로딩된 카셋트를 A방향에서 본 도면이고, 도 3은 도 2의 카셋트 내의 최상층에 위치한 웨이퍼의 영역별 자연 산화막의 두께들을 나타낸 그래프이다.FIG. 2 is a view showing a cassette loaded and unloaded in a conventional load lock chamber in the A direction, and FIG. 3 is a graph showing thicknesses of natural oxide films of regions of a wafer located at an uppermost layer in the cassette of FIG. 2.

도 1, 도 2 및 도 3을 참조하면, 카셋트(5)를 로드락 챔버(1) 내에 인입하고, 상기 로드락 챔버(1)의 내부를 소정의 진공도로 형성한 한 후에, 다시 공기를 주입하고 상기 카셋트(5)를 언로딩하였다.1, 2 and 3, the cassette 5 is introduced into the load lock chamber 1, the inside of the load lock chamber 1 is formed to a predetermined vacuum, and then air is again injected. And the cassette 5 was unloaded.

도 2에는, 상기 언로딩된 카셋트(5)내에 최상층 웨이퍼(W)에서, 자연산화막의 두께를 측정한 평면적인 영역들(10,11,12,13,14,15,16)을 도시하였다. 제1 영역(10)은 상기 최상층 웨이퍼(W)의 중앙 영역에 해당하며, 상기 카셋트(5)에 의해 덮혀지나, 아래쪽으로 노출된 부분과 인접하다. 제2 및 제3 영역들(11,12)은 각각 상기 최상층 웨이퍼(W)의 왼쪽 및 오른쪽 영역들에 해당하며, 이들은 모두 상기 카셋트(5)에 의해 완전히 덮혀진다. 즉, 상기 제2 및 제2 영역들(11,12)에 인접한 아랫 및 윗부분들도 모두 상기 카셋트(5)에 의해 덮혀있다. 제4 영역(13)은 상기 웨이퍼(W)의 탑 영역(top region)에 해당하며, 그것의 일부분은 상기 카셋트(5)에 의해 덮혀지고, 그것의 다른 부분은 노출된다. 제5 영역(14)은 상기 최상층 웨이퍼(W)의 중앙 영역과 아랫 영역(bottom region) 사이에 위치하며, 상기 제5 영역(14) 역시 일부분은 상기 카셋트(5)에 의해 덮혀지며, 그것의 다른 부분은 노출된다. 제6 및 제7 영역들(15,16)은 상기 최상층 웨이퍼(W)의 아랫 영역들에 해당하며, 이들은 모두 노출된다.In FIG. 2, planar regions 10, 11, 12, 13, 14, 15, and 16 of the top layer wafer W in the unloaded cassette 5 are measured. The first region 10 corresponds to the central region of the uppermost wafer W, and is covered by the cassette 5 but adjacent to the portion exposed downward. The second and third regions 11 and 12 correspond to the left and right regions of the uppermost wafer W, respectively, all of which are completely covered by the cassette 5. In other words, the lower and upper portions adjacent to the second and second regions 11 and 12 are also covered by the cassette 5. The fourth region 13 corresponds to the top region of the wafer W, a portion of which is covered by the cassette 5 and another portion thereof is exposed. The fifth region 14 is located between the central region and the bottom region of the uppermost wafer W, and the fifth region 14 is also partially covered by the cassette 5, and its The other part is exposed. The sixth and seventh regions 15 and 16 correspond to the lower regions of the uppermost wafer W, all of which are exposed.

상기 영역들(10,11,12,13,14,15,16)에서 각각 측정된 자연 산화막의 두께들을 도 3에 도시하였다. 도 3에 도시된 바와 같이, 상기 카셋트(5)에 의하여 완전히 덮혀진 상기 제2 및 제3 영역들(11,12)에서 측정된 자연 산화막의 두께가 다른 영역들(10,13,14,15,16)에 비하여 상대적으로 얇게 형성된다. 이에 반하여, 완전히 노출된 영역들인 상기 제6 및 제7 영역들(15,16)에서 측정된 자연산화막의 두께들은 다른 영역들(10,11,12,13,14)에 비하여 상대적으로 두껍게 형성된다. 일부분이 노출되거나, 노출된 부분과 인접한 상기 제1, 제4 및 제5 영역들(10,13,14)에서는 상기 제2 및 제3 영역들(11,12)에 비하여 두껍고, 상기 제6 및 제7 영역들(15,16)에 비하여 얇은 자연 산화막이 측정되었다. 이는, 상기 제6 및 제7 영역들(15,16)이 다른 영역들(11,12,13,14)에 비하여 많은 량의 수증기 또는 산소들과 접촉함을 의미한다. 즉, 상기 진공 포트(5)로 공급된 진공압에 의해 발생된 기체의 흐름(6)으로 인하여, 노출된 부분은 보다 많은 량의 기체들과 접촉함을 의미한다. 상기 자연 산화막은 반도체 소자의 접촉저항을 증가시킬 수 있으며, 전기적으로 접속되어야 할 패턴들을 서로 절연시킬 수 있다. 그 결과, 반도체 소자의 불량이 발생되어 생산성을 저하시킬 수 있다.The thicknesses of the natural oxide films measured in the regions 10, 11, 12, 13, 14, 15, and 16, respectively, are shown in FIG. 3. As shown in FIG. 3, the regions 10, 13, 14, and 15 having different thicknesses of the natural oxide film measured in the second and third regions 11 and 12 completely covered by the cassette 5. It is relatively thin as compared with (16). In contrast, the thicknesses of the natural oxide film measured in the sixth and seventh regions 15 and 16, which are completely exposed regions, are formed relatively thicker than the other regions 10, 11, 12, 13, and 14. . The first, fourth, and fifth regions 10, 13, and 14, which are partially exposed or adjacent to the exposed portion, are thicker than the second and third regions 11, 12, and the sixth and sixth and third regions, respectively. A thin natural oxide film was measured as compared with the seventh regions 15 and 16. This means that the sixth and seventh regions 15 and 16 are in contact with a larger amount of water vapor or oxygen than the other regions 11, 12, 13 and 14. That is, due to the flow of gas 6 generated by the vacuum pressure supplied to the vacuum port 5, it means that the exposed part is in contact with a greater amount of gas. The natural oxide layer may increase the contact resistance of the semiconductor device, and may insulate the patterns to be electrically connected to each other. As a result, a defect of a semiconductor element may arise and productivity may fall.

본 발명이 이루고자 하는 기술적 과제는 웨이퍼들의 상부면들에 형성될 수 있는 자연 산화막의 생성을 최소화할 수 있는 로드락 챔버를 갖는 반도체 장비를 제공하는데 있다.An object of the present invention is to provide a semiconductor device having a load lock chamber that can minimize the generation of a natural oxide film that can be formed on the upper surfaces of the wafers.

상술한 기술적 과제를 해결하기 위한 로드락 챔버를 갖는 반도체 장비를 제공한다. 이 장비는 내부에 복수매의 웨이퍼들이 장착되는 카셋트가 로딩될 수 있는 내부공간을 갖고, 적어도 하나의 진공포트가 형성된 로드락 챔버를 포함한다. 상기 로드락 챔버의 일측에 개폐 수단에 의해 상기 로드락 챔버의 내부공간과 연통하는 공정 설비가 배치된다. 상기 진공 포트는 상기 로드락 챔버 내에 로딩된 상기 카셋트의 최상층 웨이퍼 보다 높게 배치된다.To provide a semiconductor device having a load lock chamber for solving the above technical problem. The equipment includes a load lock chamber having an internal space in which a cassette in which a plurality of wafers are mounted can be loaded, and in which at least one vacuum port is formed. On one side of the load lock chamber is disposed a process facility in communication with the internal space of the load lock chamber by the opening and closing means. The vacuum port is disposed higher than the top wafer of the cassette loaded into the load lock chamber.

구체적으로, 상기 반도체 장비는 상기 진공 포트에 연결된 진공 배관과, 상기 진공 배관에 연결된 진공 발생 수단을 더 포함하는 것이 바람직하다. 상기 진공 포트는 상기 로드락 챔버의 상부측벽 또는 상부벽에 형성될 수 있다. 상기 반도체 장비는 상기 로드락 챔버 내에 배치된 파티클 차단 수단을 더 포함할 수 있다. 상기 파티클 차단 수단은 상기 로드락 챔버에 로딩된 카셋트로 부터 위로 이격되며, 상기 카셋트 내의 최상층 웨이퍼를 덮는 것이 바람직하다. 이 경우, 상기 진공 포트는 상기 파티클 차단 수단에 비하여 높게 배치될 수 있다.Specifically, the semiconductor device preferably further comprises a vacuum pipe connected to the vacuum port, and a vacuum generating means connected to the vacuum pipe. The vacuum port may be formed on an upper side wall or an upper wall of the load lock chamber. The semiconductor equipment may further include particle blocking means disposed in the load lock chamber. The particle blocking means is spaced apart from the cassette loaded in the load lock chamber, and preferably covers the top wafer in the cassette. In this case, the vacuum port may be disposed higher than the particle blocking means.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided to ensure that the disclosed subject matter is thorough and complete, and that the spirit of the present invention to those skilled in the art will fully convey. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a clearer description. Portions denoted by like reference numerals denote like elements throughout the specification.

도 4는 본 발명의 바람직한 실시예에 따른 로드락 챔버를 갖는 반도체 장비를 나타내는 도면이고, 도 5는 도 4의 로드락 챔버를 나타내는 단면도이다.4 is a diagram illustrating a semiconductor device having a load lock chamber according to a preferred embodiment of the present invention, and FIG. 5 is a cross-sectional view illustrating the load lock chamber of FIG. 4.

도 4 및 도 5를 참조하면, 본 발명의 바람직한 실시예에 따른 반도체 장비는 소정의 반도체 공정이 수행되는 공정 설비(100, process equipment)를 구비한다. 상기 공정 설비(100)는 초진공에서 수행되는 반도체 공정들을 수행할 수 있다. 예를 들면, 상기 공정 설비(100)는 화학적 기상 증착법으로 물질막을 증착하는 공정, 물리적 기상 증착법으로 물질막을 증착하는 공정 또는 물질막을 건식식각하는 공정등을 수행할 수 있다. 상기 공정 설비(100)는 내부에 소정의 반도체 공정이 수행되는 내부공간을 갖는 적어도 하나의 공정 챔버(미도시함)를 포함할 수 있다. 이에 더하여, 상기 공정 챔버에 대하여 개폐 가능한 반송실(미도시함)을 더 포함할 수 있다.4 and 5, a semiconductor device according to a preferred embodiment of the present invention includes a process equipment 100 in which a predetermined semiconductor process is performed. The process facility 100 may perform semiconductor processes performed in ultra vacuum. For example, the process facility 100 may perform a process of depositing a material film by chemical vapor deposition, a process of depositing a material film by physical vapor deposition, or a process of dry etching the material film. The process facility 100 may include at least one process chamber (not shown) having an internal space in which a predetermined semiconductor process is performed. In addition, a transfer chamber (not shown) that may be opened and closed with respect to the process chamber may be further included.

상기 공정 설비(100)의 일측에 로드락 챔버(120)가 배치된다. 상기 로드락 챔버(120)는 복수매의 웨이퍼들(155)이 장착되는 카셋트(150)가 로딩될 수 있는 내부 공간을 갖는다. 상기 공정 설비(100)는 개폐 수단(110)에 의하여 상기 로드락 챔버(120)의 내부 공간과 연통할 수 있다. 상기 공정 설비(100)가 상기 공정 챔버만을 가질 경우, 상기 로드락 챔버(120)는 상기 공정 챔버에 직접 연결될 수 있다. 이때, 상기 개폐 수단(110)은 상기 공정 챔버와 상기 로드락 챔버(120)을 연통시키거나, 차단시킬 수 있다. 이와는 달리, 상기 공정 설비(100)가 상기 공정 챔버 및 반송실을 가질 경우, 상기 로드락 챔버(120)는 상기 반송실에 연결될 수 있다. 즉, 상기 개폐 수단(110)은 상기 로드락 챔버(120) 및 상기 반송실을 연통 또는 차단시키고, 상기 반송실은 상기 로드락 챔버(120)와 개폐 가능하게 연결될 수 있다. 스루풋(through-put)을 향상시키기 위하여 상기 반도체 장비는 복수개의 상기 로드락 챔버들(120)을 가질 수 있다. 상기 공정 설비(100)에 대향된 상기 로드락 챔버(120)의 일측에는 카셋트 로딩부(미도시함)가 연결될 수 있다. 상기 카셋트 로딩부는 외부로 부터 상기 로드락 챔버(120) 내로 인입시키거나, 상기 로드락 챔버(120)로 부터 외부로 인출되는 카셋트(150)가 적재되는 곳이다. 물론, 상기 카셋트 로딩부는 생략되고, 상기 로드락 챔버(120)에 직접 카셋트(150)를 인입시키거나, 상기 로드락 챔버(120)로 부터 직접 카셋트(150)를 인출할 수도 있다.The load lock chamber 120 is disposed on one side of the process facility 100. The load lock chamber 120 has an internal space in which the cassette 150 on which the plurality of wafers 155 is mounted can be loaded. The process facility 100 may communicate with an internal space of the load lock chamber 120 by the opening and closing means 110. When the process facility 100 has only the process chamber, the load lock chamber 120 may be directly connected to the process chamber. In this case, the opening and closing means 110 may communicate or block the process chamber and the load lock chamber 120. Alternatively, when the process facility 100 has the process chamber and the transfer chamber, the load lock chamber 120 may be connected to the transfer chamber. That is, the opening and closing means 110 may communicate or block the load lock chamber 120 and the transfer chamber, and the transfer chamber may be connected to the load lock chamber 120 so as to be open and close. The semiconductor device may have a plurality of the load lock chambers 120 in order to improve throughput. A cassette loading part (not shown) may be connected to one side of the load lock chamber 120 opposite to the process equipment 100. The cassette loading unit is where the cassette 150 drawn into the load lock chamber 120 from the outside or drawn out from the load lock chamber 120 is loaded. Of course, the cassette loading unit may be omitted, and the cassette 150 may be directly introduced into the load lock chamber 120, or the cassette 150 may be directly extracted from the load lock chamber 120.

상기 로드락 챔버(120)에는 적어도 하나의 진공 포트(125)가 형성된다. 상기 진공 포트(125)는 상기 로드락 챔버(120)에 진공압을 공급할 수 있는 통로이다. 상기 진공 포트(125)는 상기 로드락 챔버(120) 내에 로딩된 상기 카셋트(150) 내의 최상층 웨이퍼(155') 보다 높게 배치되는 것이 바람직하다. 상기 로드락 챔버(120)에 형성된 진공 포트(125)의 갯수는 하나 또는 그 이상일 수 있다. 상기 진공 포트(125)는 상기 로드락 챔버(120)의 상부 측벽 또는 상부벽에 형성될 수 있다.At least one vacuum port 125 is formed in the load lock chamber 120. The vacuum port 125 is a passage capable of supplying a vacuum pressure to the load lock chamber 120. The vacuum port 125 may be disposed higher than the uppermost wafer 155 ′ in the cassette 150 loaded in the load lock chamber 120. The number of vacuum ports 125 formed in the load lock chamber 120 may be one or more. The vacuum port 125 may be formed on an upper sidewall or an upper wall of the load lock chamber 120.

상기 진공 포트(125)에는 진공 배관(127)이 연결되고, 상기 진공 배관(127)은 진공 발생 수단(130)에 연결된다. 상기 진공 발생 수단(130)은 진공 펌프일 수 있다. 상기 로드락 챔버(120)에는 적어도 하나의 공급 포트(미도시함)가 배치될 수도 있다. 상기 공급 포트는 상기 공정 설비(100)내에서 소정의 반도체 공정이 수행된 웨이퍼들(155)이 장착된 카셋트(150)를 상기 로드락 챔버(120)로 부터 인출하기 위하여, 상기 로드락 챔버(120)에 소정의 기체들을 공급할 수 있는 통로이다. 즉, 상기 로드락 챔버(120)의 내부를 외부와 동일하거나, 유사한 공압을 형성하기 위한 소정의 기체들을 공급하는 통로이다. 이와는 달리, 상기 진공 포트(125)가 상기 공급 포트의 역할을 할 수도 있다. 이 경우에는, 상기 진공 배관(127)의 소정영역에 공급 배관(미도시함)이 연결될 수도 있다.The vacuum pipe 127 is connected to the vacuum port 125, and the vacuum pipe 127 is connected to the vacuum generating unit 130. The vacuum generating means 130 may be a vacuum pump. At least one supply port (not shown) may be disposed in the load lock chamber 120. The supply port is configured to withdraw the cassette 150 on which the wafers 155 on which a predetermined semiconductor process has been performed in the process facility 100 from the load lock chamber 120. It is a passage that can supply predetermined gases to the 120. That is, the inside of the load lock chamber 120 is a passage for supplying predetermined gases to form the same or similar pneumatic to the outside. Alternatively, the vacuum port 125 may serve as the supply port. In this case, a supply pipe (not shown) may be connected to a predetermined region of the vacuum pipe 127.

상기 로드락 챔버(120) 내에 파티클 차단 수단(135)이 배치되는 것이 바람직하다. 상기 파티클 차단 수단(135)은 상기 로드락 챔버(120)에 로딩된 카셋트(150) 내의 최상층 웨이퍼(155')로 부터 위로 이격되어 배치된다. 이에 더하여, 상기 파티클 차단 수단(135)은 상기 최상층 웨이퍼(155')를 덮는 것이 바람직하다. 상기 파티클 차단 수단(135)은 도 5에 도시된 바와 같이, 연결 수단(132)에 의하여 상기 로드락 챔버(120)의 상부내벽에 연결될 수 있다. 이와는 달리, 상기 파티클 차단 수단(135)은 상기 로드락 챔버(120)의 상부 내측벽에 연결될 수도 있다.Particle blocking means 135 is preferably disposed in the load lock chamber 120. The particle blocking means 135 is spaced apart from the uppermost wafer 155 ′ in the cassette 150 loaded in the load lock chamber 120. In addition, the particle blocking unit 135 may cover the uppermost wafer 155 ′. The particle blocking means 135 may be connected to the upper inner wall of the load lock chamber 120 by a connecting means 132, as shown in FIG. Alternatively, the particle blocking means 135 may be connected to the upper inner wall of the load lock chamber 120.

상기 진공 포트(125)는 상기 파티클 차단 수단(135)에 비하여 높게 배치되는 것이 바람직하다.The vacuum port 125 is preferably arranged higher than the particle blocking means 135.

상술한 구조의 반도체 장비에 있어서, 상기 반도체 장비의 동작 방법을 설명한다. 상기 로드락 챔버(120) 내로 복수매의 웨이퍼들(W)을 장착한 카셋트(150)가 로딩된 후에, 상기 로드락 챔버(120)는 밀폐된다. 이어서, 상기 진공 발생 수단(130)으로 부터 발생된 진공압이 상기 진공 배관(127) 및 진공 포트(125)를 경유하여 상기 로드락 챔버(120) 내에 공급된다. 상기 진공압에 의하여 상기 로드락 챔버(120)의 내부는 진공도가 감소된다. 이때, 상기 진공 포트(125)는 상기 카셋트(150)의 최상층 웨이퍼(155')에 비하여 높은 곳에 위치한다. 이에 따라, 상기 진공압에 의해 발생된 기체의 흐름(140)은 상기 로드락 챔버(120)의 하부로 부터 상부로 향하게 된다. 그 결과, 상기 최상부 웨이퍼(155')의 상부면은 상기 기체의 흐름(140)으로 부터 보호될 수 있다. 하부로 부터 상부로 향하는 상기 기체의 흐름(140)으로 인하여, 상기 카셋트(150)내에 최하층에 위치하는 웨이퍼(155")가 상기 기체의 흐름(140)에 가장 많이 노출되며, 상기 최상층 웨이퍼(155')가 상기 기체의 흐름에 가장 적게 노출된다. 이 경우에, 상기 최하층 웨이퍼(155")의 상부면은 바로 위에 배치된 웨이퍼(155)에 의해 보호되며, 상기 최하층 웨이퍼(155")의 뒷면이 상기 기체의 흐름(140)에 영향을 받는다. 따라서, 반도체 소자가 형성되는 상기 최하층 웨이퍼(155")의 상부면도 역시 보호된다. 결과적으로, 상기 진공 포트(125)가 상기 최상층 웨이퍼(155')의 상부에 배치됨으로써, 상기 카셋트(150) 내의 웨이퍼들(155)의 상부면들은 모두 상기 기체의 흐름(140)으로 부터 보호된다. 이로써, 반도체 소자가 형성되는 모든 상기 웨이퍼들(155)의 상부면들에 생성되는 자연산화막을 최소화할 수 있다. 상기 자연 산화막의 생성이 최소화됨으로써, 종래의 반도체 소자의 불량을 방지할 수 있다.In the semiconductor device having the above-described structure, a method of operating the semiconductor device will be described. After the cassette 150 in which the plurality of wafers W are loaded into the load lock chamber 120 is loaded, the load lock chamber 120 is closed. Subsequently, the vacuum pressure generated from the vacuum generating means 130 is supplied into the load lock chamber 120 via the vacuum pipe 127 and the vacuum port 125. The vacuum degree of the load lock chamber 120 is reduced by the vacuum pressure. In this case, the vacuum port 125 is positioned higher than the top wafer 155 ′ of the cassette 150. Accordingly, the gas flow 140 generated by the vacuum pressure is directed from the bottom of the load lock chamber 120 to the top. As a result, the top surface of the top wafer 155 ′ may be protected from the gas flow 140. Due to the gas flow 140 from bottom to top, the wafer 155 " located at the bottom layer in the cassette 150 is most exposed to the gas flow 140, and the top wafer 155 ') Is least exposed to the gas flow. In this case, the upper surface of the lowermost wafer 155 "is protected by the wafer 155 disposed directly above and the backside of the lowermost wafer 155". This gas flow is affected by 140. Thus, the top surface of the bottom wafer 155 ", on which semiconductor elements are formed, is also protected. As a result, the vacuum port 125 is disposed on top of the top wafer 155 ′, so that the top surfaces of the wafers 155 in the cassette 150 are all protected from the flow of gas 140. . As a result, it is possible to minimize the natural oxide film formed on the upper surfaces of all the wafers 155 on which the semiconductor device is formed. By minimizing the generation of the natural oxide film, it is possible to prevent the failure of the conventional semiconductor device.

이에 더하여, 상기 파티클 차단 수단(135)은 상기 최상층 웨이퍼(155')의 상부를 덮는다. 따라서, 상기 최상층 웨이퍼(155')의 상부에 존재하는 상대적으로 무거운 파티클들이 중력등에 의하여 아래로 떨어질지라도, 상기 최상층 웨이퍼(155')의 상부면은 보호된다. 또한, 상기 파티클 차단 수단(135)은 기체의 역류가 발생할지라도, 상기 역류된 기체들로 부터 상기 최상층 웨이퍼(155')의 상부면을 보호한다.In addition, the particle blocking means 135 covers an upper portion of the uppermost wafer 155 ′. Thus, even if relatively heavy particles present on top of the top wafer 155 'fall down by gravity, the top surface of the top wafer 155' is protected. In addition, the particle blocking means 135 protects the upper surface of the uppermost wafer 155 ′ from the reversed gases, even if gas reverse flow occurs.

이어서, 상기 로드락 챔버(120) 내부 진공도가 상기 공정 설비(100)의 진공도와 동일 또는 유사하면, 상기 개폐 수단(110)이 오픈되어 상기 웨이퍼들(155)은 상기 공정 설비(100)로 인입되고, 상기 개폐 수단(110)이 클로즈되어 상기 공정 설비(100)를 밀폐시킨 후에, 소정의 반도체 공정이 수행된다. 상기 반도체 공정이 수행된 웨이퍼들(155)은 상술한 로딩 방법의 역순의 방법으로 언로딩될 수 있다.Subsequently, when the degree of vacuum inside the load lock chamber 120 is the same as or similar to that of the process equipment 100, the opening / closing means 110 is opened so that the wafers 155 are drawn into the process equipment 100. After the opening / closing means 110 is closed to seal the process facility 100, a predetermined semiconductor process is performed. The wafers 155 on which the semiconductor process is performed may be unloaded in the reverse order of the above-described loading method.

본 발명에 따른 로드락 챔버 내에 로딩된 카셋트(150) 내의 최상층 웨이퍼(155')의 영역별 자연산화막의 두께를 도 6에 도시하였다.6 shows the thickness of the native oxide film for each region of the uppermost wafer 155 ′ in the cassette 150 loaded in the load lock chamber according to the present invention.

도 6은 도 4의 로드락 챔버에 로딩 및 언로딩된 카셋트 내의 최상층 웨이퍼의 영역별 자연산화막의 두께들을 나타낸 그래프이다.FIG. 6 is a graph illustrating thicknesses of regions of a native oxide film of a top wafer in a cassette loaded and unloaded into the load lock chamber of FIG. 4.

도 5 및 도 6을 참조하면, 도 6에서는, 로드락 챔버(120)에 로딩 및 언로딩된 카셋트(150)내의 최상층 웨이퍼(155')의 영역들(10',11',12',13',14',15',16')에서 각각 측정된 자연 산화막의 두께들을 표시하였다. 제1, 제2, 제3, 제4, 제5, 제6 및 제7 영역들(10',11',12',13',14',15',16')은 각각 도 2에 도시된 제1, 제2, 제3, 제4, 제5, 제6 및 제7 영역들(10,11,12,13,14,15,16)에 대응된다. 도 6에 도시된 바와 같이, 노출된 영역들인 상기 제6 및 제7 영역들(15',16')에서 측정된 자연 산화막의 두께는 다른 영역들(10',11',12',13',14')과 유사한 수준으로 나타난다. 즉, 상기 최상층 웨이퍼(155')의 노출된 영역들(15',16')에서 자연 산화막의 생성이 최소화되었음을 알 수 있다. 결과적으로, 상기 진공 포트(125)가 상기 최상층 웨이퍼(155')에 비하여 높게 배치됨으로써, 상기 최상층 웨이퍼(155')의 노출된 영역들(15',16')에서 형성될 수 있는 자연 산화막을 최소화할 수 있다. 이로써, 반도체 소자의 불량을 방지하여 생산성을 향상시킬 수 있다.5 and 6, in FIG. 6, regions 10 ′, 11 ′, 12 ′, 13 of top wafer 155 ′ in cassette 150 loaded and unloaded into load lock chamber 120. ', 14', 15 ', and 16') are shown the thicknesses of the natural oxide film measured respectively. The first, second, third, fourth, fifth, sixth and seventh regions 10 ', 11', 12 ', 13', 14 ', 15' and 16 'are shown in FIG. Corresponding to the first, second, third, fourth, fifth, sixth, and seventh regions 10, 11, 12, 13, 14, 15, and 16. As shown in FIG. 6, the thickness of the natural oxide film measured in the sixth and seventh regions 15 ′ and 16 ′, which are the exposed regions, is different from the other regions 10 ′, 11 ′, 12 ′, 13 ′. 14 '). That is, it can be seen that the generation of the native oxide film is minimized in the exposed regions 15 'and 16' of the uppermost wafer 155 '. As a result, the vacuum port 125 is disposed higher than the top wafer 155 ′, thereby forming a native oxide film that may be formed in the exposed regions 15 ′ and 16 ′ of the top wafer 155 ′. It can be minimized. Thereby, the defect of a semiconductor element can be prevented and productivity can be improved.

상술한 바와 같이, 본 발명에 따른 장비는 진공 포트가 형성된 로드락 챔버를 구비한다. 상기 진공 포트는 상기 로드락 챔버에 로딩된 카셋트 내의 최상층 웨이퍼에 비하여 높게 배치된다. 이에 따라, 상기 로드락 챔버 내에 상기 진공 포트를 통하여 진공압이 공급되면, 상기 로드락 챔버 내의 하부로 부터 상부로 향하는 기체의 흐름이 발생한다. 그 결과, 상기 로드락 챔버에 로딩된 웨이퍼들의 상부면들에 형성될 수 있는 자연 산화막을 최소화할 수 있다. 이로써, 상기 웨이퍼들의 상부면에 형성되는 반도체 소자의 불량을 최소화하여 생산성을 향상시킬 수 있다.As mentioned above, the equipment according to the invention has a load lock chamber in which a vacuum port is formed. The vacuum port is placed higher than the top wafer in the cassette loaded into the load lock chamber. Accordingly, when a vacuum pressure is supplied to the load lock chamber through the vacuum port, a flow of gas from the bottom to the top in the load lock chamber occurs. As a result, it is possible to minimize the native oxide film that can be formed on the upper surfaces of the wafers loaded in the load lock chamber. As a result, productivity of the semiconductor device may be improved by minimizing defects of semiconductor devices formed on the upper surfaces of the wafers.

또한, 상기 로드락 챔버 내에는 상기 최상층 웨이퍼를 덮는 파티클 차단 수단이 배치된다. 상기 파티클 차단 수단은 노출된 상기 최상층 웨이퍼의 상부면을 파티클로 부터 보호한다. 이에 더하여, 상기 로드락 챔버 내에 기체의 역류가 발생할지라도, 상기 최상층 웨이퍼의 상부면이 기체 내의 수증기 또는 산소와 접촉하는 량을 줄일 수 있다. 그 결과, 상기 최상층 웨이퍼의 상부면이 파티클의 오염으로 부터 보호될 수 있으며, 상기 기체의 역류가 발생하지라도, 자연 산화막의 생성을 최소화시킬 수 있다.In addition, a particle blocking means covering the uppermost wafer is disposed in the load lock chamber. The particle blocking means protects the upper surface of the top layer wafer exposed from particles. In addition, even if a backflow of gas occurs in the load lock chamber, the amount of contact of the upper surface of the uppermost wafer with water vapor or oxygen in the gas can be reduced. As a result, the upper surface of the uppermost wafer can be protected from contamination of particles, and even if the backflow of the gas does not occur, it is possible to minimize the generation of the native oxide film.

도 1은 종래의 반도체 장비의 로드락 챔버를 보여주는 개략적인 도면이다.1 is a schematic view showing a load lock chamber of a conventional semiconductor equipment.

도 2는 종래의 로드락 챔버에 로딩 및 언로딩된 카셋트를 A방향에서 본 도면이다.2 is a view of a cassette loaded and unloaded in a conventional load lock chamber in the A direction.

도 3은 도 2의 카셋트 내의 최상층에 위치한 웨이퍼의 영역별 자연 산화막의 두께들을 나타낸 그래프이다.FIG. 3 is a graph showing thicknesses of natural oxide films of regions of a wafer located on a top layer in the cassette of FIG. 2.

도 4는 본 발명의 바람직한 실시예에 따른 로드락 챔버를 갖는 반도체 장비를 나타내는 도면이다.4 is a diagram illustrating a semiconductor device having a load lock chamber according to a preferred embodiment of the present invention.

도 5는 도 4의 로드락 챔버를 나타내는 단면도이다.5 is a cross-sectional view illustrating the load lock chamber of FIG. 4.

도 6은 도 4의 로드락 챔버에 로딩 및 언로딩된 카셋트 내의 최상층 웨이퍼의 영역별 자연산화막의 두께들을 나타낸 그래프이다.FIG. 6 is a graph illustrating thicknesses of regions of a native oxide film of a top wafer in a cassette loaded and unloaded into the load lock chamber of FIG. 4.

Claims (5)

내부에 복수매의 웨이퍼들이 장착되는 카셋트가 로딩될 수 있는 내부공간을 갖고, 적어도 하나의 진공 포트가 형성된 로드락 챔버; 및A load lock chamber having an internal space in which a cassette in which a plurality of wafers are mounted can be loaded, and having at least one vacuum port formed therein; And 상기 로드락 챔버의 일측에 배치되되, 개폐수단에 의해 상기 로드락 챔버의 내부공간과 연통하는 공정 설비(process equipment)를 포함하되, 상기 진공 포트는 상기 로드락 챔버 내에 로딩된 상기 카셋트 내의 최상층 웨이퍼 보다 높게 배치되는 것을 특징으로 하는 로드락 챔버를 갖는 반도체 장비.A process equipment disposed on one side of the load lock chamber, the process equipment communicating with an inner space of the load lock chamber by an opening and closing means, wherein the vacuum port is a top wafer in the cassette loaded in the load lock chamber. Semiconductor equipment having a load lock chamber, characterized in that it is arranged higher. 제 1 항에 있어서,The method of claim 1, 상기 진공 포트에 연결된 진공 배관; 및A vacuum pipe connected to the vacuum port; And 상기 진공 배관에 연결된 진공 발생 수단을 더 포함하는 것을 특징으로 하는 로드락 챔버를 갖는 반도체 장비.And a vacuum generating means connected to said vacuum piping. 제 1 항에 있어서,The method of claim 1, 상기 진공 포트는 상기 로드락 챔버의 상부측벽 또는 상부벽에 형성되는 것을 특징으로 하는 로드락 챔버를 갖는 반도체 장비.And the vacuum port is formed on an upper side wall or an upper wall of the load lock chamber. 제 1 항에 있어서,The method of claim 1, 상기 로드락 챔버 내에 배치되되, 상기 로드락 챔버에 로딩된 카셋트로 부터 위로 이격된 파티클 차단 수단을 더 포함하되, 상기 파티클 차단 수단은 상기 카셋트 내의 최상층 웨이퍼를 덮는 것을 특징으로 하는 로드락 챔버를 갖는 반도체 장비.A particle blocking means disposed in the load lock chamber, the particle blocking means spaced upwardly from a cassette loaded in the load lock chamber, wherein the particle blocking means covers a top wafer in the cassette. Semiconductor equipment. 제 4 항에 있어서,The method of claim 4, wherein 상기 진공 포트는 상기 파티클 차단 수단에 비하여 높게 배치되는 것을 특징으로 하는 로드락 챔버를 갖는 반도체 장비.And the vacuum port is disposed higher than the particle blocking means.
KR1020030063639A 2003-09-15 2003-09-15 Semicoductor apparatus having load lock chamber KR20050027390A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030063639A KR20050027390A (en) 2003-09-15 2003-09-15 Semicoductor apparatus having load lock chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030063639A KR20050027390A (en) 2003-09-15 2003-09-15 Semicoductor apparatus having load lock chamber

Publications (1)

Publication Number Publication Date
KR20050027390A true KR20050027390A (en) 2005-03-21

Family

ID=37384647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030063639A KR20050027390A (en) 2003-09-15 2003-09-15 Semicoductor apparatus having load lock chamber

Country Status (1)

Country Link
KR (1) KR20050027390A (en)

Similar Documents

Publication Publication Date Title
KR100960773B1 (en) Double dual slot load lock for process equipment
US9543180B2 (en) Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US8091863B2 (en) Gate valve and semiconductor manufacturing apparatus
US6817822B2 (en) Load port, wafer processing apparatus, and method of replacing atmosphere
TWI544168B (en) A gate valve device, a substrate processing device, and a substrate processing method
JP5721952B2 (en) Semiconductor device, semiconductor device manufacturing method, and substrate processing apparatus
US11387112B2 (en) Surface processing method and processing system
US20100022093A1 (en) Vacuum processing apparatus, method of operating same and storage medium
KR102364140B1 (en) Embedding method and processing system
US20220301882A1 (en) Surface processing method and processing system
KR102394115B1 (en) METHOD FOR FORMING RuSi FILM AND SUBSTRATE PROCESSING SYSTEM
US20230227973A1 (en) Ruthenium film forming method and substrate processing system
KR100839911B1 (en) Apparatus for treating substrate
US20080295412A1 (en) Apparatus for storing substrates
JP2000150613A (en) Transporting device for object to be treated
US20160237568A1 (en) Substrate processing apparatus and non-transitory computer readable recording medium
KR20050027390A (en) Semicoductor apparatus having load lock chamber
US20230369041A1 (en) Film formation method and film formation device
JP5944549B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and semiconductor device
KR100566697B1 (en) Multi-chamber system for fabricating semiconductor devices and method of fabricating semiconductor devices using thereof
KR20080071682A (en) Loadlock chamber and semiconductor manufacturing apparatus using the same
KR20080058690A (en) Apparatus for treating substrates
KR200444491Y1 (en) Double dual slot load lock for process equipment
JP2023046423A (en) Deposition method and deposition device
KR20070013684A (en) Gate assembly of semiconductor product device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination