KR20050020801A - Electronic device and its manufacturing method - Google Patents

Electronic device and its manufacturing method Download PDF

Info

Publication number
KR20050020801A
KR20050020801A KR10-2004-7018246A KR20047018246A KR20050020801A KR 20050020801 A KR20050020801 A KR 20050020801A KR 20047018246 A KR20047018246 A KR 20047018246A KR 20050020801 A KR20050020801 A KR 20050020801A
Authority
KR
South Korea
Prior art keywords
film
nitrogen
insulating film
dielectric constant
low dielectric
Prior art date
Application number
KR10-2004-7018246A
Other languages
Korean (ko)
Other versions
KR100615661B1 (en
Inventor
마츠모토스스무
세키구치미츠루
니시오카야스타카
도미타가즈오
이와사키아키히사
하시모토게이지
Original Assignee
마츠시타 덴끼 산교 가부시키가이샤
가부시끼가이샤 르네사스 테크놀로지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마츠시타 덴끼 산교 가부시키가이샤, 가부시끼가이샤 르네사스 테크놀로지 filed Critical 마츠시타 덴끼 산교 가부시키가이샤
Priority to KR1020047018246A priority Critical patent/KR100615661B1/en
Publication of KR20050020801A publication Critical patent/KR20050020801A/en
Application granted granted Critical
Publication of KR100615661B1 publication Critical patent/KR100615661B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

비어홀(108)이 형성된 저유전율막(105)의 아래쪽에 제 1 질소 비함유 절연막(104)을 개재하고 제 1 질소 함유 절연막(103)이 형성된다. 또 저유전율막(105) 위쪽에 제 2 질소 비함유 절연막(106)을 개재하고 제 2 질소 함유 절연막(107)이 형성된다.A first nitrogen-containing insulating film 103 is formed below the low dielectric constant film 105 having the via hole 108 via the first nitrogen-free insulating film 104. A second nitrogen-containing insulating film 107 is formed over the low dielectric constant film 105 with the second nitrogen-free insulating film 106 interposed therebetween.

Description

전자디바이스 및 그 제조방법{ELECTRONIC DEVICE AND ITS MANUFACTURING METHOD}ELECTRONIC DEVICE AND ITS MANUFACTURING METHOD

본 발명은 전자디바이스 및 그 제조방법에 관한 것이며, 특히 배선형성 기술에 관한 것이다.TECHNICAL FIELD The present invention relates to an electronic device and a method for manufacturing the same, and more particularly, to a wiring forming technology.

최근, 집적회로의 고집적화에 따라 배선간격이 협소해지고 있어, 배선간에 발생하는 전기기생용량이 증대하고 있다. 한편, 고속동작이 요구되는 집적회로에서는, 배선간 전기기생용량을 작게 해야 할 필요가 있다.In recent years, as the integration density of integrated circuits increases, wiring intervals become narrower, and electric parasitic capacitance generated between wirings increases. On the other hand, in integrated circuits requiring high speed operation, it is necessary to reduce the electric parasitic capacitance between wirings.

그래서 배선간 전기기생용량을 저감시키기 위해, 배선간 절연막의 비유전율을 저감시키는 방법이 검토돼왔다. 배선간 전기기생용량을 가장 저감할 수 있는 방법으로서, 예를 들어 배선간 절연막으로, 실리콘산화막보다 유전율이 작은 재료로 이루어진 막(즉 저유전율막), 예를 들어 탄소 함유 실리콘산화막 또는 다공질(porous)막 등을 이용하는 방법이 제안됐다. 탄소 함유 실리콘산화막은, 체적이 큰 알킬기나 페닐기의 형태로 탄소를 막 중에 함유한다. 그 결과 탄소 함유 실리콘산화막의 밀도(약 1.0∼1.3g/㎤)는 실리콘산화막의 밀도(약 2.3g/㎤)에 비해 작아짐과 동시에, 탄소 함유 실리콘산화막의 비유전율(약 2.0∼3.0)도 실리콘산화막의 비유전율(약 3.9∼4.3)에 비해 작아진다.Therefore, in order to reduce the electrical parasitic capacitance between wirings, a method of reducing the dielectric constant of the insulating film between wirings has been studied. As the method of reducing the inter-wiring electric parasitic capacity, for example, a film made of a material having a lower dielectric constant than a silicon oxide film (i.e. a low dielectric constant film), for example, a carbon-containing silicon oxide film or porous A method using a film or the like has been proposed. The carbon-containing silicon oxide film contains carbon in the film in the form of an alkyl group or a phenyl group having a large volume. As a result, the density (about 1.0 to 1.3 g / cm 3) of the carbon-containing silicon oxide film is smaller than the density (about 2.3 g / cm 3) of the silicon oxide film, and the dielectric constant (about 2.0 to 3.0) of the carbon-containing silicon oxide film is also reduced to silicon. It becomes small compared with the dielectric constant (about 3.9-4.3) of an oxide film.

그런데, 예를 들어 실리콘산화막 등 종래의 배선간 절연막에 비해, 탄소 함유 실리콘산화막 등의 저유전율막의 막 밀도가 낮기 때문에, 저유전율막은 대기 노출됐을 때에 대기 중에 존재하는 질소 등을 막 중으로 흡수하기 쉽다. 그 결과, 예를 들어 비어홀이 형성된 탄소 함유 실리콘산화막 상에서, 상층 금속배선용 홈 패턴을 형성하기 위한 포토리소그래피 공정을 실시할 경우에는, 다음과 같은 문제가 생긴다. 즉 비어홀 근방에 도포된 포토레지스트에 충분한 현상을 실시하지 못한 결과, 불필요한 포토레지스트의 잔존이 발생하므로, 원하는 홈 패턴을 형성할 수 없다. 이 문제가 일어나는 이유는 다음과 같다. 즉 비어홀이 형성된 탄소 함유 실리콘산화막 중에 존재하는 아민, 또는 탄소 함유 실리콘산화막 아래쪽에 형성된 실리콘질화탄화막 중의 질소에 유래하는 염기성물질 등이, 비어홀을 통해 탄소 함유 실리콘산화막 상의 포토레지스트(화학증폭형 레지스트) 중으로 확산돼온다. 그 결과 레지스트 중의 염기농도가 상승하기 때문에, 홈 패턴 형성을 위한 노광 시에 레지스트 중의 산 발생재료에서 발생한 산이 중화돼버리므로, 예를 들어 아크릴계 레지스트 등에서의 연속적인 산 발생반응이 진행되지 않게 되어 현상불량이 일어난다. 이러한 현상은 레지스트 피독(resist poisoning)이라 불린다. 레지스트 피독이 일어나면, 예를 들어 하층금속배선과 상층금속배선이 정상적으로 접속되지 않는 사태, 즉 배선불량이 발생한다.By the way, since the film density of low dielectric constant films, such as a carbon containing silicon oxide film, is low compared with the conventional interwire insulation film, such as a silicon oxide film, for example, a low dielectric constant film is easy to absorb nitrogen which exists in air | atmosphere in a film | membrane when it is exposed to air | atmosphere. . As a result, for example, when performing the photolithography process for forming the groove pattern for upper metal wiring on the carbon containing silicon oxide film in which the via hole was formed, the following problem arises. That is, as a result of insufficient development of the photoresist applied in the vicinity of the via hole, unnecessary photoresist remains, so that a desired groove pattern cannot be formed. This problem occurs for the following reasons. That is, the amine present in the carbon-containing silicon oxide film having the via hole or the basic material derived from nitrogen in the silicon nitride carbide film formed under the carbon-containing silicon oxide film is a photoresist (chemically amplified resist) on the carbon-containing silicon oxide film through the via hole. Is spreading to the middle. As a result, since the base concentration in the resist rises, the acid generated in the acid generating material in the resist is neutralized at the time of exposure to form the groove pattern, so that continuous acid generation reaction in the acrylic resist or the like does not proceed, for example, poor development. This happens. This phenomenon is called resist poisoning. When resist poisoning occurs, for example, a situation where the lower metal wiring and the upper metal wiring are not normally connected, that is, a wiring failure occurs.

이에 반해, 예를 들어 비특허문헌 1(Proceedings of the 2002 International Interconnect Technology Conference, M. Fayolle et al., p39∼41)에, 레지스트 피독을 방지하는 배선구조 및 그 제조방법이 개시되어 있다.On the other hand, the non-patent document 1 (Proceedings of the 2002 International Interconnect Technology Conference, M. Fayolle et al., P39-41) discloses the wiring structure which prevents resist poisoning, and its manufacturing method.

도 7은 비특허문헌 1에 개시된, 종래의 전자디바이스 배선구조를 나타내는 단면도이다.7 is a cross-sectional view showing a conventional electronic device wiring structure disclosed in Non-Patent Document 1. FIG.

도 7에 나타내는 바와 같이, 실리콘기판(도시 생략) 상에 형성된, 실리콘산화막으로 이루어지는 제 1 절연막(1) 중에, 메탈장벽막(2a) 및 구리막(2b)으로 구성되는 하층금속배선(2)이 형성된다. 하층금속배선(2) 상 및 제 1 절연막(1) 상에는, 실리콘탄화막으로 이루어지는 제 2 절연막(3)이 형성된다. 제 2 절연막(3) 상에는, 탄소 함유 실리콘산화막으로 이루어지는 제 3 절연막(4)이 형성된다. 제 3 절연막(4) 상에는, 실리콘탄화막으로 이루어지는 제 4 절연막(5)이 형성된다. 제 4 절연막(5) 상에는, 탄소 함유 실리콘산화막으로 이루어지는 제 5 절연막(6)이 형성된다. 제 2 절연막(3) 및 제 3 절연막(4)에는, 하층금속배선(2)에 달하는 비어홀(7)이 형성됨과 동시에, 제 4 절연막(5) 및 제 5 절연막(6)에는, 비어홀(7)에 달하는 배선 홈(8)이 형성된다. 비어홀(7) 및 배선 홈(8)에는, 메탈장벽막(9) 및 구리막(10)이 순차 형성되며, 이로써 비어플러그(11) 및 상층금속배선(12)이 형성된다. 비어플러그(11)는, 하층금속배선(2)과 상층금속배선(12)을 접속한다.As shown in FIG. 7, the lower metal wiring 2 comprised of the metal barrier film 2a and the copper film 2b in the 1st insulating film 1 which consists of a silicon oxide film formed on the silicon substrate (not shown). Is formed. On the lower metal wiring 2 and on the first insulating film 1, a second insulating film 3 made of a silicon carbide film is formed. On the second insulating film 3, a third insulating film 4 made of a carbon-containing silicon oxide film is formed. On the third insulating film 4, a fourth insulating film 5 made of a silicon carbide film is formed. On the fourth insulating film 5, a fifth insulating film 6 made of a carbon-containing silicon oxide film is formed. In the second insulating film 3 and the third insulating film 4, a via hole 7 extending to the lower metal wiring 2 is formed, and in the fourth insulating film 5 and the fifth insulating film 6, a via hole 7 is formed. Wiring grooves (8) are formed. In the via hole 7 and the wiring groove 8, the metal barrier film 9 and the copper film 10 are sequentially formed, whereby the via plug 11 and the upper metal wiring 12 are formed. The via plug 11 connects the lower metal wiring 2 and the upper metal wiring 12.

도 8의 (a)∼(f)는, 비특허문헌 1에 개시된, 종래의 전자디바이스 제조방법, 즉 도 7에 나타내는 전자디바이스를 제조하기 위한 방법의 각 공정을 나타내는 단면도이다.(A)-(f) is sectional drawing which shows each process of the conventional electronic device manufacturing method disclosed by the nonpatent literature 1, ie, the method for manufacturing the electronic device shown in FIG.

우선 도 8의 (a)에 나타내는 바와 같이, 실리콘기판(도시 생략) 상에 제 1 절연막(1)을 형성한 후, 제 1 절연막(1)에 메탈장벽막(2a) 및 구리막(2b)으로 구성되는 하층금속배선(2)을 매입한다.First, as shown in FIG. 8A, after forming the first insulating film 1 on the silicon substrate (not shown), the metal barrier film 2a and the copper film 2b are formed on the first insulating film 1. The lower metal wiring 2 which consists of these is purchased.

다음으로 도 8의 (b)에 나타내는 바와 같이, 제 1 절연막(1) 상 및 하층금속배선(2) 상에, 실리콘탄화막으로 이루어지는 제 2 절연막(3), 탄소 함유 실리콘산화막으로 이루어지는 제 3 절연막(4), 실리콘탄화막으로 이루어지는 제 4 절연막(5), 탄소 함유 실리콘산화막으로 이루어지는 제 5 절연막(6), 및 실리콘탄화막으로 이루어지는 제 6 절연막(13)을 순차 퇴적시킨다.Next, as shown in Fig. 8B, on the first insulating film 1 and the lower metal wiring 2, the second insulating film 3 made of silicon carbide film and the third made of carbon-containing silicon oxide film The insulating film 4, the fourth insulating film 5 made of a silicon carbide film, the fifth insulating film 6 made of a carbon-containing silicon oxide film, and the sixth insulating film 13 made of a silicon carbide film are sequentially deposited.

다음에, 제 6 절연막(13) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 대해 포토리소그래피를 실시함으로써, 홀 패턴을 갖는 레지스트막(도시 생략)을 형성한다. 그 후 이 레지스트막을 마스크로, 제 6 절연막(13) 및 제 5 절연막(6)에 대해 순차 드라이에칭을 실시한 후, 에싱으로 포토레지스트를 제거한다. 이로써 도 8의 (c)에 나타내는 바와 같이, 제 6 절연막(13) 및 제 5 절연막(6)에, 비어홀(7)(도 8의 (e) 참조)과 대응하는 홀(14)이 형성된다.Next, a photoresist is applied on the sixth insulating film 13, and photolithography is performed on the coated photoresist to form a resist film (not shown) having a hole pattern. Thereafter, dry etching is performed on the sixth insulating film 13 and the fifth insulating film 6 with this resist film as a mask, and then photoresist is removed by ashing. As a result, as shown in FIG. 8C, holes 14 corresponding to the via holes 7 (see FIG. 8E) are formed in the sixth insulating film 13 and the fifth insulating film 6. .

다음으로, 제 6 절연막(13) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 대해 포토리소그래피를 실시함으로써, 원하는 홈 패턴을 갖는 레지스트막(15), 구체적으로는 배선 홈(8)(도 8의 (e) 참조)과 대응하는 개구부(15a)를 갖는 레지스트막(15)을 형성한다.Next, by applying a photoresist on the sixth insulating film 13 and performing photolithography on the coated photoresist, the resist film 15 having a desired groove pattern, specifically, the wiring groove 8 ( A resist film 15 having an opening 15a corresponding to Fig. 8E) is formed.

다음에, 홈 패턴을 갖는 레지스트막(15), 및 홀 패턴을 갖는 제 6 절연막(13) 및 제 5 절연막(6)을 각각 마스크로, 제 6 절연막(13), 제 5 절연막(6), 제 4 절연막(5) 및 제 3 절연막(4)에 대해 순차 드라이에칭을 실시한다. 이로써 도 8의 (e)에 나타내는 바와 같이, 제 3 절연막(4)에 비어홀(7)이 형성됨과 동시에 제 4 절연막(5) 및 제 5 절연막(6)에 배선 홈(8)이 형성된다. 단, 전술한 드라이에칭 후, 레지스트막(15)을 제거하여 세정을 실시한 후, 각각 실리콘탄화막으로 이루어지는 제 2 절연막(3)(비어홀(7) 형성영역), 제 4 절연막(5)(배선 홈(8) 형성영역) 및 제 6 절연막(13)을 전면 에치백으로 동시에 제거한다. 이로써 도 8의 (e)에 나타내는 바와 같이, 원하는 비어홀(7) 및 배선 홈(8)이 형성된다.Next, the sixth insulating film 13, the fifth insulating film 6, the resist film 15 having the groove pattern and the sixth insulating film 13 and the fifth insulating film 6 having the hole pattern are respectively masked. Dry etching is sequentially performed on the fourth insulating film 5 and the third insulating film 4. As a result, as shown in FIG. 8E, the via hole 7 is formed in the third insulating film 4, and the wiring groove 8 is formed in the fourth insulating film 5 and the fifth insulating film 6. However, after the above-mentioned dry etching, the resist film 15 is removed and washed, and then the second insulating film 3 (regions for forming the hole holes 7) and the fourth insulating film 5 (wiring) each made of a silicon carbide film, respectively. The groove 8 forming region) and the sixth insulating film 13 are simultaneously removed by the front etch back. As a result, as shown in FIG. 8E, desired via holes 7 and wiring grooves 8 are formed.

다음, 비어홀(7) 및 배선 홈(8)이 완전히 매입되도록 제 5 절연막(6) 상에, 메탈장벽막(9) 및 구리막(10)을 순차 퇴적시킨 후, 배선 홈(8) 외측의 메탈장벽막(9) 및 구리막(10)을 CMP(Chemical mechanical polishing)로 제거한다. 이로써 도 8의 (f)에 나타내는 바와 같이, 비어홀(7)에 비어플러그(11)가 형성됨과 동시에 배선 홈(8)에 상층금속배선(12)이 형성된다.Next, the metal barrier film 9 and the copper film 10 are sequentially deposited on the fifth insulating film 6 so as to completely fill the via hole 7 and the wiring groove 8, and then, outside the wiring groove 8. The metal barrier film 9 and the copper film 10 are removed by chemical mechanical polishing (CMP). As a result, as shown in FIG. 8F, the via plug 11 is formed in the via hole 7, and the upper metal wiring 12 is formed in the wiring groove 8.

비특허문헌 1에서는, 제 2 절연막(3), 제 4 절연막(5) 및 제 6 절연막(13)으로서, 질소를 함유하지 않는 실리콘탄화막을 이용함으로써, 홀(14)을 경유한 아민 등의 확산에 기인하는 레지스트 피독을 억제할 수 있음이 보고돼있다.In Non-Patent Document 1, as the second insulating film 3, the fourth insulating film 5, and the sixth insulating film 13, a silicon carbide film containing no nitrogen is used to diffuse the amine or the like via the hole 14. It has been reported that resist poisoning caused by

그러나 전술한 종래의 배선구조에서는, 레지스트 피독 대책을 위해, 실리콘질화탄화막 대신, 실리콘질화탄화막보다 막질이 나쁜 질소 비함유 실리콘탄화막을 이용므로, 리크전류가 많아진다는 문제가 있다. 또 실리콘탄화막의 막 안정성이 나쁘기 때문에, 이 막을 퇴적시킨 후에 방치하면 막질의 경시변화가 일어난다는 문제도 있다.However, in the conventional wiring structure described above, a nitrogen-free silicon carbide film having a poorer film quality than the silicon nitride carbide film is used instead of the silicon nitride carbide film for the resist poisoning countermeasure, so that there is a problem that the leakage current increases. Moreover, since the film stability of a silicon carbide film is bad, there also exists a problem that the film quality will change with time when this film is left to stand after depositing.

도 1은 본 발명의 제 1 실시형태에 관한 전자디바이스의 배선구조를 나타내는 단면도.1 is a cross-sectional view showing a wiring structure of an electronic device according to a first embodiment of the present invention.

도 2의 (a)∼(f)는 본 발명의 제 1 실시형태에 관한 전자디바이스 제조방법의 각 공정을 나타내는 단면도.Fig.2 (a)-(f) is sectional drawing which shows each process of the electronic device manufacturing method which concerns on 1st Embodiment of this invention.

도 3은 비교예에 관한 전자디바이스의 배선구조를 나타내는 단면도.3 is a cross-sectional view showing a wiring structure of an electronic device according to a comparative example.

도 4의 (a)∼(f)는 비교예에 관한 전자디바이스 제조방법의 각 공정을 나타내는 단면도.4 (a) to 4 (f) are cross-sectional views showing respective steps of the electronic device manufacturing method according to the comparative example.

도 5는 본 발명의 제 2 실시형태에 관한 전자디바이스의 배선구조를 나타내는 단면도.5 is a cross-sectional view showing a wiring structure of an electronic device according to a second embodiment of the present invention.

도 6의 (a)∼(f)는 본 발명의 제 2 실시형태에 관한 전자디바이스 제조방법의 각 공정을 나타내는 단면도. 6 (a) to 6 (f) are cross-sectional views showing respective steps of the electronic device manufacturing method according to the second embodiment of the present invention.

도 7은 종래의 전자디바이스 배선구조를 나타내는 단면도.7 is a cross-sectional view showing a conventional electronic device wiring structure.

도 8의 (a)∼(f)는 종래의 전자디바이스 제조방법의 각 공정을 나타내는 단면도. 8 (a) to 8 (f) are cross-sectional views showing respective steps of a conventional electronic device manufacturing method.

상기에 감안하여 본 발명은, 배선간 절연막에서의 리크전류 증대나 막질의 경시변화를 억제하면서 레지스트 피독을 방지하는 것을 목적으로 한다.In view of the above, it is an object of the present invention to prevent resist poisoning while suppressing an increase in leakage current and change in film quality over time in an inter-wire insulating film.

상기 목적을 달성하기 위해, 본 발명에 관한 제 1 전자디바이스는, 홀을 갖는 저유전율막과, 저유전율막 아래쪽에 형성된 질소 비함유 절연막과, 질소 비함유 절연막 아래쪽에 형성된 질소 함유 절연막을 구비한다.In order to achieve the above object, the first electronic device according to the present invention includes a low dielectric constant film having holes, a nitrogen-free insulating film formed below the low-k dielectric film, and a nitrogen-containing insulating film formed below the nitrogen-free insulating film. .

제 1 전자디바이스에 의하면, 배선간 절연막인 저유전율막과, 그 아래쪽의 질소 함유 절연막 사이에 질소 비함유 절연막이 형성된다. 즉 저유전율막과 질소 함유 절연막이 직접 접촉하는 일이 없으므로, 저유전율막 중으로 질소가 도입되는 것을 억제할 수 있다. 이로써 홀이 형성된 저유전율막 상에 화학증폭형 레지스트를 도포했을 때, 홀을 경유하여 아민 등이 저유전율막으로부터 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다. 또 저유전율막 아래쪽에 막질이 좋은 질소 함유 절연막(예를 들어 실리콘질화탄화막)이 형성되므로, 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.According to the first electronic device, a nitrogen-free insulating film is formed between the low dielectric constant film serving as the inter-wire insulating film and the nitrogen-containing insulating film below it. That is, since the low dielectric constant film and the nitrogen-containing insulating film do not directly contact each other, the introduction of nitrogen into the low dielectric constant film can be suppressed. As a result, when a chemically amplified resist is applied onto the low dielectric constant film on which holes are formed, amines and the like can be diffused from the low dielectric constant film into the resist via the holes, that is, resist poisoning can be prevented. Further, since a nitrogen-containing insulating film (for example, silicon nitride carbide film) having a good film quality is formed below the low dielectric film, it is possible to prevent an increase in leakage current or a change in film quality over time.

또한 제 1 전자디바이스에 의하면, 질소 비함유 절연막을 예를 들어 플라즈마CVD(chemical vapor deposition)법으로 퇴적시킴으로써, 그 아래쪽의 질소 함유 절연막의 막질을 안정화시킬 수 있으므로, 질소 함유 절연막 중에 포함되는 질소가 유리되기 어려워진다. 그 결과 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.In addition, according to the first electronic device, since the nitrogen-free insulating film is deposited by, for example, plasma CVD (chemical vapor deposition) method, the film quality of the nitrogen-containing insulating film below it can be stabilized, so that nitrogen contained in the nitrogen-containing insulating film It becomes hard to be free. As a result, the introduction of nitrogen into the low dielectric constant film can be suppressed more reliably.

여기서 본 명세서에서 질소 비함유 절연막이란, 막 중에 포함된 질소가 1×1019atoms/cm3 미만의 절연막을 의미한다.Here, in this specification, an nitrogen-free insulating film means the insulating film whose nitrogen contained in a film is less than 1 * 10 <19> atoms / cm <3> .

제 1 전자디바이스에 있어서, 홀은 질소 비함유 절연막 및 질소 함유 절연막 각각을 관통하며, 이 홀 아래쪽에서 홀과 접속하는 하층배선을 추가로 구비하고, 홀 접속영역을 제외한 하층배선 상면은 질소 함유 절연막으로 피복되는 것이 바람직하다.In the first electronic device, the hole penetrates each of the nitrogen-free insulating film and the nitrogen-containing insulating film, and further includes a lower layer wiring connected to the hole below the hole, and the upper surface of the lower layer wiring except the hole connection region has a nitrogen-containing insulating film. It is preferable to coat with.

이와 같이 하면 질소 함유 절연막으로서, 산소를 포함하지 않는 절연막을 이용함으로써, 하층배선의 산화를 방지할 수 있다.In this case, by using an insulating film containing no oxygen as the insulating film containing nitrogen, the oxidation of the lower layer wiring can be prevented.

제 1 전자디바이스에 있어서, 저유전율막 하면과 질소 비함유 절연막 상면은 접하는 것이 바람직하다.In the first electronic device, the lower surface of the low dielectric constant film and the upper surface of the nitrogen-free insulating film are preferably in contact with each other.

이와 같이 하면 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

본 발명에 관한 제 2 전자디바이스는, 홀을 갖는 저유전율막과, 저유전율막 위쪽에 형성된 질소 비함유 절연막과, 질소 비함유 절연막 위쪽에 형성된 질소 함유 절연막을 구비한다.The second electronic device according to the present invention includes a low dielectric constant film having holes, a nitrogen-free insulating film formed above the low-k dielectric film, and a nitrogen-containing insulating film formed above the nitrogen-free insulating film.

제 2 전자디바이스에 의하면, 배선간 절연막인 저유전율막과, 그 위쪽의 질소 함유 절연막 사이에 질소 비함유 절연막이 형성된다. 즉 저유전율막과 질소 함유 절연막이 직접 접촉하는 일이 없다. 때문에 저유전율막 중으로 질소가 도입되는 것을 억제할 수 있으므로, 홀이 형성된 저유전율막의 위쪽에 화학증폭형 레지스트를 도포했을 때 홀을 경유하여 아민 등이 저유전율막에서 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다. 또 저유전율막 위쪽에 막질이 좋은 질소 함유 절연막(예를 들어 실리콘질화탄화막)이 형성되므로, 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.According to the second electronic device, a nitrogen-free insulating film is formed between the low dielectric constant film as the inter-wire insulating film and the nitrogen-containing insulating film thereon. In other words, the low dielectric constant film and the nitrogen-containing insulating film do not directly contact each other. Therefore, the introduction of nitrogen into the low dielectric constant film can be suppressed. Therefore, when a chemically amplified resist is applied over the low dielectric constant film on which the hole is formed, amines or the like diffuse through the hole into the resist, that is, the resist. Poisoning can be prevented. In addition, since a nitrogen-containing insulating film (for example, silicon nitride carbide film) having a good film quality is formed above the low dielectric film, it is possible to prevent an increase in leakage current or a change in film quality over time.

또 제 2 전자디바이스에 의하면, 저유전율막 상에 질소 비함유 절연막을 개재하고 질소 함유 절연막이 형성되므로, 저유전율막 형성 후에 질소를 함유하는 분위기(플라즈마 등)에 저유전율막이 직접 노출되는 일이 없다. 이로써 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.In addition, according to the second electronic device, since the nitrogen-containing insulating film is formed on the low dielectric film via the nitrogen-free insulating film, the low-k film is exposed directly to the atmosphere containing nitrogen (plasma, etc.) after the low-k film is formed. none. This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

제 2 전자디바이스에 있어서, 질소 함유 절연막은 반사방지막이며, 질소 함유 절연막, 질소 비함유 절연막, 및 저유전율막 중 적어도 상부에, 홀과 접속하는 오목부가 형성되는 것이 바람직하다.In the second electronic device, it is preferable that the nitrogen-containing insulating film is an antireflection film, and a concave portion connecting to the hole is formed at least on an upper portion of the nitrogen-containing insulating film, the nitrogen-free insulating film, and the low dielectric constant film.

이와 같이 하면, 홀 또는 오목부를 형성하기 위한 리소그래피 공정 시, 예를 들어 유기재료로 이루어지는 반사방지막을 따로 형성할 필요가 없으므로, 공정 수를 삭감할 수 있다.In this way, in the lithography process for forming the holes or the concave portions, there is no need to separately form an antireflection film made of an organic material, for example, so that the number of steps can be reduced.

제 2 전자디바이스에 있어서, 저유전율막 상면과 질소 비함유 절연막 하면은 접하는 것이 바람직하다.In the second electronic device, the upper surface of the low dielectric constant film and the lower surface of the nitrogen-free insulating film are preferably in contact with each other.

이와 같이 하면 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

본 발명에 관한 제 3 전자디바이스는, 홀을 갖는 저유전율막과, 저유전율막 아래쪽에 형성된 제 1 질소 비함유 절연막과, 저유전율막 위쪽에 형성된 제 2 질소 비함유 절연막을 구비하며, 홀은 제 1 질소 비함유 절연막을 관통하고, 제 2 질소 비함유 절연막, 및 저유전율막 중 적어도 상부에 홀과 접속되는 오목부가 형성된다.The third electronic device according to the present invention includes a low dielectric constant film having holes, a first nitrogen-free insulating film formed below the low dielectric constant film, and a second nitrogen-free insulating film formed above the low dielectric constant film, The recessed part which penetrates a 1st nitrogen-free insulating film, and is connected with a hole is formed in at least upper part of a 2nd nitrogen-free insulating film and a low dielectric constant film.

제 3 전자디바이스에 의하면, 배선간 절연막인 저유전율막 상하에 각각 질소 비함유 절연막이 형성되므로, 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다. 이로써 저유전율막에 홀을 형성한 후, 이 홀과 접속되는 오목부를 형성하기 위한 리소그래피 공정에서 저유전율막 위쪽에 화학증폭형 레지스트를 도포했을 때, 홀을 경유하여 아민 등이 저유전율막에서 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다.According to the third electronic device, since the nitrogen-free insulating films are formed above and below the low dielectric constant film, which is the inter-wire insulating film, the introduction of nitrogen into the low dielectric constant film can be more surely suppressed. As a result, when a hole is formed in the low dielectric constant film and a chemically amplified resist is applied over the low dielectric constant film in the lithography process for forming the concave portion connected to the hole, an amine or the like is formed in the low dielectric constant film through the hole. It is possible to prevent the diffusion into the medium, that is, resist poisoning.

제 3 전자디바이스에 있어서, 저유전율막 하면과 제 1 질소 비함유 절연막 상면은 접하는 것이 바람직하다.In the third electronic device, the lower dielectric constant film is preferably in contact with the upper surface of the first nitrogen-free insulating film.

이와 같이 하면 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

제 3 전자디바이스에 있어서, 저유전율막 상면과 제 2 질소 비함유 절연막 하면은 접하는 것이 바람직하다.In the third electronic device, the upper surface of the low dielectric constant film and the lower surface of the second nitrogen-free insulating film are preferably in contact with each other.

이와 같이 하면 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

본 발명에 관한 제 4 전자디바이스는, 홀을 갖는 저유전율막과, 저유전율막 위쪽에 형성된, 막 밀도 1.3g/㎤ 이하의 저밀도 절연막을 구비한다.A fourth electronic device according to the present invention includes a low dielectric constant film having holes and a low density insulating film having a film density of 1.3 g / cm 3 or less formed above the low dielectric constant film.

제 4 전자디바이스에 의하면, 배선간 절연막인 저유전율막 위쪽에 저밀도 절연막이 형성되므로, 저유전율막 중으로 도입된 질소나 저밀도 절연막 자신에 존재하는 질소가, 저밀도 절연막을 통해 외부로 배출되기 쉬워진다. 이로써 저유전율막에 형성된 홀 내에 아민 등이 집중 확산돼오는 일이 없으므로, 저유전율막 위쪽에 화학증폭형 레지스트를 도포했을 때, 홀 근방의 레지스트에서의 단위체적당 아민 등의 양이 매우 적어지며, 그 결과 레지스트 피독을 방지할 수 있다. 여기서 제 4 전자디바이스에 있어서, 저밀도 절연막의 밀도는, 이 막의 안정성을 고려하면, 0.4g/㎤ 이상인 것이 바람직하다.According to the fourth electronic device, since the low density insulating film is formed above the low dielectric constant film as the inter-wire insulating film, nitrogen introduced into the low dielectric constant film or nitrogen present in the low density insulating film itself is easily discharged to the outside through the low density insulating film. As a result, since amines and the like do not concentrate in the holes formed in the low dielectric constant film, when the chemically amplified resist is applied over the low dielectric constant film, the amount of amine or the like per unit volume in the resist near the hole becomes very small. As a result, resist poisoning can be prevented. In the fourth electronic device, the density of the low density insulating film is preferably 0.4 g / cm 3 or more in consideration of the stability of the film.

제 4 전자디바이스에 있어서, 저밀도 절연막은 질소를 함유하는 것이 바람직하다.In the fourth electronic device, the low density insulating film preferably contains nitrogen.

이와 같이 하면, 저밀도 절연막의 막질이 좋아지므로 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.In this way, since the film quality of the low density insulating film is improved, it is possible to prevent an increase in the leakage current or a change in the film quality over time.

제 4 전자디바이스에 있어서, 저유전율막 아래쪽에 형성된 질소 함유 절연막을 추가로 구비하는 것이 바람직하다.In the fourth electronic device, it is preferable to further include a nitrogen-containing insulating film formed under the low dielectric constant film.

이와 같이 하면, 질소 함유 절연막의 막질이 좋으므로 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.In this case, since the film quality of the nitrogen-containing insulating film is good, it is possible to prevent an increase in the leakage current or a change in the film quality over time.

제 1, 제 2, 제 3 또는 제 4 전자디바이스에 있어서, 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것이 바람직하다.In the first, second, third or fourth electronic device, the low dielectric constant film is preferably a carbon-containing silicon oxide film or a porous film.

이와 같이 하면, 배선간 용량을 확실하게 저감할 수 있다. 또 탄소 함유 실리콘산화막으로는 SiOC막을 이용해도 된다.In this way, the capacitance between wirings can be reliably reduced. As the carbon-containing silicon oxide film, an SiOC film may be used.

본 발명에 관한 제 1 전자디바이스 제조방법은, 질소 함유 절연막 상에 질소 비함유 절연막 및 저유전율막을 순차 형성하는 공정과, 저유전율막에 홀을 형성하는 공정과, 홀이 형성된 저유전율막 상에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과, 이 레지스트막을 마스크로 저유전율막에 에칭을 실시하여 홀과 접속하는 오목부를 형성하는 공정을 구비한다.A method for manufacturing a first electronic device according to the present invention includes a step of sequentially forming a nitrogen-free insulating film and a low dielectric constant film on a nitrogen-containing insulating film, a step of forming holes in the low dielectric constant film, and a low dielectric constant film on which the holes are formed. Applying a chemically amplified resist and exposing and developing the applied chemically amplified resist to form a resist film having an opening in a predetermined region including a region in which a hole is formed; And etching the dielectric constant film to form a recess for connecting with the hole.

제 1 전자디바이스 제조방법에 의하면, 질소 함유 절연막 상에 질소 비함유 절연막을 개재하고 형성된 저유전율막에 홀을 형성한 후, 저유전율막 상에 화학증폭형 레지스트를 도포한다. 즉 저유전율막과 질소 함유 절연막 사이에 질소 비함유 절연막이 형성되므로, 저유전율막과 질소 함유 절연막이 직접 접촉하는 일이 없다. 이로써 저유전율막 중으로 질소가 도입되는 것을 억제할 수 있으므로, 홀이 형성된 저유전율막 상에 화학증폭형 레지스트를 도포했을 때, 홀을 경유하여 아민 등이 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다. 또 저유전율막 아래쪽에 막질이 좋은 질소 함유 절연막(예를 들어 실리콘질화탄화막)이 형성되므로, 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.According to the first electronic device manufacturing method, holes are formed in the low dielectric constant film formed on the nitrogen-containing insulating film via the nitrogen-free insulating film, and then a chemically amplified resist is applied on the low dielectric constant film. In other words, since the nitrogen-free insulating film is formed between the low dielectric constant film and the nitrogen-containing insulating film, the low dielectric constant film and the nitrogen-containing insulating film do not directly contact each other. As a result, the introduction of nitrogen into the low dielectric constant film can be suppressed. Therefore, when a chemically amplified resist is applied onto the low dielectric constant film having holes formed therein, amines or the like are diffused into the resist through the holes, that is, resist poisoning is prevented. can do. Further, since a nitrogen-containing insulating film (for example, silicon nitride carbide film) having a good film quality is formed below the low dielectric film, it is possible to prevent an increase in leakage current or a change in film quality over time.

또 제 1 전자디바이스 제조방법에 의하면, 질소 비함유 절연막을 예를 들어 플라즈마CVD법으로 퇴적시킴으로써, 그 아래쪽의 질소 함유 절연막의 막질을 안정화시킬 수 있으므로, 질소 함유 절연막 중에 포함되는 질소가 유리되기 어려워진다. 그 결과, 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.In addition, according to the first electronic device manufacturing method, since the nitrogen-free insulating film is deposited by, for example, plasma CVD, the film quality of the nitrogen-containing insulating film beneath it can be stabilized, so that nitrogen contained in the nitrogen-containing insulating film is difficult to be released. Lose. As a result, it is possible to more reliably suppress the introduction of nitrogen into the low dielectric constant film.

제 1 전자디바이스 제조방법에 있어서, 질소 함유 절연막은 하층배선을 피복하도록 형성되는 것이 바람직하다.In the first electronic device manufacturing method, the nitrogen-containing insulating film is preferably formed so as to cover the lower layer wiring.

이와 같이 하면 질소 함유 절연막으로서, 산소를 포함하지 않는 절연막을 이용함으로써 하층배선의 산화를 방지할 수 있다.In this case, oxidation of the lower layer wiring can be prevented by using an insulating film containing no oxygen as the nitrogen-containing insulating film.

제 1 전자디바이스 제조방법에 있어서, 홀을 형성하는 공정은, 저유전율막 및 질소 비함유 절연막에 홀을 형성하는 공정을 포함하며, 오목부를 형성하는 공정보다 뒤에, 홀 아래쪽의 질소 함유 절연막을 제거하는 공정을 추가로 구비하는 것이 바람직하다.In the first electronic device manufacturing method, the step of forming a hole includes a step of forming a hole in the low dielectric constant film and the nitrogen-free insulating film, and after the step of forming the recess, the nitrogen-containing insulating film below the hole is removed. It is preferable to further provide the process of making.

이와 같이 하면, 홀 아래쪽에 형성된 배선이나 소자 등에 에칭 손상이나 에싱 손상(예를 들어 배선이나 소자 등의 표면 산화)이 발생하는 것을 방지할 수 있다.By doing in this way, etching damage and ashing damage (for example, surface oxidation of wiring, an element, etc.) generate | occur | produce in the wiring and the element formed in the lower part of a hole can be prevented.

본 발명에 관한 제 2 전자디바이스 제조방법은, 저유전율막 상에 질소 비함유 절연막 및 질소 함유 절연막을 순차 형성하는 공정과, 질소 비함유 절연막 및 질소 함유 절연막이 형성된 저유전율막에 홀을 형성하는 공정과, 홀이 형성된 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과, 이 레지스트막을 마스크로 저유전율막에 에칭을 실시하여 홀과 접속하는 오목부를 형성하는 공정을 구비한다.A second electronic device manufacturing method according to the present invention comprises the steps of sequentially forming a nitrogen-free insulating film and a nitrogen-containing insulating film on a low dielectric constant film, and forming a hole in the low dielectric constant film formed with a nitrogen-free insulating film and a nitrogen-containing insulating film By applying a chemically amplified resist over the low dielectric constant film on which the hole is formed, and exposing and developing the coated chemically amplified resist to form a resist film having an opening in a predetermined region including the region where the hole is formed. And forming a concave portion which is connected to the hole by etching the low dielectric constant film using the resist film as a mask.

제 2 전자디바이스 제조방법에 의하면, 저유전율막 상에 질소 비함유 절연막 및 질소 함유 절연막을 순차 형성한 후, 저유전율막에 홀을 형성하고, 그 후 저유전율막 위쪽에 화학증폭형 레지스트를 도포한다. 즉, 저유전율막과 질소 함유 절연막 사이에 질소 비함유 절연막이 형성되므로, 저유전율막과 질소 함유 절연막이 직접 접촉하는 일이 없다. 이로써 저유전율막 중으로 질소가 도입되는 것을 억제할 수 있으므로, 홀이 형성된 저유전율막 상에 화학증폭형 레지스트를 도포했을 때, 홀을 경유하여 아민 등이 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다. 또 저유전율막 위쪽에 막질이 좋은 질소 함유 절연막(예를 들어 실리콘질화탄화막)이 형성되므로, 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다.According to the second electronic device manufacturing method, after the nitrogen-free insulating film and the nitrogen-containing insulating film are sequentially formed on the low dielectric constant film, holes are formed in the low dielectric constant film, and then a chemically amplified resist is applied on the low dielectric constant film. do. That is, since the nitrogen-free insulating film is formed between the low dielectric constant film and the nitrogen-containing insulating film, the low dielectric constant film and the nitrogen-containing insulating film do not directly contact each other. As a result, the introduction of nitrogen into the low dielectric constant film can be suppressed. Therefore, when a chemically amplified resist is applied onto the low dielectric constant film having holes formed therein, amines or the like are diffused into the resist through the holes, that is, resist poisoning is prevented. can do. In addition, since a nitrogen-containing insulating film (for example, silicon nitride carbide film) having a good film quality is formed above the low dielectric film, it is possible to prevent an increase in leakage current or a change in film quality over time.

또 제 2 전자디바이스 제조방법에 의하면, 저유전율막 상에 질소 비함유 절연막을 개재하고 질소 함유 절연막을 형성하므로, 저유전율막 형성 후에 질소를 함유하는 분위기(플라즈마 등)에 저유전율막이 직접 노출되는 일이 없다. 이로써 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.In addition, according to the second electronic device manufacturing method, since the nitrogen-containing insulating film is formed on the low dielectric film via the nitrogen-free insulating film, the low dielectric film is directly exposed to the atmosphere containing nitrogen (plasma, etc.) after the low dielectric film is formed. There is no work. This can more reliably suppress the introduction of nitrogen into the low dielectric constant film.

제 2 전자디바이스 제조방법에 있어서, 레지스트막을 형성하는 공정에서 질소 함유 절연막은 반사방지막으로서 기능하는 것이 바람직하다.In the second electronic device manufacturing method, the nitrogen-containing insulating film preferably functions as an antireflection film in the step of forming a resist film.

이와 같이 하면, 오목부를 형성하기 위한 리소그래피 공정 시, 예를 들어 유기재료로 이루어지는 반사방지막을 따로 형성할 필요가 없으므로, 공정 수를 삭감할 수 있다.In this way, in the lithography step for forming the concave portion, it is not necessary to separately form an antireflection film made of, for example, an organic material, so that the number of steps can be reduced.

본 발명에 관한 제 3 전자디바이스 제조방법은, 제 1 질소 비함유 절연막 상에 저유전율막 및 제 2 질소 비함유 절연막을 순차 형성하는 공정과, 제 2 질소 비함유 절연막이 형성된 저유전율막에 홀을 형성하는 공정과, 홀이 형성된 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과, 이 레지스트막을 마스크로 저유전율막에 에칭을 실시하여 홀과 접속하는 오목부를 형성하는 공정을 구비한다.A third electron device manufacturing method according to the present invention comprises the steps of sequentially forming a low dielectric constant film and a second nitrogen-free insulating film on the first nitrogen-free insulating film, and a hole in the low dielectric constant film on which the second nitrogen-free insulating film is formed. And forming an opening in a predetermined region including the region where the hole is formed by applying a chemically amplified resist over the low dielectric constant film on which the hole is formed and exposing and developing the chemically amplified resist. A process of forming the resist film which has it, and the process of etching the low dielectric constant film using this resist film as a mask and forming the recessed part connected with a hole are provided.

제 3 전자디바이스 제조방법에 의하면, 상하에 각각 질소 비함유 절연막이 형성된 저유전율막에 홀을 형성한 후, 저유전율막 상에 화학증폭형 레지스트를 도포한다. 이로써 저유전율막 중으로 질소가 도입되는 것을 확실하게 억제할 수 있으므로, 홀이 형성된 저유전율막 상에 화학증폭형 레지스트를 도포했을 때, 홀을 경유하여 아민 등이 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다.According to the third electronic device manufacturing method, holes are formed in the low dielectric constant films each having a nitrogen-free insulating film formed thereon, and then a chemically amplified resist is applied on the low dielectric constant films. Since it is possible to reliably suppress the introduction of nitrogen into the low dielectric constant film, when the chemically amplified resist is applied onto the low dielectric constant film with holes, amines or the like diffuse through the holes, that is, resist poisoning. Can be prevented.

제 1, 제 2 또는 제 3 전자디바이스 제조방법에 있어서, 질소 비함유 절연막은 CVD법으로 퇴적시키는 것이 바람직하다.In the first, second or third electronic device manufacturing method, the nitrogen-free insulating film is preferably deposited by the CVD method.

이와 같이 하면 질소 비함유 절연막으로서, 예를 들어 TEOS를 이용한 플라즈마CVD법으로 실리콘산화막을 형성했을 경우, 이 실리콘산화막의 밀도(약 2.3g/㎤)는 탄소 함유 실리콘산화막 등의 저유전율막 밀도보다 높아진다. 이로써 이 실리콘산화막으로 이루어지는 질소 비함유 절연막이 질소에 대한 방지층으로서 기능하므로, 저유전율막 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.In this case, when a silicon oxide film is formed as a nitrogen-free insulating film, for example, by plasma CVD using TEOS, the density (about 2.3 g / cm 3) of the silicon oxide film is lower than that of low dielectric constant films such as carbon-containing silicon oxide films. Increases. As a result, since the nitrogen-free insulating film made of the silicon oxide film functions as a prevention layer against nitrogen, the introduction of nitrogen into the low dielectric constant film can be more surely suppressed.

제 1, 제 2 또는 제 3 전자디바이스 제조방법에 있어서, 홀을 형성하는 공정과 레지스트막을 형성하는 공정 사이에, 홀 내에 더미플러그를 형성하는 공정을 추가로 구비하는 것이 바람직하다.In the first, second or third electronic device manufacturing method, it is preferable to further include a step of forming a dummy plug in the hole between the step of forming a hole and the step of forming a resist film.

이와 같이 하면, 예를 들어 유기재료로 이루어지는 더미플러그에 의해, 저유전율막과 질소 비함유 절연막의 계면부를 포함하는 홀의 벽면을 피복할 수 있다. 즉 이 계면부나 홀 벽면의 손상층이 더미플러그에 의해 피복되므로, 이 계면부 또는 이 손상층으로부터 홀 내로의 질소 확산을 억제할 수 있으므로, 레지스트 피독을 보다 확실하게 방지할 수 있다.In this way, for example, a dummy plug made of an organic material can cover the wall surface of the hole including the interface portion between the low dielectric constant film and the nitrogen-free insulating film. That is, since the damage layer of the interface portion or the hole wall surface is covered by the dummy plug, the diffusion of nitrogen from the interface portion or the damage layer into the hole can be suppressed, so that resist poisoning can be prevented more reliably.

본 발명에 관한 제 4 전자디바이스 제조방법은, 저유전율막 상에, 막 밀도 1.3g/㎤ 이하의 저밀도 절연막을 형성하는 공정과, 저밀도 절연막이 형성된 저유전율막에 홀을 형성하는 공정과, 홀이 형성된 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과, 이 레지스트막을 마스크로 저유전율막에 에칭을 실시하여 홀과 접속하는 오목부를 형성하는 공정을 구비한다.A fourth electronic device manufacturing method according to the present invention comprises the steps of forming a low density insulating film having a film density of 1.3 g / cm 3 or less on a low dielectric constant film, forming a hole in a low dielectric constant film having a low density insulating film, and Applying a chemically amplified resist over the formed low dielectric constant film and exposing and developing the applied chemically amplified resist to form a resist film having an opening in a predetermined region including a region where holes are formed; And forming a concave portion connected to the hole by etching the low dielectric constant film using the resist film as a mask.

제 4 전자디바이스 제조방법에 의하면, 저유전율막 상에 저밀도 절연막을 형성한 후, 저유전율막에 홀을 형성하고, 그 뒤 저유전율막 위쪽에 화학증폭형 레지스트를 도포한다. 이로써 저유전율막 중으로 도입된 질소나 저밀도 절연막 자신에 존재하는 질소가, 저밀도 절연막을 통해 외부로 배출되기 쉬워진다. 그러므로 저유전율막에 형성된 홀 내로 아민 등이 집중 확산돼오는 일이 없으므로, 저유전율막 위쪽에 화학증폭형 레지스트를 도포했을 때, 홀 근방 레지스트에서의 단위체적당 아민 등의 양이 매우 적어지며, 그 결과 레지스트 피독을 방지할 수 있다. 여기서 제 4 전자디바이스 제조방법에 있어서, 저밀도 절연막의 밀도는, 이 막의 안정성을 고려하면, 0.4g/㎤ 이상인 것이 바람직하다.According to the fourth electronic device manufacturing method, after forming a low density insulating film on the low dielectric constant film, holes are formed in the low dielectric constant film, and then a chemically amplified resist is applied over the low dielectric constant film. As a result, nitrogen introduced into the low dielectric film and nitrogen present in the low density insulating film itself are easily discharged to the outside through the low density insulating film. Therefore, since amines and the like do not concentrate diffused into the holes formed in the low dielectric constant film, when the chemically amplified resist is applied above the low dielectric constant film, the amount of amine and the like per unit volume in the resist near the hole becomes very small. As a result, resist poisoning can be prevented. In the fourth electronic device manufacturing method, the density of the low density insulating film is preferably 0.4 g / cm 3 or more in consideration of the stability of the film.

제 4 전자디바이스 제조방법에 있어서, 저밀도 절연막을 형성하는 공정보다 후에, 저밀도 절연막에 대해 열처리를 실시하거나 또는 에너지파를 조사하는 공정을 구비하는 것이 바람직하다.In the fourth electronic device manufacturing method, it is preferable to include a step of performing heat treatment or irradiating energy waves on the low density insulating film after the step of forming the low density insulating film.

이와 같이 하면, 저밀도 절연막의 막질을 안정화시킬 수 있음과 동시에, 저유전율막 중의 질소 또는 저밀도 절연막 중의 질소를 저밀도 절연막을 통해 외부로 더욱 많이 배출할 수 있다. 이 때 에너지파가 전자빔 또는 자외선이면, 전술한 효과를 확실히 얻을 수 있다.In this way, the film quality of the low density insulating film can be stabilized, and at the same time, more nitrogen in the low dielectric constant film or more nitrogen in the low density insulating film can be discharged to the outside through the low density insulating film. At this time, if the energy waves are electron beams or ultraviolet rays, the above-described effects can be reliably obtained.

제 1, 제 2, 제 3 또는 제 4 전자디바이스 제조방법에 있어서, 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것이 바람직하다.In the first, second, third or fourth electronic device manufacturing method, the low dielectric constant film is preferably a carbon-containing silicon oxide film or a porous film.

이와 같이 하면, 배선간 용량을 확실하게 저감할 수 있다. 또 탄소 함유 실리콘산화막으로는 SiOC막을 이용해도 된다.In this way, the capacitance between wirings can be reliably reduced. As the carbon-containing silicon oxide film, an SiOC film may be used.

(제 1 실시형태)(1st embodiment)

이하 본 발명의 제 1 실시형태에 관한 전자디바이스 및 그 제조방법에 대해 도면을 참조하면서 설명하기로 한다.EMBODIMENT OF THE INVENTION Hereinafter, the electronic device which concerns on 1st Embodiment of this invention, and its manufacturing method are demonstrated, referring drawings.

도 1은, 제 1 실시형태에 관한 전자디바이스의 배선구조를 나타내는 단면도이다.1 is a cross-sectional view showing a wiring structure of an electronic device according to the first embodiment.

도 1에 나타내는 바와 같이, 예를 들어 실리콘으로 이루어지는 기판(100) 상에 형성된 하층절연막(101) 중에, 예를 들어 질화탄탈/탄탈 적층막(102a) 및 구리막(102b)으로 구성되는 하층금속배선(102)이 형성된다. 하층금속배선(102) 상 및 하층절연막(101) 상에는, 예를 들어 실리콘질화탄화막으로 이루어지는 제 1 질소 함유 절연막(103)이 형성된다. 제 1 질소 함유 절연막(103) 상에는, 예를 들어 실리콘산화막으로 이루어지는 제 1 질소 비함유 절연막(104)이 형성된다. 제 1 질소 비함유 절연막(104) 상에는, 예를 들어 탄소 함유 실리콘산화막으로 이루어지는 저유전율막(105)이 형성된다. 저유전율막(105) 상에는, 예를 들어 실리콘산화막으로 이루어지는 제 2 질소 비함유 절연막(106)이 형성된다. 제 2 질소 비함유 절연막(106) 상에는, 예를 들어 질화실리콘산화막으로 이루어지는 제 2 질소 함유 절연막(107)이 형성된다. 제 1 질소 함유 절연막(103), 제 1 질소 비함유 절연막(104) 및 저유전율막(105)(하부)에는, 하층금속배선(102)에 달하는 비어홀(108)이 형성된다. 저유전율막(105)(상부), 제 2 질소 비함유 절연막(106) 및 제 2 질소 함유 절연막(107)에는, 비어홀(108)과 접속하는 배선 홈(109)이 형성된다. 비어홀(108) 및 배선 홈(109)에는, 질화탄탈/탄탈 적층막(110) 및 구리막(111)이 순차 형성되며, 이로써 비어플러그(112) 및 상층금속배선(113)이 형성된다. 비어플러그(112)는, 하층금속배선(102)과 상층금속배선(113)을 접속한다.As shown in FIG. 1, in the lower insulating film 101 formed on the board | substrate 100 which consists of silicon | silicone, for example, the underlayer metal comprised from the tantalum nitride / tantalum laminated film 102a and the copper film 102b, for example. The wiring 102 is formed. On the lower metal wiring 102 and the lower insulating film 101, for example, a first nitrogen-containing insulating film 103 made of a silicon nitride carbide film is formed. On the first nitrogen-containing insulating film 103, a first nitrogen-free insulating film 104 made of, for example, a silicon oxide film is formed. On the first nitrogen-free insulating film 104, a low dielectric constant film 105 made of, for example, a carbon-containing silicon oxide film is formed. On the low dielectric constant film 105, a second nitrogen-free insulating film 106 made of, for example, a silicon oxide film is formed. On the second nitrogen-free insulating film 106, a second nitrogen-containing insulating film 107 made of, for example, a silicon nitride oxide film is formed. In the first nitrogen-containing insulating film 103, the first nitrogen-free insulating film 104, and the low dielectric constant film 105 (lower), a via hole 108 reaching the lower metal wiring 102 is formed. In the low dielectric constant film 105 (upper part), the second nitrogen-free insulating film 106, and the second nitrogen-containing insulating film 107, wiring grooves 109 for connecting with the via hole 108 are formed. In the via hole 108 and the wiring groove 109, the tantalum nitride / tantalum laminated film 110 and the copper film 111 are sequentially formed, whereby the via plug 112 and the upper metal wiring 113 are formed. The via plug 112 connects the lower metal wiring 102 and the upper metal wiring 113.

도 2의 (a)∼(f)는, 제 1 실시형태에 관한 전자디바이스의 제조방법, 즉 도 1에 나타내는 전자디바이스를 제조하기 위한 방법의 각 공정을 나타내는 단면도이다.2 (a) to 2 (f) are cross-sectional views showing respective steps of the method for manufacturing the electronic device according to the first embodiment, that is, the method for manufacturing the electronic device shown in FIG.

우선 도 2의 (a)에 나타내는 바와 같이, 예를 들어 실리콘으로 이루어지는 기판(100) 상에, 예를 들어 실리콘산화막으로 이루어지는 하층절연막(101)을 형성한 후, 하층절연막(101)에 예를 들어 질화탄탈/탄탈 적층막(102a) 및 구리막(102b)으로 구성되는 하층금속배선(102)을 매입한다. 구체적으로는, 하층절연막(101) 형성 후 하층절연막(101) 상에, 하층금속배선용 홈 패턴을 갖는 레지스트막(도시 생략)을 포토리소그래피법으로 형성한 후, 이 레지스트막을 마스크로, 하층절연막(101)에 드라이에칭을 실시하여 배선 홈을 형성한다. 그 후 이 배선 홈이 완전히 매입되도록 하층절연막(101) 상에 질화탄탈/탄탈 적층막(102a) 및 구리막(102b)을 순차 적층시킨 후, 이 배선 홈 외측의 적층막(102a) 및 구리막(102b)을 CMP로 제거하여 하층금속배선(102)을 형성한다.First, as shown in Fig. 2A, for example, a lower insulating film 101 made of, for example, a silicon oxide film is formed on a substrate 100 made of silicon, and then an example is given to the lower insulating film 101. For example, the lower metal wiring 102 composed of the tantalum nitride / tantalum laminated film 102a and the copper film 102b is embedded. Specifically, after the lower insulating film 101 is formed, a resist film (not shown) having a lower metal wiring groove pattern is formed on the lower insulating film 101 by a photolithography method, and then the resist film is used as a mask to form a lower insulating film ( Dry etching is carried out to 101 to form wiring grooves. After that, the tantalum nitride / tantalum laminated film 102a and the copper film 102b are sequentially stacked on the lower insulating film 101 so that the wiring grooves are completely filled, and then the laminated film 102a and the copper film outside the wiring grooves are sequentially stacked. 102b is removed with CMP to form lower metallization 102.

다음으로 도 2의 (a)에 나타내는 바와 같이, 하층절연막(101) 상 및 하층금속배선(102) 상에, 예를 들어 실리콘질화탄화막으로 이루어지는, 두께 50nm의 제 1 질소 함유 절연막(103)을 퇴적시킨다.Next, as shown in FIG. 2A, the first nitrogen-containing insulating film 103 having a thickness of 50 nm, for example, formed of a silicon nitride carbide film on the lower insulating film 101 and the lower metal wiring 102. To be deposited.

다음에 도 2의 (b)에 나타내는 바와 같이, 제 1 질소 함유 절연막(103) 상에, 예를 들어 실리콘산화막으로 이루어지는, 두께 50nm의 제 1 질소 비함유 절연막(104)을 퇴적시킨다. 이 때 예를 들어 TEOS를 이용한 플라즈마CVD법으로, 제 1 질소 비함유 절연막(104)이 될 실리콘산화막을 퇴적시킨다. 그 후, 제 1 질소 비함유 절연막(104) 상에, 예를 들어 탄소 함유 실리콘산화막으로 이루어지는, 두께 450nm의 저유전율막(105)을 퇴적시킨 후, 저유전율막(105) 상에 예를 들어 실리콘산화막으로 이루어지는 두께 30nm의 제 2 질소 비함유 절연막(106)을 퇴적시킨다. 이 때 예를 들어 TEOS를 이용한 플라즈마CVD법으로, 제 2 질소 비함유 절연막(106)이 될 실리콘산화막을 퇴적시킨다. 그 후, 제 2 질소 비함유 절연막(106) 상에, 예를 들어 질화실리콘산화막으로 이루어지는, 두께 50nm의 제 2 질소 함유 절연막(107)을 퇴적시킨다. 여기서 제 2 질소 함유 절연막(107)이 될 질화실리콘산화막은, 나중의 포토리소그래피 공정에서 반사방지막으로서 기능한다. 또 반사방지막이 될 질화실리콘산화막의 막 두께는, 0.18㎛보다 큰 룰에서는 60nm 이상 100nm 이하인 것이 바람직하며, 0.18㎛ 이하의 룰에서는 30nm 이상 70nm 이하인 것이 바람직하다. 그리고 제 2 질소 함유 절연막(107)으로서 질화실리콘산화막 이외의 다른 재료막을 이용할 경우에는, 제 2 질소 함유 절연막(107)의 광학 막 두께(=[제 2 질소 함유 절연막(107) 굴절률의 실수부]×[제 2 질소 함유 절연막(107)의 막 두께(물리적 막 두께)])를, [질화실리콘산화막 굴절률의 실수부]에서 제한 값이 전술한 범위가 되도록 제 2 질소 함유 절연막(107)의 막 두께를 설정하는 것이 바람직하다.Next, as shown in FIG. 2B, a first nitrogen-free insulating film 104 having a thickness of 50 nm, for example, a silicon oxide film is deposited on the first nitrogen-containing insulating film 103. At this time, a silicon oxide film to be the first nitrogen-free insulating film 104 is deposited by, for example, a plasma CVD method using TEOS. Thereafter, a 450 nm-thick low dielectric constant film 105 made of, for example, a carbon-containing silicon oxide film is deposited on the first nitrogen-free insulating film 104, and then, for example, on the low dielectric constant film 105. A second nitrogen-free insulating film 106 having a thickness of 30 nm made of a silicon oxide film is deposited. At this time, a silicon oxide film to be the second nitrogen-free insulating film 106 is deposited by, for example, a plasma CVD method using TEOS. Thereafter, a second nitrogen-containing insulating film 107 having a thickness of 50 nm, for example, a silicon nitride oxide film is deposited on the second nitrogen-free insulating film 106. The silicon nitride oxide film to be the second nitrogen-containing insulating film 107 here functions as an antireflection film in a later photolithography step. The thickness of the silicon nitride oxide film to be an antireflection film is preferably 60 nm or more and 100 nm or less in a rule larger than 0.18 μm, and preferably 30 nm or more and 70 nm or less in a rule of 0.18 μm or less. When the material film other than the silicon nitride oxide film is used as the second nitrogen-containing insulating film 107, the optical film thickness of the second nitrogen-containing insulating film 107 (= [real part of refractive index of the second nitrogen-containing insulating film 107]] X [film thickness (physical film thickness) of the second nitrogen-containing insulating film 107]), the film of the second nitrogen-containing insulating film 107 so that the limit value in the [real part of the silicon nitride oxide film refractive index] is in the above-mentioned range. It is desirable to set the thickness.

다음으로, 제 2 질소 함유 절연막(107) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 포토리소그래피를 실시함으로써, 홀 패턴을 갖는 레지스트막(도시 생략)을 형성한다. 그 후 이 레지스트막을 마스크로 하여, 제 2 질소 함유 절연막(107), 제 2 질소 비함유 절연막(106), 저유전율막(105) 및 제 1 질소 비함유 절연막(104)에 순차 드라이에칭을 실시한 후, 에싱으로 포토레지스트를 제거한다. 이로써 도 2의 (c)에 나타내는 바와 같이 비어홀(108)이 형성된다.Next, a photoresist is applied on the second nitrogen-containing insulating film 107, and photolithography is performed on the coated photoresist to form a resist film (not shown) having a hole pattern. Thereafter, using the resist film as a mask, dry etching was sequentially performed on the second nitrogen-containing insulating film 107, the second nitrogen-free insulating film 106, the low dielectric constant film 105, and the first nitrogen-free insulating film 104. The photoresist is then removed by ashing. As a result, as shown in FIG. 2C, the via hole 108 is formed.

다음에, 도 2의 (d)에 나타내는 바와 같이, 비어홀(108)에 예를 들어 유기재료로 이루어지는 더미플러그(114)를 형성한다. 본 실시형태에서는, 더미플러그(114) 상면이, 저유전율막(105)과 제 2 질소 비함유 절연막(106)과의 계면보다 높아지도록, 더미플러그(114)를 형성한다. 또 본 실시형태에서, 더미플러그(114) 형성은 필수 공정은 아니다. 그 후 제 2 질소 함유 절연막(107) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 포토리소그래피(노광 및 현상)를 실시함으로써, 원하는 홈 패턴을 갖는 레지스트막(115), 구체적으로는 배선 홈(109)(도 2의 (e) 참조)과 대응하는 개구부(115a)를 갖는 레지스트막(115)을 형성한다. 여기서 개구부(115a) 형성영역은 비어홀(108)이 형성된 영역을 포함한다.Next, as shown in FIG. 2 (d), a dummy plug 114 made of, for example, an organic material is formed in the via hole 108. In this embodiment, the dummy plug 114 is formed so that the upper surface of the dummy plug 114 is higher than the interface between the low dielectric constant film 105 and the second nitrogen-free insulating film 106. In the present embodiment, the dummy plug 114 is not an essential process. Thereafter, a photoresist is applied on the second nitrogen-containing insulating film 107, and photolithography (exposure and development) is applied to the coated photoresist, whereby the resist film 115 having a desired groove pattern, specifically, wiring A resist film 115 having an opening 115a corresponding to the groove 109 (see FIG. 2E) is formed. The opening 115a forming region includes a region in which the via hole 108 is formed.

다음, 더미플러그(114) 및 홈 패턴을 갖는 레지스트막(115)을 마스크로, 제 2 질소 함유 절연막(107), 제 2 질소 비함유 절연막(106), 및 저유전율막(105)(상부)에 대해 순차 드라이에칭을 실시한다. 이로써 도 2의 (e)에 나타내는 바와 같이, 비어홀(108)과 접속하는 배선 홈(109)이 형성된다. 단, 전술한 드라이에칭 후, 더미플러그(114) 및 레지스트막(115)을 제거하여 세정을 실시한다.Next, the second nitrogen-containing insulating film 107, the second nitrogen-free insulating film 106, and the low dielectric constant film 105 (upper) are formed using the dummy plug 114 and the resist film 115 having the groove pattern as a mask. Dry etching is performed sequentially. Thereby, as shown in FIG.2 (e), the wiring groove 109 which connects with the via hole 108 is formed. However, after the dry etching described above, the dummy plug 114 and the resist film 115 are removed and washed.

다음으로, 실리콘질화탄화막으로 이루어지는 제 1 질소 함유 절연막(103)의 비어홀(108) 하측 부분을 전면 에치백으로 제거한다. 그 후 비어홀(108) 및 배선 홈(109)이 완전히 매입되도록 제 2 질소 함유 절연막(107) 상에, 질화탄탈/탄탈 적층막(110) 및 구리막(111)을 순차 적층시킨 후, 배선 홈(109) 외측의 적층막(110) 및 구리막(111)을 CMP로 제거한다. 이로써 도 2의 (f)에 나타내는 바와 같이, 비어홀(108)에 비어플러그(112)가 형성됨과 동시에 배선 홈(109)에 상층금속배선(113)이 형성된다. 여기서 제 2 질소 함유 절연막(107) 및 제 2 질소 비함유 절연막(106)에 대해서는 최종적으로 반드시 잔존시킬 필요는 없으므로, 전술한 전면 에치백 또는 CMP로 이들을 완전히 또는 부분적으로 제거해도 된다.Next, the lower portion of the via hole 108 of the first nitrogen-containing insulating film 103 made of the silicon nitride carbide film is removed by the front etch back. After that, the tantalum nitride / tantalum laminated film 110 and the copper film 111 are sequentially stacked on the second nitrogen-containing insulating film 107 so that the via hole 108 and the wiring groove 109 are completely embedded, and then the wiring groove is formed. (109) The laminated film 110 and the copper film 111 on the outside are removed by CMP. As a result, as shown in FIG. 2F, the via plug 112 is formed in the via hole 108, and the upper metal wiring 113 is formed in the wiring groove 109. In this case, the second nitrogen-containing insulating film 107 and the second nitrogen-free insulating film 106 do not necessarily have to remain at last, and thus, these may be completely or partially removed by the above-described front etch back or CMP.

이상 설명한 바와 같이, 제 1 실시형태에 의하면 저유전율막(탄소 함유 실리콘산화막)(105)과, 그 아래쪽의 제 1 질소 함유 절연막(실리콘질화탄화막)(103) 사이에 제 1 질소 비함유 절연막(104)을 개재시키므로, 제 1 질소 함유 절연막(103)을 이용함에도 불구하고, 후술하는 3 가지 이유에 의해 레지스트 피독을 억제할 수 있다.As described above, according to the first embodiment, the first nitrogen-free insulating film is provided between the low dielectric constant film (carbon-containing silicon oxide film) 105 and the first nitrogen-containing insulating film (silicon nitride carbide film) 103 below it. Since 104 is interposed, resist poisoning can be suppressed for the following three reasons, despite using the first nitrogen-containing insulating film 103.

(1) 제 1 질소 함유 절연막(103)과 저유전율막(105)이 직접 접촉하는 일이 없으므로, 저유전율막(105) 중으로 질소가 도입되는 것을 억제할 수 있다. 이로써 배선 홈(109)을 형성하기 위한 리소그래피 공정에서 비어홀(108)을 경유하여 아민 등이 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다.(1) Since the first nitrogen-containing insulating film 103 and the low dielectric constant film 105 do not directly contact each other, introduction of nitrogen into the low dielectric constant film 105 can be suppressed. As a result, in the lithography process for forming the wiring grooves 109, amines and the like can be diffused into the resist via the via holes 108, that is, resist poisoning can be prevented.

(2) 제 1 질소 비함유 절연막(104)으로서, 예를 들어 TEOS를 이용한 플라즈마CVD법으로 실리콘산화막을 형성하므로, 이 실리콘산화막의 밀도(약 2.3g/㎤)는 저유전율막(105) 즉 탄소 함유 실리콘산화막의 밀도보다 높아진다. 이로써 이 실리콘산화막으로 이루어지는 제 1 질소 비함유 절연막(104)이 질소에 대한 방지층으로서 기능하므로, 저유전율막(105) 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.(2) As the first nitrogen-free insulating film 104, for example, a silicon oxide film is formed by a plasma CVD method using TEOS, the density of the silicon oxide film (about 2.3 g / cm &lt; 3 &gt;) is low dielectric constant film 105, i.e. It becomes higher than the density of a carbon containing silicon oxide film. As a result, since the first nitrogen-free insulating film 104 made of the silicon oxide film functions as a prevention layer against nitrogen, the introduction of nitrogen into the low dielectric constant film 105 can be more surely suppressed.

(3) 제 1 질소 비함유 절연막(104)을, 플라즈마CVD법을 이용해 퇴적시키기 때문에, 그 아래쪽의 제 1 질소 함유 절연막(103) 즉 실리콘질화탄화막의 막질을 안정화시킬 수 있으므로, 제 1 질소 함유 절연막(103) 중에 함유된 질소가 유리되기 어려워진다. 구체적으로는, 실리콘질화탄화막이 산소를 함유한 플라즈마에 노출되므로, 실리콘질화탄화막의 표면부가 산화되어 그 막 밀도가 높아지고, 그 결과 이 표면부가 실리콘질화탄화막 중 질소의 확산방지 기능을 한다. 따라서 저유전율막(105) 중으로 질소가 도입되는 것을 더욱 확실하게 억제할 수 있다.(3) Since the first nitrogen-free insulating film 104 is deposited by the plasma CVD method, the film quality of the first nitrogen-containing insulating film 103, ie, the silicon nitride carbide film, beneath it can be stabilized, so that the first nitrogen-containing insulating film 104 is deposited. Nitrogen contained in the insulating film 103 becomes difficult to be released. Specifically, since the silicon nitride carbide film is exposed to oxygen-containing plasma, the surface portion of the silicon nitride carbide film is oxidized to increase the film density, and as a result, the surface portion serves to prevent diffusion of nitrogen in the silicon nitride carbide film. Therefore, introduction of nitrogen into the low dielectric constant film 105 can be suppressed more reliably.

제 1 실시형태에서는 이상 서술한 효과에 의해, 레지스트 피독을 억제하면서 저유전율막(105) 아래쪽에, 막질이 양호한 제 1 질소 함유 절연막(103)(예를 들어 실리콘질화탄화막)을 형성할 수 있다. 따라서 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다. 또 제 1 질소 함유 절연막(103)이 될 실리콘질화탄화막은 산소를 함유하지 않으므로, 하층금속배선(102)을 구성하는 구리막(102b) 상에 제 1 질소 함유 절연막(103)을 퇴적시킬 경우에 구리막(102b)이 산화되는 일은 없다.In the first embodiment, the first nitrogen-containing insulating film 103 (for example, silicon nitride carbide film) having a good film quality can be formed under the low dielectric constant film 105 while suppressing resist poisoning by the above-described effects. have. Therefore, it is possible to prevent the increase of the leakage current or the change in film quality over time. Since the silicon nitride carbide film to be the first nitrogen-containing insulating film 103 does not contain oxygen, when the first nitrogen-containing insulating film 103 is deposited on the copper film 102b constituting the lower metal wiring 102. The copper film 102b does not oxidize.

또한 제 1 실시형태에 의하면, 저유전율막(탄소 함유 실리콘산화막)(105)과, 그 위쪽의 제 2 질소 함유 절연막(질화실리콘산화막)(107) 사이에 제 2 질소 비함유 절연막(106)을 개재하므로, 제 2 질소 함유 절연막(107)을 이용함에도 불구하고, 후술하는 3 가지 이유에 의해 레지스트 피독을 억제할 수 있다.According to the first embodiment, the second nitrogen-free insulating film 106 is interposed between the low dielectric constant film (carbon-containing silicon oxide film) 105 and the second nitrogen-containing insulating film (silicon nitride oxide film) 107 thereon. Since it interposes, resist poisoning can be suppressed for three reasons mentioned later, although the 2nd nitrogen containing insulating film 107 is used.

(1) 제 2 질소 함유 절연막(107)과 저유전율막(105)이 직접 접촉하는 일이 없으므로, 저유전율막(105) 중으로 질소가 도입되는 것을 억제할 수 있다. 이로써 배선 홈(109)을 형성하기 위한 리소그래피 공정에서 비어홀(108)을 경유하여 아민 등이 레지스트 중으로 확산되는 것, 즉 레지스트 피독을 방지할 수 있다.(1) Since the second nitrogen-containing insulating film 107 and the low dielectric constant film 105 do not directly contact each other, introduction of nitrogen into the low dielectric constant film 105 can be suppressed. As a result, in the lithography process for forming the wiring grooves 109, amines and the like can be diffused into the resist via the via holes 108, that is, resist poisoning can be prevented.

(2) 제 2 질소 비함유 절연막(106)으로서, 예를 들어 TEOS를 이용한 플라즈마CVD법으로 실리콘산화막을 형성하므로, 이 실리콘산화막의 밀도(약 2.3g/㎤)는 저유전율막(105) 즉 탄소 함유 실리콘산화막의 밀도보다 높아진다. 이로써 이 실리콘산화막으로 이루어지는 제 2 질소 비함유 절연막(106)이 질소에 대한 방지층으로서 기능하므로, 저유전율막(105) 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.(2) As the second nitrogen-free insulating film 106, a silicon oxide film is formed by, for example, plasma CVD using TEOS, so that the density of the silicon oxide film (about 2.3 g / cm &lt; 3 &gt;) is low dielectric constant film 105, i.e. It becomes higher than the density of a carbon containing silicon oxide film. As a result, since the second nitrogen-free insulating film 106 made of the silicon oxide film functions as a prevention layer against nitrogen, the introduction of nitrogen into the low dielectric constant film 105 can be more surely suppressed.

(3) 저유전율막(105) 상에 제 2 질소 비함유 절연막(106)을 개재하고 제 2 질소 함유 절연막(107)을 형성하므로, 저유전율막(105) 형성 후에 질소를 포함하는 분위기(플라즈마 등)에 저유전율막(105)이 직접 노출되는 일이 없다. 이로써 저유전율막(105) 중으로 질소가 도입되는 것을 보다 확실하게 억제할 수 있다.(3) Since the second nitrogen-containing insulating film 107 is formed on the low dielectric constant film 105 via the second nitrogen-free insulating film 106, an atmosphere containing nitrogen after the low dielectric constant film 105 is formed (plasma) Etc.) does not directly expose the low dielectric constant film 105. This can more reliably suppress the introduction of nitrogen into the low dielectric constant film 105.

제 1 실시형태에서는 이상 서술한 효과에 의해, 레지스트 피독을 억제하면서 저유전율막(105) 위쪽에, 막질이 양호한 제 2 질소 함유 절연막(107)(예를 들어 실리콘질화탄화막)을 형성할 수 있다. 따라서 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다. 또 제 2 질소 함유 절연막(107)으로서, 반사방지 효과를 갖는 질화실리콘산화막을 이용하므로, 비어홀(108) 및 배선 홈(109)을 형성하기 위한 리소그래피 공정 시, 예를 들어 유기재료로 이루어지는 반사방지막을 별도로 형성할 필요가 없어 공정 수를 삭감할 수 있다. 이 때 레지스트에 대한 제 2 질소 함유 절연막(107)의 선택비 확보도 용이해지므로, 제 2 질소 함유 절연막(107)의 에칭이 용이해진다. 또 전술한 바와 같이, 배선 홈(109) 형성 시에 반사방지막을 도포할 필요가 없으므로, 더미플러그(114) 상면을, 형성하고자 하는 배선 홈(109) 저면과 동등한 높이로 설정해둘 수 있다. 이로써 배선 홈(109)을 형성하기 위한 에칭을 실시했을 때, 배선 홈(109) 저면의 비어홀(108) 근방에 울타리 형상의 잔존이 발생하는 사태를 방지할 수 있다.In the first embodiment, the second nitrogen-containing insulating film 107 (for example, silicon nitride carbide film) having a good film quality can be formed above the low dielectric constant film 105 while suppressing resist poisoning by the above-described effects. have. Therefore, it is possible to prevent the increase of the leakage current or the change in film quality over time. In addition, since the silicon nitride oxide film having an antireflection effect is used as the second nitrogen-containing insulating film 107, an antireflection film made of, for example, an organic material during the lithography process for forming the via hole 108 and the wiring groove 109. It is not necessary to form separately so that the number of processes can be reduced. At this time, the selection ratio of the second nitrogen-containing insulating film 107 to the resist is also easily secured, so that the etching of the second nitrogen-containing insulating film 107 is easy. As described above, since the antireflection film is not required to be applied when the wiring grooves 109 are formed, the upper surface of the dummy plug 114 can be set to the same height as the bottom surface of the wiring grooves 109 to be formed. Thereby, when etching for forming the wiring grooves 109 is performed, a situation in which a fence-shaped remainder is generated in the vicinity of the via hole 108 in the bottom surface of the wiring grooves 109 can be prevented.

또 제 1 실시형태에 의하면, 배선 홈(109) 형성이 종료될 때까지, 비어홀(108) 아래쪽에, 바꾸어 말하면, 하층금속배선(102) 위쪽에 제 1 질소 함유 절연막(103)을 잔존시켜둔다. 이로써 에칭이나 에싱에 의한 하층금속배선(102)의 손상(예를 들어 하층금속배선(102) 표면의 산화)을 저감할 수 있다.According to the first embodiment, the first nitrogen-containing insulating film 103 remains under the via hole 108, in other words, above the lower metal wiring 102 until the formation of the wiring groove 109 is completed. . As a result, damage to the lower metal wiring 102 due to etching or ashing (for example, oxidation of the surface of the lower metal wiring 102) can be reduced.

또한 제 1 실시형태에 의하면, 배선 홈(109)을 형성하기 위한 포토리소그래피를 실행하기 전에, 비어홀(108)에 더미플러그(114)를 형성한다. 이로써 더미플러그(114)에 의해, 저유전율막(105)과 제 1 및 제 2 질소 비함유 절연막(104 및 106)의 계면부를 포함하는 비어홀(108) 벽면을 피복할 수 있다. 즉 이 계면부나 비어홀(108) 벽면의 손상층이 더미플러그(114)로 피복되므로, 이 계면부 또는 이 손상층으로부터 비어홀(108) 내로의 질소 확산을 억제할 수 있으므로, 레지스트 피독을 보다 확실하게 방지할 수 있다. 또 비어홀(108)에 더미플러그(114)를 형성해둠으로써, 도포될 레지스트 표면을 평탄화할 수 있으므로, 포토리소그래피로 얻어지는 패턴의 정밀도를 향상시킬 수 있다.Further, according to the first embodiment, the dummy plug 114 is formed in the via hole 108 before the photolithography for forming the wiring groove 109 is performed. As a result, the dummy plug 114 can cover the wall surface of the via hole 108 including the interface portion between the low dielectric constant film 105 and the first and second nitrogen-free insulating films 104 and 106. That is, since the damage layer of the interface portion or the wall of the via hole 108 is covered with the dummy plug 114, the diffusion of nitrogen from the interface portion or the damage layer into the via hole 108 can be suppressed, so that resist poisoning is more reliably performed. It can prevent. In addition, by forming the dummy plug 114 in the via hole 108, the surface of the resist to be applied can be planarized, so that the accuracy of the pattern obtained by photolithography can be improved.

여기서 제 1 실시형태에 있어서, 제 2 질소 함유 절연막(107)으로서 반사방지 효과를 갖는 질화실리콘산화막을 이용하지만, 이 대신 질소를 함유하는(정확하게는 막 중에 함유되는 질소가 1×1019atoms/㎤ 이상인) 다른 종류의 절연막을 이용해도 된다. 예를 들어 제 2 질소 함유 절연막(107)으로 실리콘질화막을 이용할 경우, 비어홀(108) 또는 배선 홈(109)을 형성하기 위한 에칭공정에서 제 2 질소 함유 절연막(107)을 하드마스크로 이용할 수 있다. 이는 저유전율막(105)으로서 다공질막이나 탄소 함유농도가 더 높은 막 등(즉 유전율이 더욱 낮은 절연막)을 이용할 경우에 효과적이다. 또 제 2 질소 함유 절연막(107)으로 실리콘질화탄화막(SiCN막)을 이용해도 된다.Here, in the first embodiment, a silicon nitride oxide film having an antireflection effect is used as the second nitrogen-containing insulating film 107, but instead of nitrogen containing nitrogen (exactly, nitrogen contained in the film is 1 × 10 19 atoms / Other types of insulating films (cm 3 or more) may be used. For example, when the silicon nitride film is used as the second nitrogen-containing insulating film 107, the second nitrogen-containing insulating film 107 may be used as a hard mask in an etching process for forming the via hole 108 or the wiring groove 109. . This is effective in the case of using a porous film, a film having a higher carbon-containing concentration (that is, an insulating film having a lower dielectric constant) or the like as the low dielectric film 105. Alternatively, a silicon nitride carbide film (SiCN film) may be used as the second nitrogen-containing insulating film 107.

또한 제 1 실시형태에 있어서, 제 1 질소 함유 절연막(103)으로서 실리콘질화탄화막을 이용하지만, 이 대신 질소를 함유하는 다른 종류의 절연막, 예를 들어 실리콘질화막(SiN막)을 이용해도 된다.In addition, in the first embodiment, a silicon nitride carbide film is used as the first nitrogen-containing insulating film 103, but another type of insulating film containing nitrogen, for example, a silicon nitride film (SiN film) may be used.

또 제 1 실시형태에 있어서, 제 1 질소 비함유 절연막(104) 또는 제 2 질소 비함유 절연막(106)으로서 실리콘산화막을 이용하지만, 이 대신 질소를 함유하지 않는(정확하게는 막 중에 함유되는 질소가 1×1019atoms/㎤ 미만인) 다른 종류의 절연막, 예를 들어 산소 첨가 실리콘탄화막(SiCO막) 또는 실리콘탄화막(SiC막)을 이용해도 된다.In the first embodiment, the silicon oxide film is used as the first nitrogen-free insulating film 104 or the second nitrogen-free insulating film 106, but instead of nitrogen (exactly, nitrogen contained in the film is Other kinds of insulating films (less than 1 × 10 19 atoms / cm 3), for example, oxygenated silicon carbide films (SiCO films) or silicon carbide films (SiC films) may be used.

또한 제 1 실시형태에 있어서, 저유전율막(105)이 될 탄소 함유 실리콘산화막으로서, 예를 들어 SiOC막을 이용할 수 있다.In addition, in the first embodiment, for example, an SiOC film can be used as the carbon-containing silicon oxide film to be the low dielectric constant film 105.

또 제 1 실시형태에 있어서, 저유전율막(105)(그 하면)과 제 1 질소 비함유 절연막(104)(그 상면)이 직접 접해 있지만, 저유전율막(105)과 제 1 질소 비함유 절연막(104) 사이에 추가로 다른 질소 비함유 절연막을 형성해도 된다. 마찬가지로 저유전율막(105)(그 상면)과 제 2 질소 비함유 절연막(106)(그 하면)이 직접 접해 있지만, 저유전율막(105)과 제 2 질소 비함유 절연막(106) 사이에 추가로 다른 질소 비함유 절연막을 형성해도 된다.In the first embodiment, the low dielectric constant film 105 (lower surface) and the first nitrogen-free insulating film 104 (upper surface) directly contact each other, but the low dielectric constant film 105 and the first nitrogen-free insulating film are directly in contact with each other. Another nitrogen-free insulating film may be further formed between the 104 and 104 layers. Similarly, the low dielectric constant film 105 (upper surface) and the second nitrogen-free insulating film 106 (lower surface) are in direct contact with each other, but are further provided between the low dielectric constant film 105 and the second nitrogen-free insulating film 106. Another nitrogen-free insulating film may be formed.

또한 제 1 실시형태에 있어서, 비어플러그(112)로 접속되는 하층금속배선(102) 및 상층금속배선(113)으로 구성되는 배선구조의 형성을 대상으로 하지만, 본 발명은 이에 한정되는 것은 아니다. 예를 들어 콘택트플러그로 접속되는 트랜지스터(그 확산층) 및 커패시터(그 하부전극)로 구성되는 메모리셀 구조의 형성을 대상으로 해도 됨은 물론이다.In the first embodiment, the formation of the wiring structure composed of the lower metal wiring 102 and the upper metal wiring 113 connected by the via plug 112 is intended, but the present invention is not limited thereto. For example, it is a matter of course to form a memory cell structure composed of a transistor (its diffusion layer) and a capacitor (its lower electrode) connected by a contact plug.

-비교예-Comparative Example

이하 제 1 실시형태에 대한 비교예로서, 저유전율막과 질소 함유 절연막이 직접 접한 배선간 절연막 구조를 갖는 전자디바이스 및 그 제조방법에 대해 설명한다(히가시 가즈유키(東 和幸) 외, Proceedings of the 2002 International Interconnect Technology Conference, p15-17 참조).Hereinafter, as a comparative example of the first embodiment, an electronic device having an inter-wire insulating film structure in which a low dielectric constant film and a nitrogen-containing insulating film directly contact each other, and a manufacturing method thereof will be described (Higashi Kazuyuki et al., Proceedings of the 2002 International Interconnect Technology Conference, pp. 15-17).

도 3은 비교예에 관한 전자디바이스의 배선구조를 나타내는 단면도이다.3 is a cross-sectional view showing a wiring structure of an electronic device according to a comparative example.

도 3에 나타내는 바와 같이, 실리콘기판(도시 생략) 상에 형성된 제 1 절연막(21) 중에, 질화탄탈/탄탈 적층막(22a) 및 구리막(22b)으로 구성되는 하층금속배선(22)이 형성된다. 하층금속배선(22) 상 및 제 1 절연막(21) 상에는, 실리콘질화탄화막으로 이루어지는 제 2 절연막(질소 함유 절연막)(23)이 형성된다. 제 2 절연막(23) 상에는 탄소 함유 실리콘산화막으로 이루어지는 제 3 절연막(저유전율막)(24)이 형성된다. 제 3 절연막(24) 상에는, 실리콘산화막으로 이루어지는 제 4 절연막(25)이 형성된다. 제 4 절연막(25)은, 질소 오염이 없는 플라즈마를 이용하여 형성된다. 제 2 절연막(23) 및 제 3 절연막(24)(적어도 하부)에는, 하층금속배선(22)에 달하는 비어홀(26)이 형성된다. 제 3 절연막(24)(상부) 및 제 4 절연막(25)에는, 비어홀(26)과 접속하는 배선 홈(27)이 형성된다. 비어홀(26) 및 배선 홈(27)에는, 질화탄탈/탄탈 적층막(28) 및 구리막(29)이 순차 형성되며, 이로써 비어플러그(30) 및 상층금속배선(31)이 형성된다. 비어플러그(30)는 하층금속배선(22)과 상층금속배선(31)을 접속한다.As shown in FIG. 3, in the first insulating film 21 formed on the silicon substrate (not shown), the lower metal wiring 22 formed of the tantalum nitride / tantalum laminated film 22a and the copper film 22b is formed. do. On the lower metal wiring 22 and the first insulating film 21, a second insulating film (nitrogen-containing insulating film) 23 made of a silicon nitride carbide film is formed. On the second insulating film 23, a third insulating film (low dielectric constant film) 24 made of a carbon-containing silicon oxide film is formed. On the third insulating film 24, a fourth insulating film 25 made of a silicon oxide film is formed. The fourth insulating film 25 is formed using a plasma free of nitrogen contamination. In the second insulating film 23 and the third insulating film 24 (at least in the bottom), a via hole 26 extending to the lower metal wiring 22 is formed. In the third insulating film 24 (upper part) and the fourth insulating film 25, wiring grooves 27 for connecting with the via holes 26 are formed. Tantalum nitride / tantalum laminated film 28 and copper film 29 are sequentially formed in via hole 26 and wiring groove 27, thereby forming via plug 30 and upper metal wiring 31. The via plug 30 connects the lower metal wiring 22 and the upper metal wiring 31.

도 4의 (a)∼(f)는, 비교예에 관한 전자디바이스의 제조방법, 즉 도 3에 나타내는 전자디바이스를 제조하기 위한 방법의 각 공정을 나타내는 단면도이다.4 (a) to 4 (f) are cross-sectional views showing respective steps of the method for manufacturing the electronic device according to the comparative example, that is, the method for manufacturing the electronic device shown in FIG.

우선 도 4의 (a)에 나타내는 바와 같이, 실리콘기판(도시 생략) 상에 제 1 절연막(21)을 형성한 후, 제 1 절연막(21)에 질화탄탈/탄탈 적층막(22a) 및 구리막(22b)으로 구성되는 하층금속배선(22)을 매입한다.First, as shown in FIG. 4A, after the first insulating film 21 is formed on a silicon substrate (not shown), the tantalum nitride / tantalum laminated film 22a and the copper film are formed on the first insulating film 21. An underlayer metal wiring 22 composed of 22b is embedded.

다음으로 도 4의 (b)에 나타내는 바와 같이, 제 1 절연막(21) 상 및 하층금속배선(22) 상에, 실리콘질화탄화막으로 이루어지는 제 2 절연막(23)을 퇴적시킨 후, 제 2 절연막(23)에 플라즈마 처리를 실시하여 제 2 절연막(23)의 막질을 안정화시킨다. 이어서 제 2 절연막(23) 상에 탄소 함유 실리콘산화막으로 이루어지는 제 3 절연막(24)을 퇴적시킨다. 그 후 제 3 절연막(24) 상에, 실리콘산화막으로 이루어지는 제 4 절연막(25)을 플라즈마CVD법으로 퇴적시킨 후, 제 4 절연막(25) 상에 유기반사방지막(32)을 형성한다. 여기서 제 4 절연막(25)은, 바탕이 될 제 3 절연막(24)에 대해 질소 오염이 없는 전처리를 실시한 후 질소 오염이 없는 플라즈마를 이용해 형성된다.Next, as shown in FIG.4 (b), after depositing the 2nd insulating film 23 which consists of a silicon nitride carbide film on the 1st insulating film 21 and the lower metal wiring 22, a 2nd insulating film Plasma treatment is performed on the 23 to stabilize the film quality of the second insulating film 23. Subsequently, a third insulating film 24 made of a carbon-containing silicon oxide film is deposited on the second insulating film 23. Thereafter, a fourth insulating film 25 made of a silicon oxide film is deposited on the third insulating film 24 by the plasma CVD method, and then an organic antireflection film 32 is formed on the fourth insulating film 25. Here, the fourth insulating film 25 is formed using a plasma free of nitrogen contamination after pretreatment without nitrogen contamination is performed on the third insulating film 24 to be the base.

다음에 유기반사방지막(32) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 포토리소그래피를 실시함으로써, 홀 패턴을 갖는 레지스트막(도시 생략)을 형성한다. 그 후 이 레지스트막을 마스크로, 유기반사방지막(32), 제 4 절연막(25) 및 제 3 절연막(24)에 순차 드라이에칭을 실시한 후, 에싱으로 포토레지스트 및 유기반사방지막(32)을 제거한다. 이로써 도 4의 (c)에 나타내는 바와 같이 비어홀(26)이 형성된다.Next, a photoresist is applied on the organic antireflection film 32, and photolithography is performed on the coated photoresist to form a resist film (not shown) having a hole pattern. After that, the organic anti-reflective film 32, the fourth insulating film 25 and the third insulating film 24 are sequentially subjected to dry etching using the resist film as a mask, and then the photoresist and the organic anti-reflective film 32 are removed by ashing. . As a result, as shown in FIG. 4C, the via hole 26 is formed.

다음으로 도 4의 (d)에 나타내는 바와 같이, 비어홀(26)이 완전히 매입되도록 제 4 절연막(25) 상에 하층 레지스트막(33)을 퇴적시킨 후, 하층 레지스트막(33) 상에 SOG(Spin on Glass)막(34)을 형성한다. 그 후, SOG막(34) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 포토리소그래피를 실시함으로써, 원하는 홈 패턴을 갖는 상층 레지스트막(35), 구체적으로는 배선 홈(27)(도 4의 (e) 참조)과 대응하는 개구부(35a)를 갖는 상층 레지스트막(35)을 형성한다.Next, as shown in FIG.4 (d), after depositing the lower resist film 33 on the 4th insulating film 25 so that the via hole 26 may be fully filled, SOG (on the lower resist film 33 is deposited). Spin on Glass) film 34 is formed. Thereafter, a photoresist is applied on the SOG film 34, and photolithography is performed on the coated photoresist, thereby forming the upper resist film 35 having a desired groove pattern, specifically, the wiring groove 27 (Fig. An upper resist film 35 having an opening 35a corresponding to 4 (e)) is formed.

다음, 홈 패턴을 갖는 상층 레지스트막(35)을 마스크로, SOG막(34)에 드라이에칭을 실시한다. 이어서 패터닝된(홈 패턴을 갖는) SOG막(34)을 마스크로, 하층 레지스트막(33), 제 4 절연막(25) 및 제 3 절연막(24)(상부)에 순차 드라이에칭을 실시한다. 이로써 도 4의 (e)에 나타내는 바와 같이 비어홀(26)과 접속하는 배선 홈(27)이 형성된다. 단 전술한 드라이에칭 후, 상층 레지스트막(35), SOG막(34) 및 하층 레지스트막(33)을 제거하고 세정을 실시한다.Next, dry etching is performed on the SOG film 34 using the upper resist film 35 having the groove pattern as a mask. Subsequently, the lower resist film 33, the fourth insulating film 25 and the third insulating film 24 (upper) are sequentially dry-etched using the patterned SOG film 34 as a mask. As a result, as shown in FIG. 4E, a wiring groove 27 to be connected to the via hole 26 is formed. However, after the dry etching described above, the upper resist film 35, the SOG film 34 and the lower resist film 33 are removed and washed.

다음으로, 제 2 절연막(23)에서의 비어 홀(26) 아래쪽 부분을 전면 에치백으로 제거한다. 그 후 비어홀(26) 및 배선 홈(27)이 완전히 매입되도록 제 4 절연막(25) 상에, 질화탄탈/탄탈 적층막(28) 및 구리막(29)을 순차 퇴적시킨 후, 배선 홈(29) 외측의 적층막(28) 및 구리막(29)을 CMP로 제거한다. 이로써 도 4의 (f)에 나타내는 바와 같이 비어홀(26)에 비어플러그(30)가 형성됨과 동시에 배선 홈(27)에 상층금속배선(31)이 형성된다.Next, the lower portion of the via hole 26 in the second insulating film 23 is removed by the front etch back. After that, the tantalum nitride / tantalum laminated film 28 and the copper film 29 are sequentially deposited on the fourth insulating film 25 so that the via holes 26 and the wiring grooves 27 are completely filled with the wiring grooves 29. ) The laminated film 28 and copper film 29 on the outside are removed by CMP. As a result, as shown in FIG. 4F, the via plug 30 is formed in the via hole 26, and the upper metal wiring 31 is formed in the wiring groove 27.

전술한 바와 같이 비교예에서는, 제 2 절연막(23) 즉 실리콘질화탄화막을 플라즈마 처리로 안정화시킴으로써, 레지스트 피독의 억제를 도모할 수 있다.As described above, in the comparative example, the resist poisoning can be suppressed by stabilizing the second insulating film 23, that is, the silicon nitride carbide film by plasma treatment.

그러나 비교예에서는, 실리콘질화탄화막 중에 잔존하는 불안정한 질소 또는 플라즈마 처리의 불균일한 차이에 기인하여, 실리콘질화탄화막(제 2 절연막(23))으로부터, 이 막과 직접 접하는 제 3 절연막(저유전율막)(24) 중으로 질소가 확산된다. 때문에 배선 홈(27)을 형성하기 위한 리소그래피 공정에서 비어홀(26)을 경유하여 아민 등이 레지스트 중으로 확산되는, 즉 레지스트 피독을 충분히 방지할 수 없다.However, in the comparative example, the third insulating film (low dielectric constant) which is in direct contact with the film from the silicon nitride carbide film (second insulating film 23) due to unstable nitrogen remaining in the silicon nitride carbide film or a nonuniform difference in plasma treatment. Nitrogen is diffused into the film 24). Therefore, in the lithography process for forming the wiring groove 27, the amine or the like is diffused into the resist via the via hole 26, that is, the resist poisoning cannot be sufficiently prevented.

또 비교예에서는 질소 오염이 없는 전처리를 실시한 후에 제 3 절연막(저유전율막)(24) 상에, 질소 오염이 없는 플라즈마를 이용하여 제 4 절연막(25) 즉 실리콘산화막을 형성하고, 이로써 레지스트 피독의 억제를 도모한다. 그러나 실리콘산화막에는 반사방지 효과가 없기 때문에, 비어홀(26)을 형성하기 위한 패턴을 리소그래피로 형성할 때 유기반사방지막(32)을 형성할 필요가 있다. 하지만 유기반사방지막(32)의 도포막 두께가 큼으로써, 또 포토레지스트에 대해 유기반사방지막(32)의 선택비를 확보하기 어려운 점에서, 비어홀(26)을 형성하기 위한 에칭 실시가 어려워진다. 또 전술한 바와 같이, 배선 홈(27)을 형성하기 위한 패턴을 리소그래피로 형성할 때, 하층 레지스트막(33), SOG막(34) 및 상층 레지스트막(35)을 조합시켜 이용하므로, 배선 홈(27)을 형성하기 위한 에칭 실시가 어려워진다. 또한 이 경우, 리소그래피 공정에서 치수 차이나 맞춤 차이가 발생하면, SOG막(34)을 이용하기 때문에 패턴 재생을 실시하기가 어려워진다. 그 이유는, 레지스트막과 달리, 에싱 등으로 SOG막(34)을 용이하게 제거할 수 없기 때문이다. 그리고 하층 레지스트막(33), SOG막(34) 및 상층 레지스트막(35)의 조합 대신 유기반사방지막을 형성할 경우에는, 전술한 비어홀(26)을 형성하기 위한 에칭의 경우와 마찬가지 문제가 발생한다.In the comparative example, after the pretreatment without nitrogen contamination, the fourth insulating film 25, that is, silicon oxide film, is formed on the third insulating film (low dielectric constant film) 24 using a plasma free of nitrogen contamination, thereby resist poisoning. Promote the suppression of However, since the silicon oxide film has no antireflection effect, it is necessary to form the organic antireflection film 32 when lithographically forming a pattern for forming the via hole 26. However, since the coating film thickness of the organic antireflection film 32 is large, and it is difficult to secure the selectivity of the organic antireflection film 32 with respect to the photoresist, etching for forming the via hole 26 becomes difficult. As described above, when the pattern for forming the wiring groove 27 is formed by lithography, the lower resist film 33, the SOG film 34, and the upper resist film 35 are used in combination, so that the wiring groove is used. Etching for forming (27) becomes difficult. In this case, when the dimension difference or the alignment difference occurs in the lithography process, the SOG film 34 is used, so that pattern reproduction becomes difficult to perform. This is because unlike the resist film, the SOG film 34 cannot be easily removed by ashing or the like. When the organic anti-reflection film is formed instead of the combination of the lower resist film 33, the SOG film 34, and the upper resist film 35, the same problem as in the etching for forming the above-described via hole 26 occurs. do.

그런데, 유전율이 더욱 낮은(구체적으로는 비유전율(ε)이 2.8 미만의) 저유전율막을 이용할 경우, 이 저유전율막 상에 실리콘질화막 등의 질소 함유 절연막을 형성하고 이 질소 함유 절연막을 에칭 시의 하드마스크로 이용하는 공정은, 포토레지스트에 대한 저선택비를 실현할 수 있는 점 및 에싱 손상을 방지할 수 있는 점에서 효과적이다. 그러나 비교예에서는 질소를 함유하는 플라즈마를 이용하지 않고 실리콘산화막을 저유전율막 상에 형성하는 구조 및 공정 이외는 허용되지 않으므로, 바꾸어 말하면 저유전율막 상에 질소 함유 절연막을 형성할 수 없으므로, 앞으로의 절연막 저유전율화를 고려할 경우에는 불리하다. 또 비교예에서는, 전술한 실리콘산화막의 비유전율이 4.2 정도로 높아, 배선간 용량이 증대해버린다는 문제가 있으므로, 장래 절연막에 요구되는 저유전율화의 관점에서도 불리하다.By the way, when a low dielectric constant film having a lower dielectric constant (specifically, a relative dielectric constant? Of less than 2.8) is used, a nitrogen-containing insulating film such as a silicon nitride film is formed on the low dielectric constant film and the nitrogen-containing insulating film is etched at the time of etching. The process used as a hard mask is effective in that the low selectivity for the photoresist can be realized and the ashing damage can be prevented. However, in the comparative example, only the structure and the process of forming the silicon oxide film on the low dielectric constant film without using the plasma containing nitrogen are not allowed. In other words, since the nitrogen-containing insulating film cannot be formed on the low dielectric constant film, It is disadvantageous when considering insulation film low dielectric constant. Moreover, in the comparative example, since the dielectric constant of the silicon oxide film mentioned above is about 4.2 and there exists a problem that the capacitance between wirings will increase, it is disadvantageous also from the viewpoint of the low dielectric constant required for the insulating film in the future.

이에 반해 제 1 실시형태에 의하면, 저유전율막(105)과 그 아래쪽의 제 1 질소 함유 절연막(103) 사이에 제 1 질소 비함유 절연막(104)을 개재시키고, 또 저유전율막(105)과 그 위쪽의 제 2 질소 함유 절연막(107) 사이에 제 2 질소 비함유 절연막(106)을 개재시키는 구조(도 1 참조)에 의해, 이상에 서술한 바와 같은 비교예의 문제점이 모두 해결된다는 현저한 효과를 얻을 수 있다.In contrast, according to the first embodiment, the low dielectric constant film 105 is interposed between the low dielectric constant film 105 and the first nitrogen-containing insulating film 103 below. The remarkable effect that all the problems of the comparative example described above are solved by the structure (see FIG. 1) which interposes the 2nd nitrogen-free insulating film 106 between the upper 2nd nitrogen containing insulating films 107 above is solved. You can get it.

(제 2 실시형태)(2nd embodiment)

이하 본 발명의 제 2 실시형태에 관한 전자디바이스 및 그 제조방법에 대해 도면을 참조하면서 설명하기로 한다.EMBODIMENT OF THE INVENTION Hereinafter, the electronic device which concerns on 2nd Embodiment of this invention, and its manufacturing method are demonstrated, referring drawings.

도 5는, 제 2 실시형태에 관한 전자디바이스의 배선구조를 나타내는 단면도이다.5 is a cross-sectional view showing a wiring structure of an electronic device according to the second embodiment.

도 5에 나타내는 바와 같이, 예를 들어 실리콘으로 이루어지는 기판(200) 상에 형성된 하층절연막(201) 중에, 예를 들어 질화탄탈/탄탈 적층막(202a) 및 구리막(202b)으로 구성되는 하층금속배선(202)이 형성된다. 하층금속배선(202) 상 및 하층절연막(201) 상에는, 예를 들어 실리콘질화탄화막으로 이루어지는 질소 함유 절연막(203)이 형성된다. 질소 함유 절연막(203) 상에는, 예를 들어 탄소 함유 실리콘산화막으로 이루어지는 저유전율막(204)이 형성된다. 저유전율막(204) 상에는, 막 밀도 1.3g/㎤ 이하의 저밀도절연막(저밀도 캡막)(205)이 형성된다. 질소 함유 절연막(203) 및 저유전율막(204)(하부)에는, 하층금속배선(202)에 달하는 비어홀(206)이 형성된다. 저유전율막(204)(상부), 저밀도 캡막(205)에는, 비어홀(206)과 접속하는 배선 홈(207)이 형성된다. 비어홀(206) 및 배선 홈(207)에는, 질화탄탈/탄탈 적층막(208) 및 구리막(209)이 순차 형성되며, 이로써 비어플러그(210) 및 상층금속배선(211)이 형성된다.As shown in FIG. 5, in the lower insulating film 201 formed on the board | substrate 200 which consists of silicon | silicone, for example, the underlayer metal comprised from the tantalum nitride / tantalum laminated film 202a and the copper film 202b, for example. The wiring 202 is formed. On the lower metal wiring 202 and the lower insulating film 201, a nitrogen-containing insulating film 203 made of, for example, a silicon nitride carbide film is formed. On the nitrogen-containing insulating film 203, a low dielectric constant film 204 made of, for example, a carbon-containing silicon oxide film is formed. On the low dielectric constant film 204, a low density insulating film (low density cap film) 205 having a film density of 1.3 g / cm 3 or less is formed. In the nitrogen-containing insulating film 203 and the low dielectric constant film 204 (lower portion), a via hole 206 extending to the lower metal wiring 202 is formed. In the low dielectric constant film 204 (upper part) and the low density cap film 205, wiring grooves 207 for connecting with the via holes 206 are formed. In the via hole 206 and the wiring groove 207, a tantalum nitride / tantalum laminated film 208 and a copper film 209 are sequentially formed, thereby forming a via plug 210 and an upper metal wiring 211.

도 6의 (a)∼(f)는, 제 2 실시형태에 관한 전자디바이스의 제조방법, 즉 도 5에 나타내는 전자디바이스를 제조하기 위한 방법의 각 공정을 나타내는 단면도이다.6 (a) to 6 (f) are cross-sectional views showing respective steps of the method for manufacturing the electronic device according to the second embodiment, that is, the method for manufacturing the electronic device shown in FIG.

우선 도 6의 (a)에 나타내는 바와 같이, 예를 들어 실리콘으로 이루어지는 기판(200) 상에, 예를 들어 실리콘산화막으로 이루어지는 하층절연막(201)을 형성한 후, 하층절연막(201)에 예를 들어 질화탄탈/탄탈 적층막(202a) 및 구리막(202b)으로 구성되는 하층금속배선(202)을 매입한다.First, as shown in Fig. 6A, a lower insulating film 201 made of, for example, a silicon oxide film is formed on a substrate 200 made of silicon, for example, and then an example is placed on the lower insulating film 201. For example, the lower metal wiring 202 composed of the tantalum nitride / tantalum laminated film 202a and the copper film 202b is embedded.

다음으로 도 6의 (b)에 나타내는 바와 같이, 하층절연막(201) 상 및 하층금속배선(202) 상에, 예를 들어 실리콘질화탄화막 또는 실리콘질화막으로 이루어지는, 두께 50nm의 질소 함유 절연막(203)을 퇴적시킨다. 그 후 질소 함유 절연막(203) 상에, 예를 들어 탄소 함유 실리콘산화막으로 이루어지는, 두께 450nm의 저유전율막(204)을 퇴적시킨다. 이어서, 저유전율막(204) 상에, 막 밀도가 1.3g/㎤ 이하이며 두께 50nm의 저밀도 캡막(205)을 형성한다. 그 후 저밀도 캡막(205) 상에 예를 들어 유기재료를 도포하여 제 1 반사방지막(212)을 형성한다. Next, as shown in FIG. 6B, a nitrogen-containing insulating film 203 having a thickness of 50 nm formed of, for example, a silicon nitride carbide film or a silicon nitride film on the lower insulating film 201 and the lower metal wiring 202. ) Is deposited. Thereafter, a low dielectric constant film 204 having a thickness of 450 nm, for example, made of a carbon-containing silicon oxide film is deposited on the nitrogen-containing insulating film 203. Subsequently, a low density cap film 205 having a film density of 1.3 g / cm 3 or less and a thickness of 50 nm is formed on the low dielectric constant film 204. Thereafter, for example, an organic material is coated on the low density cap film 205 to form the first antireflection film 212.

다음으로 제 1 반사방지막(212) 상에 포토레지스트를 도포하고, 이 도포된 포토레지스트에 포토리소그래피를 실시함으로써, 홀 패턴을 갖는 레지스트막(도시 생략)을 형성한다. 그 후 이 레지스트막을 마스크로 하여, 제 1 반사방지막(212), 저밀도 캡막(205) 및 저유전율막(204)에 순차 드라이에칭을 실시한 후, 에싱으로 포토레지스트 및 제 1 반사방지막(212)을 제거한다. 이로써 도 6의 (c)에 나타내는 바와 같이 비어홀(206)이 형성된다.Next, a photoresist is applied on the first antireflection film 212, and photolithography is performed on the coated photoresist to form a resist film (not shown) having a hole pattern. Thereafter, the first anti-reflection film 212, the low density cap film 205, and the low dielectric constant film 204 are sequentially dry-etched using this resist film as a mask, and then the photoresist and the first anti-reflection film 212 are subjected to ashing. Remove As a result, as shown in FIG. 6C, the via hole 206 is formed.

다음에, 도 6의 (d)에 나타내는 바와 같이, 비어홀(206)이 완전히 매입되도록 저밀도 캡막(205) 상에 예를 들어 유기재료를 도포하여 제 2 반사방지막(213)을 형성한다. 그 후 제 2 반사방지막(213) 상에 포토레지스트를 도포하고 이 도포된 포토레지스트에 포토리소그래피(노광 및 현상)를 실시함으로써, 원하는 홈 패턴을 갖는 레지스트막(214), 구체적으로는 배선 홈(207)(도 6의 (e) 참조)과 대응하는 개구부(214a)를 갖는 레지스트막(214)을 형성한다. 여기서 개구부(214a) 형성영역은 비어홀(206)이 형성된 영역을 포함한다.Next, as shown in FIG. 6D, for example, an organic material is coated on the low density cap film 205 so that the via hole 206 is completely embedded to form the second antireflection film 213. After that, by applying a photoresist on the second antireflection film 213 and subjecting the coated photoresist to photolithography (exposure and development), a resist film 214 having a desired groove pattern, specifically, a wiring groove ( A resist film 214 having an opening 214a corresponding to 207 (see FIG. 6E) is formed. In this case, the opening 214a forming region includes a region in which the via hole 206 is formed.

다음, 홈 패턴을 갖는 레지스트막(214)을 마스크로, 제 2 반사방지막(213), 저밀도 캡막(205) 및 저유전율막(204)(상부)에 대해 순차 드라이에칭을 실시한다. 이로써 도 6의 (e)에 나타내는 바와 같이, 비어홀(206)과 접속되는 배선 홈(207)이 형성된다. 단, 전술한 드라이에칭 후, 잔존하는 제 2 반사방지막(213) 및 레지스트막(214)을 제거하고 세정을 실시한다.Next, using the resist film 214 having the groove pattern as a mask, dry etching is sequentially performed on the second antireflection film 213, the low density cap film 205, and the low dielectric constant film 204 (upper). As a result, as shown in FIG. 6E, a wiring groove 207 connected to the via hole 206 is formed. However, after the dry etching described above, the remaining second anti-reflection film 213 and the resist film 214 are removed and washed.

다음으로, 실리콘질화탄화막으로 이루어지는 질소 함유 절연막(203)의 비어홀(206) 하측 부분을 전면 에치백으로 제거한다. 그 후 비어홀(206) 및 배선 홈(207)이 완전히 매입되도록 저밀도 캡막(205) 상에, 질화탄탈/탄탈 적층막(208) 및 구리막(209)을 순차 퇴적시킨 후, 배선 홈(207) 외측의 적층막(208) 및 구리막(209)을 CMP로 제거한다. 이로써 도 6의 (f)에 나타내는 바와 같이, 비어홀(206)에 비어플러그(210)가 형성됨과 동시에 배선 홈(207)에 상층금속배선(211)이 형성된다. 여기서 저밀도 캡막(205)에 대해서는 최종적으로 반드시 잔존시킬 필요는 없으므로, 전술한 전면 에치백 또는 CMP로 이들을 완전히 또는 부분적으로 제거해도 된다.Next, the lower portion of the via hole 206 of the nitrogen-containing insulating film 203 made of the silicon nitride carbide film is removed by an entire etch back. After that, the tantalum nitride / tantalum laminated film 208 and the copper film 209 are sequentially deposited on the low density cap film 205 so that the via hole 206 and the wiring groove 207 are completely embedded, and then the wiring groove 207 is formed. The outer laminated film 208 and copper film 209 are removed by CMP. As a result, as shown in FIG. 6F, the via plug 210 is formed in the via hole 206 and the upper metal wiring 211 is formed in the wiring groove 207. The low-density cap film 205 does not necessarily have to be finally left in the final step, and thus, these may be completely or partially removed by the above-described entire etch back or CMP.

이상 설명한 바와 같이, 제 2 실시형태에 의하면 저유전율막(탄소 함유 실리콘산화막)(204) 상에 저밀도 캡막(205)이 형성된다. 때문에, 저유전율막(204) 중으로 도입된 질소, 질소 함유 절연막(203) 중의 질소 또는 저밀도 캡막(205) 자신에 존재하는 질소가, 저밀도 캡막(205)을 통해 외부로 배출되기 쉬워진다. 이로써 저유전율막(204)에 형성된 비어홀(206) 내에 아민 등이 집중 확산돼오는 일이 없으므로, 배선 홈(207)을 형성하기 위한 리소그래피 공정에서 비어홀(206) 근방의 레지스트에서 단위체적당 아민 등의 양이 매우 적어지며, 그 결과 레지스트 피독을 방지할 수 있다.As described above, according to the second embodiment, the low density cap film 205 is formed on the low dielectric constant film (carbon-containing silicon oxide film) 204. Therefore, nitrogen introduced into the low dielectric constant film 204, nitrogen in the nitrogen-containing insulating film 203, or nitrogen present in the low density cap film 205 itself are easily discharged to the outside through the low density cap film 205. As a result, since amines and the like do not concentrate in the via holes 206 formed in the low dielectric constant film 204, such as amines per unit volume in the resist near the via holes 206 in the lithography process for forming the wiring grooves 207. The amount is very small, and as a result, resist poisoning can be prevented.

제 2 실시형태에서는 이상 서술한 효과에 의해, 레지스트 피독을 억제하면서, 저유전율막(204) 아래쪽에 막질이 양호한 질소 함유 절연막(203)(예를 들어 실리콘질화탄화막)을 형성할 수 있다. 따라서 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다. 또 질소 함유 절연막(203)이 될 실리콘질화탄화막은 산소를 함유하지 않으므로, 하층금속배선(202)을 구성하는 구리막(202b) 상에 질소 함유 절연막(203)을 퇴적시켰을 경우에 구리막(202b)이 산화되는 일은 없다.In the second embodiment, the nitrogen-containing insulating film 203 (for example, silicon nitride carbide film) having a good film quality can be formed under the low dielectric constant film 204 while suppressing resist poisoning. Therefore, it is possible to prevent the increase of the leakage current or the change in film quality over time. Since the silicon nitride carbide film to be the nitrogen-containing insulating film 203 does not contain oxygen, when the nitrogen-containing insulating film 203 is deposited on the copper film 202b constituting the lower metal wiring 202, the copper film 202b. ) Is not oxidized.

또 제 2 실시형태에 의하면, 배선 홈(207) 형성이 종료될 때까지, 비어홀(206) 아래쪽에, 바꾸어 말하면 하층금속배선(202) 위쪽에 질소 함유 절연막(203)을 잔존시킨다. 때문에 에칭이나 에싱에 의한 하층금속배선(202)의 손상(예를 들어 하층금속배선(202) 표면의 산화)을 저감할 수 있다.According to the second embodiment, the nitrogen-containing insulating film 203 remains under the via hole 206, in other words, above the lower metal wiring 202 until the formation of the wiring groove 207 is completed. Therefore, damage to the lower metal wiring 202 (for example, oxidation of the surface of the lower metal wiring 202) due to etching or ashing can be reduced.

또한 제 2 실시형태에 의하면, 배선 홈(207)을 형성하기 위한 포토리소그래피를 실시하기 전에, 비어홀(206)에 제 2 반사방지막(213)을 매입한다. 이로써 제 2 반사방지막(213)에 의해, 비어홀(206) 벽면을 피복할 수 있다. 즉 비어홀(206) 벽면의 손상층이 제 2 반사방지막(213)으로 피복되기 때문에, 이 손상층으로부터 비어홀(206) 내로의 질소 확산을 억제할 수 있으므로, 레지스트 피독을 보다 확실하게 방지할 수 있다.According to the second embodiment, the second antireflection film 213 is embedded in the via hole 206 before the photolithography for forming the wiring groove 207 is performed. Thus, the second anti-reflection film 213 can cover the via hole 206 wall. In other words, since the damage layer on the wall of the via hole 206 is covered with the second anti-reflection film 213, the diffusion of nitrogen from the damaged layer into the via hole 206 can be suppressed, so that resist poisoning can be prevented more reliably. .

여기서 제 2 실시형태에 있어서, 저밀도 캡막(205)으로서 사용할 수 있는 절연막의 종류는, 막 밀도가 1.3g/㎤ 이하라면 특별히 한정되지 않는다. 단 저밀도 캡막(205)으로서, 밀도만이 아닌 유전율도 낮은 막, 예를 들어 다공질막 등을 이용할 경우, 배선간 용량을 저감할 수 있다. 구체적으로는, HSQ(hydrogen silsesquioxane)막, 또는 DowCorning사제의 XLK막(밀도: 약 0.9g/㎤) 등의 다공질막을 이용해도 된다. 또 저밀도 캡막(205)이 질소를 함유할 경우, 저밀도 캡막(205)의 막질이 양호해지므로, 리크전류의 증대 또는 막질의 경시변화를 방지할 수 있다. 한편, 저밀도 캡막(205)은 탄소를 함유하지 않는 것이 바람직하다. 그 이유는 SiOC막 등의 탄소 함유막에 대해 에싱 처리를 실시할 경우, 이 막으로부터 탄소가 탈리되어 리크전류가 흐르기 쉬워지기 때문이다. 또한 저밀도 캡막(205)의 밀도는, 이 막의 안정성을 고려하면 0.4g/㎤ 이상인 것이 바람직하다.In the second embodiment, the kind of the insulating film that can be used as the low density cap film 205 is not particularly limited as long as the film density is 1.3 g / cm 3 or less. However, when the low density cap film 205 uses a film having a low dielectric constant as well as a density, for example, a porous film, the inter-wire capacitance can be reduced. Specifically, a porous membrane such as an HSQ (hydrogen silsesquioxane) membrane or an XLK membrane (density: about 0.9 g / cm 3) manufactured by Dow Corning may be used. In addition, when the low density cap film 205 contains nitrogen, the film quality of the low density cap film 205 becomes good, so that an increase in leakage current or a change in film quality over time can be prevented. On the other hand, it is preferable that the low density cap film 205 does not contain carbon. This is because when ashing is performed on a carbon-containing film such as an SiOC film, carbon is detached from the film and leakage current easily flows. The density of the low density cap film 205 is preferably 0.4 g / cm 3 or more in view of the stability of the film.

또 제 2 실시형태에 있어서, 저밀도 캡막(205)으로서 도포막, 예를 들어 전술한 HSQ막 등의 다공질막을 이용할 경우, 저밀도 캡막(205)의 형성 후 저밀도 캡막(205)에 대해, 예를 들어 300∼400℃ 정도의 열처리를 실시하거나 또는 EB(electron beam)경화 혹은 DUV(원자외선)경화를 실시하는 것이 바람직하다. 이와 같이 하면 저밀도 캡막(205)의 막질을 안정화시킬 수 있음과 동시에, 질소 함유 절연막(203) 중의 질소, 저유전율막(204) 중의 질소 또는 저밀도 캡막(205) 중의 질소를 저밀도 캡막(205)을 통해 외부로 더 많이 배출할 수 있다. 그리고 저밀도 캡막(205)에 대해, EB나 DUV 처리 대신, 저밀도 캡막(205)의 막질을 안정화시킬 수 있는, DUV 이외의 다른 광 또는 다른 에너지파를 조사해도 된다.In the second embodiment, in the case of using a porous film such as the above-described HSQ film as the low density cap film 205, the low density cap film 205 after the low density cap film 205 is formed, for example. It is preferable to perform heat treatment at about 300 to 400 ° C or to perform EB (electron beam) curing or DUV (ultraviolet ray) curing. In this manner, the film quality of the low density cap film 205 can be stabilized, and the nitrogen in the nitrogen-containing insulating film 203, the nitrogen in the low dielectric constant film 204, or the nitrogen in the low density cap film 205 are replaced with the low density cap film 205. More to the outside. Instead of the EB or DUV treatment, the low density cap film 205 may be irradiated with light or other energy waves other than DUV, which can stabilize the film quality of the low density cap film 205.

또한 제 2 실시형태에 있어서, 저유전율막(204)으로는 예를 들어 SiOC막 등의 탄소 함유 실리콘산화막, Silk막, 또는 MSQ(methyl silsesquioxane)막 등의 다공질막을 이용할 수 있다.In the second embodiment, as the low dielectric constant film 204, for example, a porous film such as a carbon-containing silicon oxide film such as an SiOC film, a Silk film, or an MSQ (methyl silsesquioxane) film can be used.

또 제 2 실시형태에 있어서, 비어플러그(210)에 의해 접속되는 하층금속배선(202) 및 상층금속배선(211)으로 이루어지는 배선구조의 형성을 대상으로 하지만, 본 발명은 이에 한정되는 것은 아니다. 예를 들어 콘택트플러그로 접속되는 트랜지스터(그 확산층) 및 커패시터(그 하부전극)로 구성되는 메모리셀 구조의 형성을 대상으로 해도 좋음은 물론이다.In the second embodiment, the formation of the wiring structure composed of the lower metal wiring 202 and the upper metal wiring 211 connected by the via plug 210 is intended, but the present invention is not limited thereto. For example, it is a matter of course that the memory cell structure composed of a transistor (its diffusion layer) and a capacitor (its lower electrode) connected by a contact plug can be used.

본 발명은, 전자디바이스 및 그 제조방법에 관한 것이며, 예를 들어 다층배선구조의 형성에 적용할 경우에, 리소그래피 공정에서의 레지스트 피독의 발생을 방지할 수 있다는 현저한 효과를 얻을 수 있다.BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to an electronic device and a method for manufacturing the same, and when applied to the formation of a multi-layered wiring structure, for example, a remarkable effect of preventing the occurrence of resist poisoning in the lithography process can be obtained.

Claims (43)

홀을 갖는 저유전율막과,A low dielectric constant film having holes, 상기 저유전율막 아래쪽에 형성된 질소 비함유 절연막과,A nitrogen-free insulating film formed under the low dielectric constant film; 상기 질소 비함유 절연막 아래쪽에 형성된 질소 함유 절연막을 구비하는 것을 특징으로 하는 전자디바이스.And a nitrogen-containing insulating film formed under the nitrogen-free insulating film. 제 1 항에 있어서,The method of claim 1, 상기 홀은 상기 질소 비함유 절연막 및 상기 질소 함유 절연막의 각각을 관통하며,The hole penetrates each of the nitrogen-free insulating film and the nitrogen-containing insulating film, 상기 홀 아래쪽에서 상기 홀과 접속하는 하층배선을 추가로 구비하고,Further comprising a lower layer wiring connected to the hole below the hole, 상기 홀 접속영역을 제외한 상기 하층배선 상면은 상기 질소 함유 절연막으로 피복되는 것을 특징으로 하는 전자디바이스.And the upper surface of the lower layer wiring except for the hole connection region is covered with the nitrogen-containing insulating film. 제 1 항에 있어서,The method of claim 1, 상기 저유전율막의 하면과 상기 질소 비함유 절연막 상면은 접하는 것을 특징으로 하는 전자디바이스.And a lower surface of the low dielectric constant film and an upper surface of the nitrogen-free insulating film. 제 1 항에 있어서,The method of claim 1, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스.The low dielectric constant film is an electronic device, characterized in that the carbon-containing silicon oxide film or a porous film. 제 4 항에 있어서,The method of claim 4, wherein 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스.The carbon-containing silicon oxide film is an electronic device, characterized in that the SiOC film. 홀을 갖는 저유전율막과,A low dielectric constant film having holes, 상기 저유전율막 위쪽에 형성된 질소 비함유 절연막과,A nitrogen-free insulating film formed over the low dielectric constant film; 상기 질소 비함유 절연막 위쪽에 형성된 질소 함유 절연막을 구비하는 것을 특징으로 하는 전자디바이스.And a nitrogen-containing insulating film formed over the nitrogen-free insulating film. 제 6 항에 있어서,The method of claim 6, 상기 질소 함유 절연막은 반사방지막이며,The nitrogen-containing insulating film is an antireflection film, 상기 질소 함유 절연막, 상기 질소 비함유 절연막, 및 상기 저유전율막 중 적어도 상부에, 상기 홀과 접속하는 오목부가 형성되는 것을 특징으로 하는 전자디바이스.And at least an upper portion of the nitrogen-containing insulating film, the nitrogen-free insulating film, and the low dielectric constant film is formed with a recess connecting the hole. 제 6 항에 있어서,The method of claim 6, 상기 저유전율막 상면과 상기 질소 비함유 절연막 하면은 접하는 것을 특징으로 하는 전자디바이스.And an upper surface of the low dielectric constant film and a lower surface of the nitrogen-free insulating film. 제 6 항에 있어서,The method of claim 6, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스.The low dielectric constant film is an electronic device, characterized in that the carbon-containing silicon oxide film or a porous film. 제 9 항에 있어서,The method of claim 9, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스.The carbon-containing silicon oxide film is an electronic device, characterized in that the SiOC film. 홀을 갖는 저유전율막과,A low dielectric constant film having holes, 상기 저유전율막 아래쪽에 형성된 제 1 질소 비함유 절연막과,A first nitrogen-free insulating film formed under the low dielectric constant film; 상기 저유전율막 위쪽에 형성된 제 2 질소 비함유 절연막을 구비하며,A second nitrogen-free insulating film formed over the low dielectric constant film, 상기 홀은 상기 제 1 질소 비함유 절연막을 관통하고,The hole penetrates the first nitrogen-free insulating layer, 상기 제 2 질소 비함유 절연막, 및 상기 저유전율막 중 적어도 상부에 상기 홀과 접속하는 오목부가 형성되는 것을 특징으로 하는 전자디바이스.And at least one of the second nitrogen-free insulating film and the low dielectric constant film is formed with a recess connecting the hole. 제 11 항에 있어서,The method of claim 11, 상기 저유전율막 하면과 상기 제 1 질소 비함유 절연막 상면은 접하는 것을 특징으로 하는 전자디바이스.And a lower surface of the low dielectric constant film and an upper surface of the first nitrogen-free insulating film. 제 11 항에 있어서,The method of claim 11, 상기 저유전율막 상면과 상기 제 2 질소 비함유 절연막 하면은 접하는 것을 특징으로 하는 전자디바이스.And an upper surface of the low dielectric constant film and a lower surface of the second nitrogen-free insulating film. 제 11 항에 있어서,The method of claim 11, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스.The low dielectric constant film is an electronic device, characterized in that the carbon-containing silicon oxide film or a porous film. 제 14 항에 있어서,The method of claim 14, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스.The carbon-containing silicon oxide film is an electronic device, characterized in that the SiOC film. 홀을 갖는 저유전율막과,A low dielectric constant film having holes, 상기 저유전율막 위쪽에 형성된, 막 밀도 1.3g/㎤ 이하의 저밀도 절연막을 구비하는 것을 특징으로 하는 전자디바이스.And a low density insulating film having a film density of 1.3 g / cm 3 or less formed above the low dielectric constant film. 제 16 항에 있어서,The method of claim 16, 상기 저밀도 절연막은 질소를 함유하는 것을 특징으로 하는 전자디바이스.And said low density insulating film contains nitrogen. 제 16 항에 있어서,The method of claim 16, 상기 저유전율막 아래쪽에 형성된 질소 함유 절연막을 추가로 구비하는 것을 특징으로 하는 전자디바이스.And a nitrogen-containing insulating film formed under the low dielectric constant film. 제 16 항에 있어서,The method of claim 16, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스.The low dielectric constant film is an electronic device, characterized in that the carbon-containing silicon oxide film or a porous film. 제 19 항에 있어서,The method of claim 19, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스.The carbon-containing silicon oxide film is an electronic device, characterized in that the SiOC film. 질소 함유 절연막 상에 질소 비함유 절연막 및 저유전율막을 순차 형성하는 공정과,Sequentially forming a nitrogen-free insulating film and a low dielectric constant film on the nitrogen-containing insulating film; 상기 저유전율막에 홀을 형성하는 공정과,Forming a hole in the low dielectric constant film; 상기 홀이 형성된 상기 저유전율막 상에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 상기 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과,By applying a chemically amplified resist on the low-k dielectric film formed with the holes, and exposing and developing the applied chemically amplified resist, a resist film having an opening in a predetermined region including the region where the hole is formed. Forming process, 상기 레지스트막을 마스크로 상기 저유전율막에 에칭을 실시하여, 상기 홀과 접속하는 오목부를 형성하는 공정을 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And etching the low dielectric constant film with the resist film as a mask to form a recess connecting the hole. 제 21 항에 있어서,The method of claim 21, 상기 질소 함유 절연막은 하층배선을 피복하도록 형성되는 것을 특징으로 하는 전자디바이스 제조방법.And the nitrogen-containing insulating film is formed to cover the lower layer wiring. 제 21 항에 있어서,The method of claim 21, 상기 홀을 형성하는 공정은, 상기 저유전율막 및 상기 질소 비함유 절연막에 상기 홀을 형성하는 공정을 포함하며,The step of forming the hole includes the step of forming the hole in the low dielectric constant film and the nitrogen-free insulating film, 상기 오목부를 형성하는 공정보다 뒤에, 상기 홀 아래쪽의 상기 질소 함유 절연막을 제거하는 공정을 추가로 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And removing the nitrogen-containing insulating film below the hole after the step of forming the concave portion. 제 21 항에 있어서,The method of claim 21, 상기 질소 비함유 절연막은 CVD법으로 퇴적되는 것을 특징으로 하는 전자디바이스 제조방법.And the nitrogen-free insulating film is deposited by CVD. 제 21 항에 있어서,The method of claim 21, 상기 홀을 형성하는 공정과 상기 레지스트막을 형성하는 공정 사이에, 상기 홀 내에 더미플러그를 형성하는 공정을 추가로 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And forming a dummy plug in the hole between the step of forming the hole and the step of forming the resist film. 제 21 항에 있어서,The method of claim 21, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스 제조방법.The low dielectric constant film is an electronic device manufacturing method, characterized in that the carbon-containing silicon oxide film or a porous film. 제 26 항에 있어서,The method of claim 26, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스 제조방법.The carbon-containing silicon oxide film is an electronic device manufacturing method, characterized in that the SiOC film. 저유전율막 상에 질소 비함유 절연막 및 질소 함유 절연막을 순차 형성하는 공정과,Sequentially forming a nitrogen-free insulating film and a nitrogen-containing insulating film on the low dielectric constant film; 상기 질소 비함유 절연막 및 상기 질소 함유 절연막이 형성된 상기 저유전율막에 홀을 형성하는 공정과,Forming holes in the low dielectric constant film on which the nitrogen-free insulating film and the nitrogen-containing insulating film are formed; 상기 홀이 형성된 상기 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 상기 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과,A chemically amplified resist is applied over the low dielectric constant film on which the hole is formed, and exposure and development are performed on the applied chemically amplified resist to form a resist film having an opening in a predetermined region including the region where the hole is formed. Forming process, 상기 레지스트막을 마스크로 상기 저유전율막에 에칭을 실시하여 상기 홀과 접속하는 오목부를 형성하는 공정을 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And etching the low dielectric constant film with the resist film as a mask to form a recess connecting the hole. 제 28 항에 있어서,The method of claim 28, 상기 레지스트막을 형성하는 공정에서, 상기 질소 함유 절연막은 반사방지막으로서 기능하는 것을 특징으로 하는 전자디바이스 제조방법.In the step of forming the resist film, the nitrogen-containing insulating film functions as an antireflection film. 제 28 항에 있어서,The method of claim 28, 상기 질소 비함유 절연막은 CVD법으로 퇴적되는 것을 특징으로 하는 전자디바이스 제조방법.And the nitrogen-free insulating film is deposited by CVD. 제 28 항에 있어서,The method of claim 28, 상기 홀을 형성하는 공정과 상기 레지스트막을 형성하는 공정 사이에, 상기 홀 내에 더미플러그를 형성하는 공정을 추가로 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And forming a dummy plug in the hole between the step of forming the hole and the step of forming the resist film. 제 28 항에 있어서,The method of claim 28, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스 제조방법.The low dielectric constant film is an electronic device manufacturing method, characterized in that the carbon-containing silicon oxide film or a porous film. 제 32 항에 있어서,The method of claim 32, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스 제조방법.The carbon-containing silicon oxide film is an electronic device manufacturing method, characterized in that the SiOC film. 제 1 질소 비함유 절연막 상에 저유전율막 및 제 2 질소 비함유 절연막을 순차 형성하는 공정과,Sequentially forming a low dielectric constant film and a second nitrogen-free insulating film on the first nitrogen-free insulating film; 상기 제 2 질소 비함유 절연막이 형성된 상기 저유전율막에 홀을 형성하는 공정과,Forming a hole in the low dielectric constant film on which the second nitrogen-free insulating film is formed; 상기 홀이 형성된 상기 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 상기 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과,A chemically amplified resist is applied over the low dielectric constant film on which the hole is formed, and exposure and development are performed on the applied chemically amplified resist to form a resist film having an opening in a predetermined region including the region where the hole is formed. Forming process, 상기 레지스트막을 마스크로 상기 저유전율막에 에칭을 실시하여, 상기 홀과 접속하는 오목부를 형성하는 공정을 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And etching the low dielectric constant film with the resist film as a mask to form a recess connecting the hole. 제 34 항에 있어서,The method of claim 34, wherein 상기 제 1 질소 비함유 절연막 및 상기 제 2 질소 비함유 절연막은 CVD법으로 퇴적되는 것을 특징으로 하는 전자디바이스 제조방법.And the first nitrogen-free insulating film and the second nitrogen-free insulating film are deposited by a CVD method. 제 34 항에 있어서,The method of claim 34, wherein 상기 홀을 형성하는 공정과 상기 레지스트막을 형성하는 공정 사이에, 상기 홀 내에 더미플러그를 형성하는 공정을 추가로 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And forming a dummy plug in the hole between the step of forming the hole and the step of forming the resist film. 제 34 항에 있어서,The method of claim 34, wherein 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스 제조방법.The low dielectric constant film is an electronic device manufacturing method, characterized in that the carbon-containing silicon oxide film or a porous film. 제 37 항에 있어서,The method of claim 37, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스 제조방법.The carbon-containing silicon oxide film is an electronic device manufacturing method, characterized in that the SiOC film. 저유전율막 상에, 막 밀도 1.3g/㎤ 이하의 저밀도 절연막을 형성하는 공정과,Forming a low density insulating film having a film density of 1.3 g / cm 3 or less on the low dielectric constant film; 상기 저밀도 절연막이 형성된 상기 저유전율막에 홀을 형성하는 공정과,Forming a hole in the low dielectric constant film on which the low density insulating film is formed; 상기 홀이 형성된 상기 저유전율막 위쪽에 화학증폭형 레지스트를 도포하고, 이 도포된 화학증폭형 레지스트에 노광 및 현상을 실시함으로써, 상기 홀이 형성된 영역을 포함하는 소정의 영역에 개구부를 갖는 레지스트막을 형성하는 공정과,A chemically amplified resist is applied over the low dielectric constant film on which the hole is formed, and exposure and development are performed on the applied chemically amplified resist to form a resist film having an opening in a predetermined region including the region where the hole is formed. Forming process, 상기 레지스트막을 마스크로 상기 저유전율막에 에칭을 실시하여, 상기 홀과 접속하는 오목부를 형성하는 공정을 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And etching the low dielectric constant film with the resist film as a mask to form a recess connecting the hole. 제 39 항에 있어서,The method of claim 39, 상기 저밀도 절연막을 형성하는 공정보다 후에, 상기 저밀도 절연막에 대해 열처리를 실시하거나 또는 에너지파를 조사하는 공정을 구비하는 것을 특징으로 하는 전자디바이스 제조방법.And a step of subjecting said low density insulating film to a heat treatment or irradiating energy waves after said step of forming said low density insulating film. 제 40 항에 있어서,The method of claim 40, 상기 에너지파는 전자빔 또는 자외선인 것을 특징으로 하는 전자디바이스 제조방법.The energy wave is an electron device manufacturing method, characterized in that the electron beam or ultraviolet. 제 39 항에 있어서,The method of claim 39, 상기 저유전율막은 탄소 함유 실리콘산화막 또는 다공질막인 것을 특징으로 하는 전자디바이스 제조방법.The low dielectric constant film is an electronic device manufacturing method, characterized in that the carbon-containing silicon oxide film or a porous film. 제 42 항에 있어서,The method of claim 42, 상기 탄소 함유 실리콘산화막은 SiOC막인 것을 특징으로 하는 전자디바이스 제조방법.The carbon-containing silicon oxide film is an electronic device manufacturing method, characterized in that the SiOC film.
KR1020047018246A 2003-04-08 2004-04-07 Electronic device and its manufacturing method KR100615661B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020047018246A KR100615661B1 (en) 2003-04-08 2004-04-07 Electronic device and its manufacturing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00104499 2003-04-08
KR1020047018246A KR100615661B1 (en) 2003-04-08 2004-04-07 Electronic device and its manufacturing method

Publications (2)

Publication Number Publication Date
KR20050020801A true KR20050020801A (en) 2005-03-04
KR100615661B1 KR100615661B1 (en) 2006-08-25

Family

ID=41751089

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047018246A KR100615661B1 (en) 2003-04-08 2004-04-07 Electronic device and its manufacturing method

Country Status (1)

Country Link
KR (1) KR100615661B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170063535A (en) * 2014-09-26 2017-06-08 인텔 코포레이션 Technique for oxidizing plasma post-treatment for reducing photolithography poisoning and associated structures

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009164175A (en) * 2007-12-28 2009-07-23 Toshiba Corp Method for fabricating semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170063535A (en) * 2014-09-26 2017-06-08 인텔 코포레이션 Technique for oxidizing plasma post-treatment for reducing photolithography poisoning and associated structures

Also Published As

Publication number Publication date
KR100615661B1 (en) 2006-08-25

Similar Documents

Publication Publication Date Title
JP4050631B2 (en) Manufacturing method of electronic device
CN100419995C (en) Dual-damascene process
KR100535798B1 (en) Dual damascene structure and method of making it
US6365529B1 (en) Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6740566B2 (en) Ultra-thin resist shallow trench process using high selectivity nitride etch
US6465358B1 (en) Post etch clean sequence for making a semiconductor device
US20060163730A1 (en) Electronic device and its manufacturing method
US7012022B2 (en) Self-patterning of photo-active dielectric materials for interconnect isolation
JP2000068267A (en) Semiconductor device, method for forming patterned conductive layer on substrate, and treatment method and treated product of wafer
KR19990007191A (en) Method of forming a multilayer coplanar metal / insulator film using the dual damascene method using sacrificial fluid oxide
KR100571417B1 (en) Dual damascene wiring of semiconductor device and manufacturing method thereof
KR100389034B1 (en) Method of forming interlayer connection and semiconductor devices formed by using the same
US6432843B1 (en) Methods of manufacturing integrated circuit devices in which a spin on glass insulation layer is dissolved so as to recess the spin on glass insulation layer from the upper surface of a pattern
US6903461B2 (en) Semiconductor device having a region of a material which is vaporized upon exposing to ultraviolet radiation
US6372653B1 (en) Method of forming dual damascene structure
US20030190819A1 (en) Method of patterning dielectric layer with low dielectric constant
KR20040102981A (en) A method for forming a metal line of semiconductor device
KR100615661B1 (en) Electronic device and its manufacturing method
US9136164B2 (en) Semiconductor device and fabrication method
JP4002704B2 (en) Manufacturing method of semiconductor device
US20220028803A1 (en) Method of making an individualization zone of an integrated circuit
JP2001345380A (en) Semiconductor device and method of manufacturing the same
US6313005B1 (en) Method of manufacturing semiconductor device
TW565908B (en) Manufacturing method of dual damanscene structure
JP2007335547A (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100811

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee