KR20050015375A - Method of forming a via contact structure using a dual damascene process - Google Patents

Method of forming a via contact structure using a dual damascene process

Info

Publication number
KR20050015375A
KR20050015375A KR1020030054159A KR20030054159A KR20050015375A KR 20050015375 A KR20050015375 A KR 20050015375A KR 1020030054159 A KR1020030054159 A KR 1020030054159A KR 20030054159 A KR20030054159 A KR 20030054159A KR 20050015375 A KR20050015375 A KR 20050015375A
Authority
KR
South Korea
Prior art keywords
layer
etch stop
via hole
interlayer insulating
film
Prior art date
Application number
KR1020030054159A
Other languages
Korean (ko)
Inventor
오혁상
정주혁
하상록
김일구
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030054159A priority Critical patent/KR20050015375A/en
Publication of KR20050015375A publication Critical patent/KR20050015375A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

PURPOSE: A method of forming a via contact structure using a dual damascene process is provided to prevent erroneous application of copper by enlarging process margin required to remove a via protection layer. CONSTITUTION: A lower interconnection(55) is formed on a semiconductor substrate(51). An etch stopping layer(59) and an interlayer dielectric(61) are sequentially formed on the substrate. The interlayer dielectric is formed by using an in-situ process. A preliminary via hole for exposing the etch stopping layer is formed by patterning the interlayer dielectric. A via protection layer for filling the preliminary via hole is formed. The interlayer dielectric is patterned to form a trench region(67) which penetrates an upper portion of the preliminary via hole. The via protection layer, remaining in the preliminary via hole, is removed to expose the etch stopping layer. A final via hole(63a) is formed by dry etching the exposed etching stopping layer to expose the lower interconnection.

Description

이중 다마신 공정을 사용하여 비아 콘택 구조체를 형성하는 방법{Method of forming a via contact structure using a dual damascene process}Method of forming a via contact structure using a dual damascene process}

본 발명은 반도체 장치 제조 방법에 관한 것으로, 특히 이중 다마신 공정을 사용하여 비아 콘택 구조체를 형성하는 방법에 관한 것이다.TECHNICAL FIELD The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a via contact structure using a dual damascene process.

반도체 소자의 고집적화에 따라, RC 지연(RC delay) 및 EM (electro-migration) 등의 문제로 반도체 소자의 성능과 신뢰성을 결정하는 공정으로서 금속 배선 공정의 중요성이 커지고 있다. 이러한 문제에 대한 해결 방안으로서 구리 배선 및 저유전막이 반도체 장치에 적용되고 있고, 구리 배선을 형성하기 위해 다마신 공정이 사용되고 있다. Background Art With the high integration of semiconductor devices, the importance of metal wiring processes is increasing as a process for determining performance and reliability of semiconductor devices due to problems such as RC delay and electro-migration (EM). As a solution to this problem, copper wirings and low dielectric films are applied to semiconductor devices, and a damascene process is used to form copper wirings.

이중 다마신 공정은 비아홀과 트렌치를 형성한 후에 구리 등의 금속재료를 매립한 후, 화학기계적 연마(chemical-mechanical Polishing; CMP) 공정을 거쳐, 금속 배선과 비아 플러그(via plug)를 함께 형성하는 공정을 말한다. 이 때, 상기 트렌치를 형성하는 동안, 하부 배선에 식각손상(etch damage)이 발생할 수 있다.In the dual damascene process, vias and trenches are formed, metal materials such as copper are embedded, and chemical-mechanical polishing (CMP) processes are performed to form metal wires and via plugs together. Say fair. At this time, while forming the trench, etch damage may occur in the lower wiring.

상기 하부배선의 식각손상을 방지하는 방법이 미국 특허 제6,461,955호에 "산화물 충전을 통한 이중 다마신 공정의 수율 향상(YIELD IMPROVEMENT OF DUAL DAMASECNE FABRICATION THROUGH OXIDE FILLING)"이라는 제목으로 츄(Tsu) 등에 의해 개시된 바 있다.The method of preventing the etching damage of the lower wiring is described in US Pat. It has been disclosed.

도 1a 및 도 1b는 상기 미국특허 제6,461,955호에 개시된 이중 다마신 공정을 설명하기 위한 단면도들이다.1A and 1B are cross-sectional views illustrating the dual damascene process disclosed in US Pat. No. 6,461,955.

도 1a를 참조하면, 하부배선(3)이 형성된 반도체기판(1) 상부에 식각저지막(etch stop layer, 5)을 형성한다. 상기 식각저지막(5)은 전형적으로(typically) 실리콘 질화막을 포함한다. Referring to FIG. 1A, an etch stop layer 5 is formed on the semiconductor substrate 1 on which the lower wiring 3 is formed. The etch stop film 5 typically comprises a silicon nitride film.

상기 식각저지막(5) 상에 층간절연막(7)과 금속간절연막(intermetal dielectric layer, 9)을 형성한다. 상기 층간절연막(7)과 상기 금속간절연막(9)은 , 도 1a에 도시된 바와 같이, 단일막으로 형성될 수 있다. 또한, 상기 층간절연막(7)과 금속간 절연막(9) 사이에 트렌치 식각저지막(도시하지 않음)을 형성할 수 있다. An interlayer insulating layer 7 and an intermetal dielectric layer 9 are formed on the etch stop layer 5. The interlayer insulating film 7 and the intermetallic insulating film 9 may be formed as a single film, as shown in FIG. 1A. In addition, a trench etch stop film (not shown) may be formed between the interlayer insulating film 7 and the intermetallic insulating film 9.

상기 금속간절연막(9) 상에 하드마스크막(11)이 형성될 수 있다. 상기 하드마스크막(11)은 비아홀의 모서리(corner)를 보호한다.A hard mask layer 11 may be formed on the intermetallic insulating layer 9. The hard mask layer 11 protects the corners of the via holes.

상기 하드마스크막(11), 상기 금속간절연막(9) 및 상기 층간절연막(5)을 차례로 패터닝하여 상기 하부배선(3) 상부의 상기 식각저지막(5)을 노출시키는 예비비아홀(13)을 형성한다. The preliminary via hole 13 exposing the etch stop layer 5 on the lower interconnection 3 is patterned by sequentially patterning the hard mask layer 11, the intermetallic insulating layer 9, and the interlayer insulating layer 5. Form.

상기 예비비아홀(13)이 형성된 후, 상기 예비비아홀(13)을 매립하는 비아보호층(via protect layer, 15)을 형성한다. 상기 비아보호층(15)은 상기 층간절연막(5)에 대하여 습식식각 선택비를 갖는 막으로 형성된다. 상기 하드마스크막(11) 상부의 상기 비아보호층(15)을 선택적으로 식각하여 제거한다. 그 결과, 상기 비아보호층(15)은 상기 예비비아홀(13) 내부에만 잔류한다. After the preliminary via hole 13 is formed, a via protect layer 15 filling the preliminary via hole 13 is formed. The via protective layer 15 is formed of a film having a wet etching selectivity with respect to the interlayer insulating film 5. The via protective layer 15 on the hard mask layer 11 is selectively etched and removed. As a result, the via protection layer 15 remains only inside the preliminary via hole 13.

상기 예비비아홀(13) 내부에 잔류하는 상기 비아보호층(15)을 형성한 후, 상기 하드마스크막(11) 및 상기 금속간 절연막(9)를 패터닝하여, 상기 예비비아홀(13)을 가로지르며 상기 금속간 절연막 내에 위치하는 트렌치 영역(17)을 한정한다. 상기 층간절연막(7)과 상기 금속간 절연막(9) 사이에 상기 트렌치 식각 저지막(도시하지 않음)을 형성한 경우, 상기 트렌치 식각 저지막의 상부면을 노출시키도록 상기 금속간 절연막(9)을 식각한다. 상기 비아보호층(15)은 상기 트렌치 영역(17)을 형성하는 동안, 상기 하부 배선(3)의 식각 손상(etch damage)을 방지한다.After forming the via protective layer 15 remaining in the preliminary via hole 13, the hard mask layer 11 and the intermetallic insulating layer 9 are patterned to cross the preliminary via hole 13. The trench region 17 located in the intermetallic insulating film is defined. When the trench etch stop film (not shown) is formed between the interlayer insulating film 7 and the intermetallic insulating film 9, the intermetallic insulating film 9 is exposed to expose an upper surface of the trench etch stop film. Etch it. The via protection layer 15 prevents etch damage of the lower interconnection 3 while the trench region 17 is formed.

도 1b를 참조하면, 상기 예비비아홀(13) 내에 잔류하는 상기 비아보호층(15)을 습식식각을 사용하여 제거한다. 또한, 상기 하드마스크막(11) 및 노출된 상기 식각저지막(5)을 제거한다. 그 결과, 상기 하부배선(3)을 노출시키는 최종 비아홀(13a)이 형성된다.Referring to FIG. 1B, the via protection layer 15 remaining in the preliminary via hole 13 is removed by wet etching. In addition, the hard mask layer 11 and the exposed etch stop layer 5 are removed. As a result, the final via hole 13a exposing the lower wiring 3 is formed.

상기 비아보호층(15)은 상기 층간절연막(7)에 대하여 높은 습식식각 선택비를 갖는다. 따라서, 상기 비아보호층(15)을 제거하는 동안, 상기 층간절연막(7)의 측벽이 보호된다. The via protection layer 15 has a high wet etching selectivity with respect to the interlayer insulating film 7. Therefore, the sidewalls of the interlayer insulating film 7 are protected while the via protection layer 15 is removed.

그러나, 상기 층간절연막(7)과 상기 식각저지막(5) 사이의 계면(interface)은 높은 식각률을 나타낼 수 있다. 따라서, 습식식각을 오랜 시간동안 진행하면 상기 계면에서 언더컷(undercut, 19)이 발생할 수 있다. 상기 언더컷(19)은 상기 층간절연막(7)과 상기 금속간 절연막(9) 사이의 계면에서도 발생할 수 있다. 그리고, 상기 트렌치 식각저지막을 형성한 경우, 상기 트렌치 식각저지막과 상기 층간절연막(7)의 계면 또는 상기 트렌치 식각저지막과 상기 금속간 절연막(9)의 계면에서도 발생할 수 있다.However, an interface between the interlayer insulating layer 7 and the etch stop layer 5 may exhibit a high etching rate. Therefore, when the wet etching is performed for a long time, an undercut 19 may occur at the interface. The undercut 19 may also occur at an interface between the interlayer insulating film 7 and the intermetallic insulating film 9. When the trench etch stop layer is formed, the trench etch stop layer may also occur at an interface between the trench etch stop layer and the interlayer insulating layer 7 or at an interface between the trench etch stop layer and the intermetallic insulating layer 9.

상기 최종 비아홀(13a)이 형성된 후, 확산방지 금속막(barrier metal layer) 및 구리 씨드막(Cu seed layer)을 형성하고, 구리막을 형성한다.After the final via hole 13a is formed, a barrier metal layer and a copper seed layer are formed, and a copper film is formed.

그런데, 상기 최종 비아홀(13a) 및 상기 트렌치 영역(17) 내부에 상기 언더컷(19)이 존재하면, 상기 확산방지 금속막 및 상기 구리 씨드막이 불연속적으로 형성되고, 그 결과 상기 최종 비아홀(13a) 및 상기 트렌치 영역(17) 내부에 구리를 매립하기 어렵다.However, when the undercut 19 is present in the final via hole 13a and the trench region 17, the diffusion preventing metal film and the copper seed film are discontinuously formed, and as a result, the final via hole 13a is formed. And it is difficult to embed copper in the trench region 17.

한편, 상기 언더컷(19) 발생을 방지하기 위하여 습식식각을 충분히 하지 못한다면, 상기 비아홀(13) 내부의 상기 비아보호층(13)을 완전히 제거하지 못할 수 있다. Meanwhile, if the wet etching is not sufficiently performed to prevent the undercut 19 from occurring, the via protection layer 13 inside the via hole 13 may not be completely removed.

결과적으로, 종래의 방법으로는, 충분한 공정 마아진(margin)을 가지면서, 구리매립을 위한 비아홀 및 트렌치 영역의 측벽 프로파일을 확보하기 어렵다.As a result, with conventional methods, it is difficult to ensure sidewall profiles of via holes and trench regions for copper embedding while having sufficient process margins.

본 발명의 목적은, 충분한 공정 마아진을 확보하면서, 구리매립을 위한 비아홀 및 트렌치 영역의 측벽 프로파일을 확보할 수 있는 비아콘택 구조체 형성방법을 제공하는 데 있다.An object of the present invention is to provide a method for forming a via contact structure capable of securing sidewall profiles of via holes and trench regions for embedding copper while ensuring sufficient process margin.

상기 목적을 달성하기 위하여, 본 발명은 이중 다마신 공정을 사용하여 비아콘택 구조체를 형성하는 방법을 제공한다. In order to achieve the above object, the present invention provides a method for forming a via contact structure using a dual damascene process.

본 발명의 일양태에 따르면, 상기 방법은 반도체기판 상에 하부배선을 형성하는 것을 구비한다. 상기 하부배선을 갖는 반도체기판의 전면 상에 식각저지막 및 층간절연막을 차례로 형성한다. 상기 층간절연막은 인시투(in-situ) 공정을 사용하여 형성된다. 그 후, 상기 층간절연막을 패터닝하여 상기 하부배선 상부의 상기 식각저지막을 노출시키는 예비비아홀을 형성하고, 상기 예비비아홀을 매립하는 비아보호층(via protection layer)을 형성한다. 그 후, 상기 층간절연막을 패터닝하여 상기 예비비아홀의 상부를 가로지르며, 상기 층간절연막 내에 위치하는 트렌치 영역을 형성하고, 상기 예비비아홀 내부에 잔류하는 비아보호층을 제거하여 상기 식각저지막을 노출시킨다. 상기 노출된 식각저지막을 건식식각하여 상기 하부배선을 노출시키는 최종비아홀을 형성한다.According to one aspect of the present invention, the method includes forming a lower wiring on a semiconductor substrate. An etch stop film and an interlayer insulating film are sequentially formed on the entire surface of the semiconductor substrate having the lower wiring. The interlayer insulating film is formed using an in-situ process. Thereafter, the interlayer insulating layer is patterned to form a preliminary via hole exposing the etch stop layer on the lower interconnection, and a via protection layer filling the preliminary via hole. Thereafter, the interlayer insulating layer is patterned to cross the upper portion of the preliminary via hole, to form a trench region located in the interlayer insulating layer, and to remove the via protection layer remaining in the preliminary via hole to expose the etch stop layer. The exposed etch stop layer is dry etched to form a final via hole exposing the lower wiring.

바람직하게는, 상기 식각저지막을 형성하기 전에 확산방지막(diffusion barrier layer)을 더 형성할 수 있다. 상기 확산방지막은 상기 하부 배선의 금속 원자가 상기 층간절연막으로 확산되는 것을 방지한다.Preferably, a diffusion barrier layer may be further formed before forming the etch stop layer. The diffusion barrier prevents the metal atoms of the lower wiring from diffusing into the interlayer insulating layer.

상기 층간절연막을 인시투 공정을 사용하여 형성하는 것과 달리 엑스시투(ex-situ) 공정을 사용하여 형성할 수 있다. 다만, 이때는 상기 식각저지막을 표면처리한 후 상기 층간절연막을 형성한다. 상기 표면처리는 플라즈마 기체를 이용하여 실시할 수 있다. 상기 표면처리는 상기 식각저지막과 상기 층간절연막의 계면을 치밀하게 하여 계면 식각률을 감소시킨다.The interlayer insulating film may be formed using an ex-situ process, unlike the in-situ process. In this case, however, the etch stop layer is surface treated to form the interlayer insulating layer. The surface treatment may be performed using a plasma gas. The surface treatment reduces the interface etch rate by densifying the interface between the etch stop layer and the interlayer insulating layer.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein and may be embodied in other forms. Rather, the embodiments introduced herein are provided to ensure that the disclosed subject matter is thorough and complete, and that the scope of the invention to those skilled in the art will fully convey. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. Like numbers refer to like elements throughout.

도 2는 본 발명의 실시예들에 따른 비아콘택 구조체를 형성하는 방법을 설명하기 위한 공정 순서도(process flow chart)이고, 도 3a 내지 도 3f는 본 발명의 실시예들에 따른 비아콘택 구조체를 형성하는 방법을 설명하기 위한 단면도들이다.2 is a process flow chart illustrating a method of forming a via contact structure according to embodiments of the present invention, and FIGS. 3A to 3F illustrate a via contact structure according to embodiments of the present invention. It is sectional drawing for demonstrating the method.

도 2 및 도 3a를 참조하면, 반도체기판(51) 상에 하부절연막(53)을 형성한다. 상기 하부절연막(53) 내에 통상의 다마신 기술을 사용하여 하부배선(55)을 형성한다(도 2의 단계 21). 상기 하부배선(55)은 구리막 또는 텅스텐막으로 형성될 수 있다. 2 and 3A, a lower insulating layer 53 is formed on the semiconductor substrate 51. A lower wiring 55 is formed in the lower insulating film 53 using a conventional damascene technique (step 21 of FIG. 2). The lower wiring 55 may be formed of a copper film or a tungsten film.

상기 하부배선(55)을 갖는 반도체기판의 전면 상에 식각저지막(59) 및 층간절연막(61)을 차례로 형성한다(도 2의 단계 23). 상기 식각저지막(59)은 상기 층간절연막(61)에 대하여 식각선택비를 갖는 절연막으로 형성하는 것이 바람직하다. 바람직하게는, 상기 식각저지막(59)은 실리콘 질화막(SiN), 실리콘 탄화막(SiC), 실리콘 탄질화막(SiCN) 및 벤젠고리부틸렌(benzocyclobutene; BCB)으로 이루어진 일군으로부터 선택된 적어도 하나의 막으로 형성할 수 있다. An etch stop film 59 and an interlayer insulating film 61 are sequentially formed on the entire surface of the semiconductor substrate having the lower wiring 55 (step 23 of FIG. 2). The etch stop layer 59 may be formed of an insulating layer having an etch selectivity with respect to the interlayer insulating layer 61. Preferably, the etch stop layer 59 is at least one film selected from the group consisting of silicon nitride (SiN), silicon carbide (SiC), silicon carbonitride (SiCN) and benzenecyclobutene (BCB). It can be formed as.

상기 층간절연막(61)은 반도체소자의 동작속도를 향상시키고, 상기 층간절연막(61) 내에 계면이 형성되는 것을 방지하기 위하여 단일 저유전막(a single low-k dielectric layer)으로 형성하는 것이 바람직하다. 상기 단일 저유전막은 탄소, 불소 또는 수소를 함유하는 실리콘 산화막, 예컨대 SiOC막, SiOCH막 또는 SiOF막으로 형성할 수 있다.The interlayer insulating layer 61 may be formed of a single low-k dielectric layer to improve the operation speed of the semiconductor device and to prevent the formation of an interface in the interlayer insulating layer 61. The single low dielectric film may be formed of a silicon oxide film containing carbon, fluorine or hydrogen, such as a SiOC film, SiOCH film or SiOF film.

상기 식각저지막(59)을 형성한 후, 상기 식각저지막(59)과 상기 층간절연막(61)의 계면이 치밀해지도록, 인시투 공정을 사용하여 상기 층간절연막(61)을 형성한다. 즉, 상기 식각저지막(59)을 형성한 후, 진공 브레이크 없이(without vacuum breaking) 상기 층간절연막(61)을 형성한다. 인시투 공정을 사용하여 상기 층간절연막(61)이 형성되므로, 상기 식각저지막(59)과 상기 층간절연막(61)의 계면이 치밀해진다.After the etching stop layer 59 is formed, the interlayer insulating layer 61 is formed using an in-situ process so that the interface between the etching stop layer 59 and the interlayer insulating layer 61 is dense. That is, after the etch stop layer 59 is formed, the interlayer insulating layer 61 is formed without vacuum breaking. Since the interlayer insulating layer 61 is formed using an in-situ process, the interface between the etch stop layer 59 and the interlayer insulating layer 61 is dense.

이와 달리, 상기 식각저지막(59)을 형성한 후, 상기 식각저지막(59)을 플라즈마 기체를 이용하여 표면처리하는 방법을 사용할 수 있다. 상기 플라즈마 기체로는 NH3, O2, O3, CO2, N2O 및 Ar으로 이루어진 일군으로부터 선택된 적어도 하나의 기체를 사용할 수 있다. 상기 기체를 여기시켜 플라즈마 상태로 만든 후, 이들 기체를 사용하여 상기 식각저지막(59)의 표면을 처리한다. 상기 층간절연막(61)을 엑스시투(ex-situ) 공정을 사용하여 형성하더라도, 상기 식각저지막(59) 표면처리에 의해 상기 식각저지막(59)과 상기 층간절연막(61)의 계면이 치밀해질 수 있다.Alternatively, after the etch stop layer 59 is formed, a method of surface treating the etch stop layer 59 using plasma gas may be used. As the plasma gas, at least one gas selected from the group consisting of NH 3 , O 2 , O 3 , CO 2 , N 2 O, and Ar may be used. After the gas is excited to bring it into a plasma state, these gases are used to treat the surface of the etch stop layer 59. Even when the interlayer insulating layer 61 is formed using an ex-situ process, the interface between the etch stop layer 59 and the interlayer insulating layer 61 is dense by surface treatment of the etch stop layer 59. Can be done.

한편, 상기 식각저지막(59)을 형성하기 전에 확산방지막(diffusion barrier layer, 57)을 형성할 수 있다. 상기 확산방지막(57)은 상기 하부배선(55)으로 부터 금속원자가 상기 층간절연막(61)으로 확산되는 것을 방지한다. 바람직하게는, 상기 확산방지막(57)은 실리콘 질화막(SiN), 실리콘 탄화막(SiC), 실리콘 탄질화막(SiCN) 또는 벤젠고리부틸렌(benzocyclobutene; BCB)으로 이루어진 일군으로부터 선택된 적어도 하나의 막으로 형성할 수 있다.Meanwhile, before forming the etch stop layer 59, a diffusion barrier layer 57 may be formed. The diffusion barrier 57 prevents the diffusion of metal atoms from the lower interconnection 55 into the interlayer insulating layer 61. Preferably, the diffusion barrier 57 is at least one film selected from the group consisting of silicon nitride (SiN), silicon carbide (SiC), silicon carbonitride (SiCN), or benzocyclobutene (BCB). Can be formed.

상기 층간절연막(61)을 사진 및 식각 공정으로 패터닝하여 상기 식각저지막(59)을 노출시키는 예비비아홀(63)을 형성한다(도 2의 단계 25). 상기 식각저지막(59)은 상기 층간절연막(61)에 대해 식각선택비를 가지므로, 상기 식각저지막(59)의 식각률 보다 상기 층간절연막(61)의 식각률이 높은 에천트(etchant)를 사용하여 식각을 실시한다.The interlayer insulating layer 61 is patterned by photolithography and etching to form a preliminary via hole 63 exposing the etch stop layer 59 (step 25 of FIG. 2). Since the etch stop layer 59 has an etch selectivity with respect to the interlayer insulating layer 61, an etchant having a higher etch rate of the interlayer insulating layer 61 than that of the etch stop layer 59 is used. Etching is performed.

바람직하게는, 상기 층간절연막(61) 상에 하드마스크막(도시하지 않음)을 형성한 후, 상기 하드마스크막 및 상기 층간절연막(61)을 패터닝하여 상기 예비비아홀(63)을 형성할 수 있다. 상기 하드마스크막은 상기 층간절연막(61)에 대하여 식각선택비를 갖는 물질막으로 형성한다. 상기 하드마스크막은 상기 예비비아홀(63)의 모서리(corner)를 보호한다.Preferably, after forming a hard mask layer (not shown) on the interlayer insulating layer 61, the preliminary via hole 63 may be formed by patterning the hard mask layer and the interlayer insulating layer 61. . The hard mask layer is formed of a material layer having an etching selectivity with respect to the interlayer insulating layer 61. The hard mask layer protects a corner of the preliminary via hole 63.

도 2 및 도 3b를 참조하면, 상기 예비비아홀(63)이 형성된 반도체기판의 전면 상에 상기 예비비아홀을 채우는 비아보호층(65)을 형성한다(도 2의 단계 27). 상기 비아보호층(65)은 상기 층간절연막(61) 및 상기 식각저지막(59)에 대하여 습식식각 선택비를 갖는 물질막으로 형성한다. 한편, 상기 비아보호층(65)은 상기 층간절연막(61)에 비해 1배 내지 2배 빠른 건식식각 선택률을 갖는 물질막으로 형성하는 것이 바람직하다. 바람직하게는, 상기 물질막은 스핀 코팅방식을 사용하여 수소 함유된 산화막(hydro-silses-quioxane layer; HSQ layer)으로 형성할 수 있다. 이에 따라, 상기 예비비아홀(63)은 상기 비아보호층(65)으로 완전히 채워지고, 상기 비아보호층(65)은 평평한 상부면(flat surface)을 가질 수 있다.2 and 3B, a via protection layer 65 filling the preliminary via hole is formed on the entire surface of the semiconductor substrate on which the preliminary via hole 63 is formed (step 27 of FIG. 2). The via protection layer 65 is formed of a material film having a wet etching selectivity with respect to the interlayer insulating layer 61 and the etch stop layer 59. The via protective layer 65 may be formed of a material film having a dry etching selectivity of 1 to 2 times faster than the interlayer insulating layer 61. Preferably, the material layer may be formed of a hydro-silses-quioxane layer (HSQ layer) using spin coating. Accordingly, the preliminary via hole 63 may be completely filled with the via protection layer 65, and the via protection layer 65 may have a flat surface.

도 2 및 도 3c를 참조하면, 상기 비아보호층(65) 및 상기 층간절연막(61)을 사진 및 식각 공정으로 차례로 패터닝하여 상기 예비비아홀(63) 상부를 가로지르며, 상기 층간절연막(61) 및 상기 비아보호층(65) 내에 위치하는 트렌치 영역(67)을 한정한다(도 2의 단계 29). 상기 층간절연막(61)을 단일 저유전막으로 형성한 경우, 상기 트렌치 영역(67)은 상기 층간절연막(61)을 부분식각(partially etching)함으로써 형성된다. 상기 층간절연막(61) 상에 하드마스크막을 형성한 경우, 상기 하드마스크막도 같이 패터닝된다.2 and 3C, the via protective layer 65 and the interlayer insulating layer 61 are sequentially patterned by photo and etching processes to cross the upper portion of the preliminary via hole 63, and the interlayer insulating layer 61 and Define trench region 67 located within via protection layer 65 (step 29 of FIG. 2). When the interlayer insulating layer 61 is formed of a single low dielectric film, the trench region 67 is formed by partially etching the interlayer insulating layer 61. When a hard mask film is formed on the interlayer insulating film 61, the hard mask film is also patterned.

상기 비아보호층(65)은 상기 층간절연막(61)에 비해 빠른 건식식각 선택비를 갖는다. 따라서, 상기 비아보호층은(65)은 상기 예비비아홀(63) 내에 잔류할 수는 있으나, 상기 트렌치 영역(67) 내에는 잔류하지 않는다. 한편, 상기 비아보호층(65)은 상기 예비비아홀(63)에 비해 2배 이하의 건식식각 선택률을 갖는다. 따라서, 상기 예비비아홀(63) 내에 잔류하는 비아보호층(65a)이 상기 하부배선(55)의 식각손상을 방지한다.The via protection layer 65 has a faster dry etching selectivity than the interlayer insulating layer 61. Accordingly, the via protection layer 65 may remain in the preliminary via hole 63, but does not remain in the trench region 67. Meanwhile, the via protection layer 65 has a dry etching selectivity of 2 times or less than that of the preliminary via hole 63. Therefore, the via protection layer 65a remaining in the preliminary via hole 63 prevents etching damage of the lower interconnection 55.

도 2 및 도 3d를 참조하면, 상기 예비비아홀(63) 내의 상기 비아보호층(65a) 및 상기 층간절연막(61) 상부의 상기 비아보호층(65)을 제거한다(도 2의 단계 31). 상기 비아보호층들(65 및 65a)은 습식식각을 사용하여 제거한다. 그 결과, 상기 식각저지막(59)이 노출된다.2 and 3D, the via protection layer 65a and the via protection layer 65 on the interlayer insulating layer 61 in the preliminary via hole 63 are removed (step 31 of FIG. 2). The via protection layers 65 and 65a are removed using wet etching. As a result, the etch stop layer 59 is exposed.

상기 비아보호층(65a)은 상기 층간절연막(61) 및 상기 식각저지막(59)에 대하여 습식식각 선택비를 가지므로, 상기 층간절연막(61) 및 상기 식각저지막(59)의 표면 식각손상이 방지된다. Since the via protective layer 65a has a wet etching selectivity with respect to the interlayer insulating layer 61 and the etch stop layer 59, surface etch damage of the interlayer insulating layer 61 and the etch stop layer 59 is performed. This is avoided.

한편, 상기 예비비아홀(63) 내에 노출되는 계면, 즉 상기 식각저지막(59)과 상기 층간절연막(61) 사이의 계면(interface)은, 도3a를 참조하여 설명한 바와 같이, 인시투 공정 또는 표면처리에 의해 치밀하다. 따라서, 상기 비아보호층(65a)을 제거하는 동안, 상기 층간절연막(61)과 상기 식각저지막(59) 사이의 계면에서 언더컷이 발생하는 것을 방지할 수 있다. 이에 따라, 상기 비아보호층(65a)을 제거하기 위한 습식식각 공정시간을 더 늘릴 수 있다.Meanwhile, an interface exposed in the preliminary via hole 63, that is, an interface between the etch stop layer 59 and the interlayer insulating layer 61 may be an in-situ process or surface, as described with reference to FIG. 3A. Dense by treatment Therefore, during the removal of the via protection layer 65a, undercut may be prevented from occurring at the interface between the interlayer insulating layer 61 and the etch stop layer 59. Accordingly, the wet etching process time for removing the via protection layer 65a may be further increased.

도 2 및 도 3e를 참조하면, 상기 노출된 식각저지막(59)을 제거하여 상기 하부배선(55)을 노출시킨다(도 2의 단계 33). 상기 식각저지막(59)은 건식식각을 사용하여 제거할 수 있다. 한편, 상기 식각저지막(59) 하부에 상기 확산방지막(57)이 형성되어 있는 경우, 상기 확산방지막(67)도 건식식각 공정을 사용하여 제거한다.2 and 3E, the exposed etch stop layer 59 is removed to expose the lower interconnection 55 (step 33 of FIG. 2). The etch stop layer 59 may be removed using dry etching. Meanwhile, when the diffusion barrier layer 57 is formed below the etch stop layer 59, the diffusion barrier layer 67 is also removed using a dry etching process.

그 결과, 상기 하부배선(55)을 노출시키는 최종비아홀(63a)이 형성된다.As a result, the final via hole 63a exposing the lower wiring 55 is formed.

도 2 및 도 3f를 참조하면, 상기 최종비아홀(63a)이 형성된 반도체기판의 전면 상에 상부 금속막을 형성한다. 상기 상부금속막은 확산방지금속막(barrier metal layer; 69) 및 금속막(71)을 차례로 적층시키어 형성할 수 있다. 상기 확산방지금속막(69)은 탄탈륨 질화막(TaN) 또는 타이타늄 질화막(TiN)으로 형성할 수 있다. 상기 금속막(71)은 구리막으로 형성한다. 상기 구리막(71)은 상기 확산장벽금속막(69) 상에 구리씨드막(Cu seed layer)을 먼저 형성한 후, 화학기상증착(CVD) 법 또는 플레이팅(plaiting) 법으로 형성할 수 있다. 2 and 3F, an upper metal film is formed on the entire surface of the semiconductor substrate on which the final via hole 63a is formed. The upper metal layer may be formed by sequentially stacking a barrier metal layer 69 and a metal layer 71. The diffusion barrier metal film 69 may be formed of a tantalum nitride film (TaN) or a titanium nitride film (TiN). The metal film 71 is formed of a copper film. The copper layer 71 may be formed by first forming a copper seed layer on the diffusion barrier metal layer 69 and then using chemical vapor deposition (CVD) or plating. .

상기 층간절연막(61)과 상기 식각저지막(59) 사이의 계면에서 언더컷 발생이 방지 되므로 상기 확산장벽금속막(69) 및 상기 구리씨드막이 연속적으로 형성된다. 따라서, 상기 구리막(71)도 상기 최종 비아홀(63a) 및 상기 트렌치 영역(67)을 잘 채울 수 있다.Since the undercut is prevented at the interface between the interlayer insulating layer 61 and the etch stop layer 59, the diffusion barrier metal layer 69 and the copper seed layer are continuously formed. Accordingly, the copper layer 71 may also fill the final via hole 63a and the trench region 67 well.

상기 확산장벽금속막(69) 및 상기 금속막(71)을 평탄화시키어 상기 트렌치 영역(67) 및 상기 최종 비아홀(63a) 내에 상부 배선을 형성한다(도 2의 단계 35). 상기 평탄화 공정은 화학기계적 연마공정을 사용하여 실시될 수 있다.The diffusion barrier metal film 69 and the metal film 71 are planarized to form upper interconnections in the trench region 67 and the final via hole 63a (step 35 of FIG. 2). The planarization process may be carried out using a chemical mechanical polishing process.

상술한 바와 같이 본 발명의 실시예에 따르면, 비아보호층을 제거하기 위한 공정 마아진을 확보하면서, 구리매립을 위한 비아홀 및 트렌치 영역의 측벽 프로파일을 확보할 수 있다. 이에 따라, 구리매립 불량을 방지할 수 있다.As described above, according to the embodiment of the present invention, the sidewall profile of the via hole and the trench region for embedding the copper may be secured while securing the process margin for removing the via protection layer. As a result, poor copper embedding can be prevented.

도 1a 및 도 1b는 종래기술에 따른 이중 다마신 공정을 사용하여 비아 콘택 구조체를 형성하는 방법을 설명하기 위한 단면도들이다. 1A and 1B are cross-sectional views illustrating a method of forming a via contact structure using a dual damascene process according to the prior art.

도 2는 본 발명의 실시예에 따른 이중 다마신 공정을 사용하여 비아 콘택 구조체를 형성하는 방법을 설명하기 위한 공정순서도이다.2 is a process flowchart illustrating a method of forming a via contact structure using a dual damascene process according to an embodiment of the present invention.

도 3a 내지 도 3f는 본 발명의 실시예에 따른 이중 다마신 공정을 사용하여 비아 콘택 구조체를 형성하는 방법을 설명하기 위한 단면도들이다.3A to 3F are cross-sectional views illustrating a method of forming a via contact structure using a dual damascene process according to an embodiment of the present invention.

Claims (6)

반도체기판 상에 하부배선을 형성하고,A lower wiring is formed on the semiconductor substrate, 반도체기판 상에 식각저지막 및 층간절연막을 차례로 형성하되, 상기 층간절연막은 인시투(in-situ) 공정을 사용하여 형성되고,An etch stop layer and an interlayer dielectric layer are sequentially formed on the semiconductor substrate, and the interlayer dielectric layer is formed using an in-situ process. 상기 층간절연막을 패터닝하여 상기 하부배선 상부의 상기 식각저지막을 노출시키는 예비비아홀을 형성하고,Patterning the interlayer insulating layer to form a preliminary via hole exposing the etch stop layer on the lower interconnection; 상기 예비비아홀을 매립하는 비아보호층(via protection layer)을 형성하고,Forming a via protection layer filling the preliminary via hole, 상기 층간절연막을 패터닝하여 상기 예비비아홀의 상부를 가로지르며, 상기 층간절연막 내에 위치하는 트렌치 영역을 형성하고,Patterning the interlayer insulating film to form a trench region crossing the upper portion of the preliminary via hole and positioned in the interlayer insulating film; 상기 예비비아홀 내부에 잔류하는 비아보호층을 제거하여 상기 식각저지막을 노출시키고,Exposing the etch stop layer by removing the via protection layer remaining in the preliminary via hole, 상기 노출된 식각저지막을 건식식각하여 상기 하부배선을 노출시키는 최종비아홀을 형성하는 것을 포함하는 비아콘택 구조체 형성방법.And etching the exposed etch stop layer to form a final via hole exposing the lower interconnection. 제 1 항에 있어서,The method of claim 1, 상기 식각저지막을 형성하기 전에 확산방지막을 형성하는 것을 더 포함하되, 상기 노출된 식각저지막 하부의 상기 확산방지막은 상기 노출된 식각저지막과 함께 제거되는 것을 특징으로 하는 비아콘택 구조체 형성방법.And forming a diffusion barrier layer before forming the etch stop layer, wherein the diffusion barrier layer below the exposed etch stop layer is removed together with the exposed etch stop layer. 제 1 항에 있어서,The method of claim 1, 상기 식각저지막은 SiN, SiC, SiCN 또는 BCB로 이루어진 일군으로부터 선택된 적어도 하나의 막으로 형성되는 것을 특징으로 하는 비아콘택 구조체 형성방법.The etch stop layer is a via contact structure forming method, characterized in that formed with at least one film selected from the group consisting of SiN, SiC, SiCN or BCB. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막은 단일 저유전막(a single low-k dielectric layer)으로 형성되는 것을 특징으로 하는 비아콘택 구조체 형성방법.And the interlayer insulating film is formed of a single low-k dielectric layer. 제 4 항에 있어서,The method of claim 4, wherein 상기 단일 저유전막은 SiOC막, SiOCH막 또는 SiOF막으로 이루어진 일군으로부터 선택된 어느 하나의 막으로 형성되는 것을 특징으로 하는 비아콘택 구조체 형성방법.And the single low dielectric film is formed of any one film selected from the group consisting of SiOC film, SiOCH film or SiOF film. 반도체기판 상에 하부배선을 형성하고,A lower wiring is formed on the semiconductor substrate, 상기 하부배선을 갖는 반도체기판의 전면 상에 식각저지막 및 층간절연막을 차례로 형성하되, 상기 층간절연막은 상기 식각저지막을 표면처리한 후에 형성되고,An etch stop layer and an interlayer dielectric layer are sequentially formed on the entire surface of the semiconductor substrate having the lower wiring, wherein the interlayer dielectric layer is formed after surface treatment of the etch barrier layer. 상기 층간절연막을 패터닝하여 상기 하부배선 상부의 상기 식각저지막을 노출시키는 예비비아홀을 형성하고,Patterning the interlayer insulating layer to form a preliminary via hole exposing the etch stop layer on the lower interconnection; 상기 예비비아홀을 매립하는 비아보호층을 형성하고,Forming a via protection layer filling the preliminary via hole, 상기 층간절연막을 패터닝하여 상기 예비비아홀의 상부를 가로지르며, 상기 층간절연막 내에 위치하는 트렌치 영역을 형성하고,Patterning the interlayer insulating film to form a trench region crossing the upper portion of the preliminary via hole and positioned in the interlayer insulating film; 상기 예비비아홀 내부에 잔류하는 상기 비아보호층을 제거하여 상기 식각저지막을 노출시키고,Exposing the etch stop layer by removing the via protective layer remaining in the preliminary via hole, 상기 노출된 식각저지막을 건식식각하여 상기 하부배선을 노출시키는 최종비아홀을 형성하는 것을 포함하는 비아콘택 구조체 형성방법.And etching the exposed etch stop layer to form a final via hole exposing the lower interconnection.
KR1020030054159A 2003-08-05 2003-08-05 Method of forming a via contact structure using a dual damascene process KR20050015375A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030054159A KR20050015375A (en) 2003-08-05 2003-08-05 Method of forming a via contact structure using a dual damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030054159A KR20050015375A (en) 2003-08-05 2003-08-05 Method of forming a via contact structure using a dual damascene process

Publications (1)

Publication Number Publication Date
KR20050015375A true KR20050015375A (en) 2005-02-21

Family

ID=37226173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030054159A KR20050015375A (en) 2003-08-05 2003-08-05 Method of forming a via contact structure using a dual damascene process

Country Status (1)

Country Link
KR (1) KR20050015375A (en)

Similar Documents

Publication Publication Date Title
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US8298935B2 (en) Dual damascene process
KR100487948B1 (en) Method of forming a via contact structure using a dual damascene technique
US7129162B2 (en) Dual cap layer in damascene interconnection processes
US20090283912A1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
WO2007062383A2 (en) Integration of pore sealing liner into dual-damascene methods and devices
KR20000077104A (en) Yield improvement of dual damascene fabrication through oxide filling
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US9093455B2 (en) Back-end-of-line (BEOL) interconnect structure
US6774031B2 (en) Method of forming dual-damascene structure
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
JP5047504B2 (en) Method for manufacturing dual damascene wiring of semiconductor device using via capping protective film
US20120199980A1 (en) Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
JP2008047582A (en) Semiconductor device and method of manufacturing the same
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
US7094683B2 (en) Dual damascene method for ultra low K dielectrics
KR100691105B1 (en) Method of forming copper interconnection using dual damascene process
JP2009026866A (en) Semiconductor device and method of manufacturing the same
KR20050015375A (en) Method of forming a via contact structure using a dual damascene process
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR100731085B1 (en) Method of forming copper interconnection using dual damascene process
KR101138082B1 (en) A method for forming a dual damascene pattern in semiconductor device
KR20050116479A (en) Method of forming a via contact structure using a dual damascene process

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination