KR20050010700A - Method for manufacturing semiconductor memory device - Google Patents

Method for manufacturing semiconductor memory device Download PDF

Info

Publication number
KR20050010700A
KR20050010700A KR1020030050087A KR20030050087A KR20050010700A KR 20050010700 A KR20050010700 A KR 20050010700A KR 1020030050087 A KR1020030050087 A KR 1020030050087A KR 20030050087 A KR20030050087 A KR 20030050087A KR 20050010700 A KR20050010700 A KR 20050010700A
Authority
KR
South Korea
Prior art keywords
forming
storage node
contact hole
interlayer insulating
node contact
Prior art date
Application number
KR1020030050087A
Other languages
Korean (ko)
Inventor
서원준
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030050087A priority Critical patent/KR20050010700A/en
Publication of KR20050010700A publication Critical patent/KR20050010700A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method of manufacturing a semiconductor memory device is provided to cover an exposed part of a bit line and a second contact plug by forming a single nitride layer capable of adjusting a step coverage. CONSTITUTION: A bit line(110) having a conductive layer and an insulating layer is formed on a semiconductor substrate(100) having a contact pad. A first interlayer dielectric is formed on the semiconductor substrate. A first storage node contact hole is formed to expose the contact pad. A first contact plug(125) is formed within the first storage node contact hole. A second interlayer dielectric(130) is formed thereon. A second storage node contact hole is formed by etching the second interlayer dielectric. A nitride layer(135) is formed on the second interlayer dielectric and the second storage node contact hole. The first contact plug is exposed by etching the nitride layer. A second contact plug(140) is formed within the second storage node contact hole.

Description

반도체 메모리 소자의 제조방법{Method for manufacturing semiconductor memory device}Method for manufacturing semiconductor memory device

본 발명은 반도체 메모리 소자의 제조방법에 관한 것으로, 보다 구체적으로는 비트 라인과 스토리지 노드 콘택 플러그간의 전기적 쇼트를 방지하기 위한 반도체 메모리 소자의 제조방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor memory device, and more particularly to a method of manufacturing a semiconductor memory device for preventing an electrical short between the bit line and the storage node contact plug.

반도체 소자의 집적도가 점점 감소됨에 따라, 협소한 공간에 넓은 표면적을 갖는 캐패시터를 형성하는 것이 매우 어려워졌다. 최근에는 충분한 캐패시턴스를 확보하기 위하여, 캐패시터의 스토리지 노드 전극을 실린더(cylinder) 형상으로 구현하고 있으며, 스토리지 노드 전극과 소오스와 연결되는 콘택 패드를 전기적으로 연결시키는 스토리지 노드 콘택 플러그를 2단으로 형성하는 방법이 도입되고 있다.As the degree of integration of semiconductor devices has gradually decreased, it has become very difficult to form capacitors having a large surface area in a narrow space. Recently, in order to secure sufficient capacitance, a storage node electrode of a capacitor is implemented in a cylinder shape, and a storage node contact plug which electrically connects the storage node electrode and a contact pad connected to the source is formed in two stages. The method is being introduced.

도 1a 및 도 1b는 2단으로 구성된 스토리지 노드 콘택 플러그를 형성하는 방법을 보여주는 반도체 메모리 소자의 단면도로서, 도 1a는 비트 라인 사이에 제 1 스토리지 노드 콘택 플러그가 형성되는 영역을 보여주며, 도 1b는 비트 라인 사이에 제 1 층간 절연막이 형성되는 영역을 보여준다.1A and 1B are cross-sectional views of a semiconductor memory device illustrating a method of forming a storage node contact plug having a two-stage structure. FIG. 1A illustrates a region in which a first storage node contact plug is formed between bit lines, and FIG. 1B. Shows an area where a first interlayer insulating film is formed between bit lines.

도 1a 및 도 1b를 참조하면, 반도체 기판(10) 상부에 비트 라인용 도전층(12) 및 하드 마스크막(14)을 순차적으로 적층한다음, 소정 부분 패터닝한다. 그후, 패터닝된 구조물 양측벽에 하드 마스크막(14)과 동일한 물질로 스페이서(16)를 형성하여, 비트 라인(20)을 완성한다. 그후, 비트 라인(20)이 형성된 결과물 상부에 제 1 층간 절연막(22)을 증착한다음, 소오스와 콘택되는 콘택 패드(도시되지 않음)가 노출되도록 제 1 층간 절연막(22)의 소정 부분을 식각하여, 제 1 스토리지 노드 콘택홀을 형성한다. 제 1 스토리지 노드 콘택홀은 하드 마스크막(14) 및 스페이서(16)를 갖는 비트 라인(20)에 의해 셀프 얼라인(self-align) 방식으로 형성된다.1A and 1B, a bit line conductive layer 12 and a hard mask layer 14 are sequentially stacked on a semiconductor substrate 10, and then predetermined portions are patterned. Thereafter, the spacers 16 are formed on the opposite sidewalls of the patterned structure with the same material as the hard mask layer 14 to complete the bit lines 20. Thereafter, the first interlayer insulating layer 22 is deposited on the resultant bit line 20, and then a portion of the first interlayer insulating layer 22 is etched to expose a contact pad (not shown) in contact with the source. Thus, a first storage node contact hole is formed. The first storage node contact hole is formed in a self-aligned manner by the bit line 20 having the hard mask layer 14 and the spacer 16.

그후, 제 1 스토리지 노드 콘택홀이 충분히 매립되도록 도전층을 증착한다음, 도전층 및 제 1 층간 절연막(22)을 화학적 기계적 연마하여, 제 1 콘택 플러그(25)를 형성한다. 결과물 상부에 제 2 층간 절연막(28)을 증착한다음, 제 1 콘택 플러그(25)가 노출되도록 제 2 층간 절연막을 식각하여, 제 2 스토리지 노드 콘택홀을 형성하고, 제 2 스토리지 노드 콘택홀내에 제 2 콘택 플러그(30)를 공지의 방식으로 형성한다. 이어서, 제 2 층간 절연막(28) 및 제 2 콘택 플러그(30) 상부에 에치 스톱퍼(32)를 형성한다.Thereafter, the conductive layer is deposited so that the first storage node contact hole is sufficiently filled, and then the conductive layer and the first interlayer insulating layer 22 are chemically mechanically polished to form the first contact plug 25. After depositing the second interlayer insulating film 28 on the resultant, the second interlayer insulating film is etched to expose the first contact plug 25 to form a second storage node contact hole, and then into the second storage node contact hole. The second contact plug 30 is formed in a known manner. Subsequently, an etch stopper 32 is formed on the second interlayer insulating film 28 and the second contact plug 30.

그러나, 상기 제 2 스토리지 노드 콘택홀을 형성하기 위한 식각 공정시, 과도 식각 및 식각 균일도를 맞추기 위한 식각으로, 도 1b와 같이 비트 라인(20) 사이의 제 1 층간 절연막(22) 및 비트 라인 스페이서(16)가 일부 유실될 수 있다. 이러한 제 1 층간 절연막(22) 및 비트 라인 스페이서(16)의 유실로 인해, 비트 라인(20), 즉 도전층(12)이 일부 노출될 수 있으며, 이에따라, 노출된 도전층(12)과 이후 형성될 제 2 콘택 플러그(30) 사이에 쇼트가 발생될 수 있다. 이러한 쇼트 문제는 비트 라인(20)의 하드 마스크막(14)의 두께를 증대시키면 해결될 수는 있으나, 현재 반도체 공정상 하드 마스크막(14)의 두께를 증대시키기는 사실상 불가능하다. 도 1b에서 "A" 부분은 쇼트가 발생된 부분을 나타내며, 도 2는 비트라인(20)과 제 2 콘택 플러그(30)가 쇼트가 발생된 상태를 보여주는 SEM 사진이다.However, during the etching process for forming the second storage node contact hole, the first interlayer insulating layer 22 and the bit line spacers between the bit lines 20 are etched to match the excessive etching and the etching uniformity, as shown in FIG. 1B. Part 16 may be lost. Due to the loss of the first interlayer insulating layer 22 and the bit line spacer 16, the bit line 20, that is, the conductive layer 12 may be partially exposed, and thus, the exposed conductive layer 12 and then Short may occur between the second contact plugs 30 to be formed. This short problem can be solved by increasing the thickness of the hard mask layer 14 of the bit line 20. However, it is currently impossible to increase the thickness of the hard mask layer 14 in a semiconductor process. In FIG. 1B, a portion “A” represents a portion in which a short is generated, and FIG. 2 is a SEM photograph showing a state in which the bit line 20 and the second contact plug 30 are short.

따라서, 본 발명의 목적은 비트 라인과 스토리지 노드 콘택 플러그 사이의 쇼트를 방지할 수 있는 반도체 메모리 소자의 제조방법을 제공하는 것이다.Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor memory device capable of preventing a short between a bit line and a storage node contact plug.

도 1a 및 도 1b는 2단으로 구성된 스토리지 노드 콘택 플러그를 형성하는 방법을 보여주는 반도체 메모리 소자의 단면도.1A and 1B are cross-sectional views of a semiconductor memory device showing a method of forming a two-stage storage node contact plug.

도 2는 비트 라인과 제 2 콘택 플러그 사이에 쇼트가 발생된 상태를 보여주는 SEM 사진.2 is a SEM photograph showing a state in which a short is generated between a bit line and a second contact plug.

도 3은 제 1 스토리지 노드 콘택 플러그까지 형성된 반도체 메모리 소자의 평면도.3 is a plan view of a semiconductor memory device formed up to a first storage node contact plug;

도 4a 및 도 4b, 도 5a 및 도 5b, 도 6a 및 도 6b는 본 발명에 따른 반도체 메모리 소자의 제조방법을 설명하기 위한 각 공정별 단면도.4A and 4B, 5A and 5B, 6A and 6B are cross-sectional views of respective processes for explaining a method of manufacturing a semiconductor memory device according to the present invention.

-도면의 주요 부분에 대한 부호의 설명-Explanation of symbols on main parts of drawing

100 : 반도체 기판 110 : 비트 라인100 semiconductor substrate 110 bit line

120 : 제 1 층간 절연막 125 : 제 1 콘택 플러그120: first interlayer insulating film 125: first contact plug

130 : 제 2 층간 절연막 135 : 싱글 질화막130: second interlayer insulating film 135: single nitride film

140 : 제 2 콘택 플러그140: second contact plug

상기한 본 발명의 목적을 달성하기 위하여, 본 발명은, 콘택 패드를 구비한 반도체 기판상에 도전층 및 상기 도전층을 둘러싸는 절연막으로 구성된 비트 라인을 형성하는 단계, 상기 비트 라인이 형성된 반도체 기판 상부에 제 1 층간 절연막을 형성하는 단계, 상기 콘택 패드가 노출되도록 상기 제 1 층간 절연막을 상기 비트 라인의 형태를 따라 제 1 스토리지 노드 콘택홀을 형성하는 단계, 상기 제 1 스토리지 노드 콘택홀 내부에 제 1 콘택 플러그를 형성하는 단계, 결과물 상부에 제 2 층간 절연막을 형성하는 단계, 상기 제 1 콘택 플러그가 노출되도록 제 2 층간 절연막을 식각하여 제 2 스토리지 노드 콘택홀을 형성하는 단계, 상기 제 2 층간 절연막 및 제 2 스토리지 노드 콘택홀 표면에 측벽보다 상부면에 증착 속도가 빠른 질화막을 증착하는 단계, 상기 질화막을 비등방성 식각하여, 상기 제 1 콘택 플러그를 노출시키는 단계, 및 상기 제 2 스토리지 노드 콘택홀 내부에 제 2 콘택 플러그를 형성하는 단계를 포함한다.In order to achieve the above object of the present invention, the present invention, forming a bit line consisting of a conductive layer and an insulating film surrounding the conductive layer on a semiconductor substrate having a contact pad, the semiconductor substrate on which the bit line is formed Forming a first storage node contact hole along the bit line with the first interlayer insulating layer to form a first interlayer insulating layer thereon, and exposing the contact pads; Forming a first contact plug, forming a second interlayer insulating film on the resultant, etching a second interlayer insulating film to expose the first contact plug, and forming a second storage node contact hole; Depositing a nitride film on the upper surface of the interlayer insulating layer and the second storage node contact hole at a higher deposition rate than the sidewalls; A nitride film by anisotropic etching, and a step of exposing the first contact plug, and within the second storage node contact holes to form the second contact plug.

(실시예)(Example)

이하 첨부한 도면에 의거하여 본 발명의 바람직한 실시예를 설명하도록 한다.Hereinafter, exemplary embodiments of the present invention will be described with reference to the accompanying drawings.

도 3은 제 1 스토리지 노드 콘택 플러그까지 형성된 반도체 메모리 소자의 평면도이고, 도 4a 및 도 4b, 도 5a 및 도 5b, 도 6a 및 도 6b는 본 발명에 따른 반도체 메모리 소자의 제조방법을 설명하기 위한 각 공정별 단면도이다. 여기서, 도 4a, 도 5a 및 도 6a는 도 3의 A-A'선을 따라 절단하여 나타낸 단면도이고, 도 4b, 도 5b 및 도 6b는 도 3의 B-B'선을 따라 절단하여 나타낸 단면도이다.3 is a plan view of a semiconductor memory device formed up to a first storage node contact plug, and FIGS. 4A and 4B, 5A and 5B, and 6A and 6B illustrate a method of manufacturing a semiconductor memory device according to the present invention. It is sectional drawing by each process. 4A, 5A, and 6A are cross-sectional views taken along the line AA ′ of FIG. 3, and FIGS. 4B, 5B, and 6B are cross-sectional views taken along the line BB ′ of FIG. 3. to be.

도 3, 도 4a 및 도 4b를 참조하여, 반도체 기판(100) 예를 들어, 모스 트랜지스터, 콘택 패드 및 절연막등이 형성되어 있는 실리콘 기판 상부에 도전층(102) 및 하드 마스크막(104)을 순차적으로 적층한다. 도전층(102)으로는 텅스텐, 도핑된 폴리실리콘막 및 텅스텐 실리사이드막을 단독 또는 적어도 하나 이상 적층된 막이 이용될 수 있고, 하드 마스크막(104)은 예를 들어, 실리콘 질화막이 이용될 수 있다. 하드 마스크막(104) 및 도전층(102)은 소정 부분 패터닝된 다음, 패터닝된 구조체 측벽에 공지의 방식으로 스페이서(106)를 형성하여, 비트 라인(110, 혹은 비트 라인 구조물)을 형성한다. 비트 라인(110) 사이의 공간이 충분히 매립되도록 제 1 층간 절연막(120)을 증착한다. 제 1 층간 절연막(120)으로는 USG(undoped silicate glass), HTO(high temperature oxide), MTO(medium temperature oxide), TEOS(Tetraethoxysilane), HDP(high density plasma) 산화막과 같은 비도핑 산화막 혹은 BPSG(borophosphosilicate glass) 또는 PSG(phosphosilicate glass)와 같은 도핑 산화막이 단독 또는 적층하여 사용될 수 있다. 그후, 모스 트랜지스터의 소오스와 콘택되는 콘택 패드(도시되지 않음)가 노출되도록 제 1 층간 절연막(120)을식각하여, 제 1 스토리지 노드 콘택홀(121)을 형성한다. 제 1 스토리지 노드 콘택홀(121)은 비트 라인(110)의 하드 마스크막(104) 및 스페이서(106)에 의해 셀프 얼라인 방식으로 형성된다. 그후, 제 1 스토리지 노드 콘택홀(121)이 충진되도록 도전층을 증착한다음, 도전층 및 제 1 층간 절연막(120)을 화학적 기계적 연마를 실시하여, 제 1 콘택 플러그(125)를 형성한다.3, 4A, and 4B, the conductive layer 102 and the hard mask film 104 are disposed on the semiconductor substrate 100, for example, a silicon substrate on which a MOS transistor, a contact pad, an insulating film, and the like are formed. Laminate sequentially. As the conductive layer 102, a film in which only tungsten, a doped polysilicon film, and a tungsten silicide film are stacked alone or in at least one layer may be used. The hard mask film 104 may be, for example, a silicon nitride film. After the hard mask film 104 and the conductive layer 102 are partially patterned, spacers 106 are formed on the sidewalls of the patterned structure in a known manner to form bit lines 110, or bit line structures. The first interlayer insulating layer 120 is deposited to sufficiently fill the space between the bit lines 110. The first interlayer insulating layer 120 may be an undoped silicate glass (USG), a high temperature oxide (HTO), a medium temperature oxide (MTO), a tetraethoxysilane (TEOS), a high density plasma (HDP) oxide film, or a BPSG ( A doped oxide film such as borophosphosilicate glass (PSG) or phosphosilicate glass (PSG) may be used alone or in a stack. Thereafter, the first interlayer insulating layer 120 is etched to expose a contact pad (not shown) in contact with the source of the MOS transistor, thereby forming a first storage node contact hole 121. The first storage node contact hole 121 is formed in a self-aligned manner by the hard mask layer 104 and the spacer 106 of the bit line 110. Thereafter, the conductive layer is deposited to fill the first storage node contact hole 121, and then the first contact plug 125 is formed by chemical mechanical polishing of the conductive layer and the first interlayer insulating layer 120.

도 5a 및 도 5b에 도시된 바와 같이, 비트 라인(110), 제 1 층간 절연막(120) 및 제 1 콘택 플러그(125) 상부에 제 2 층간 절연막(130)을 증착한다음, 제 1 콘택 플러그(125)가 노출되도록 제 2 층간 절연막(130)을 식각하여, 제 2 스토리지 노드 콘택홀(132)을 형성한다. 제 1 콘택 플러그(125)가 완전히 노출될 수 있도록 과도 식각을 진행한다. 이때, 상기 과도 식각 과정에서, 제 1 층간 절연막(120) 및 하드 마스크막(106)이 일부 유실될 수 있으며, 이에따라 비트 라인의 도전층(102)이 노출될 수 있다. 아울러, 제 2 스토리지 노드 콘택홀(132)을 형성하기 위한 식각 가스로는, 하드 마스크막과 층간 절연막의 선택비를 높이려는 목적으로 폴리머가 많이 발생하도록 하는 C4F8, C5F8 또는 C4F6을 주 식각 가스로 하고, 하드 마스크막에 대하여 고선택적으로 식각이 가능하면서 재현성 있는 식각이 가능하도록 CHF3, C2HF5, CH2F2, CHF3, CH2, CH4, C2H4 또는 H2등을 보조 식각 가스로 한다. 또한, 추가로 불활성 가스를 더 첨가하여도 무관하다. 그후, 노출된 제 1 콘택 플러그(125) 표면에 발생될 수 있는 폴리머를 제거하기 위하여 O2 플라즈마 공정을 진행한다. 이때, 제 2 스토리지 노드 콘택홀을 형성하는 공정과 O2 플라즈마 공정은 인시튜로 진행할 수 있다.As shown in FIGS. 5A and 5B, a second interlayer insulating layer 130 is deposited on the bit line 110, the first interlayer insulating layer 120, and the first contact plug 125, and then the first contact plug. The second interlayer insulating layer 130 is etched to expose the 125, thereby forming a second storage node contact hole 132. The excessive etching is performed so that the first contact plug 125 may be completely exposed. In this case, in the excessive etching process, the first interlayer insulating layer 120 and the hard mask layer 106 may be partially lost, and thus the conductive layer 102 of the bit line may be exposed. In addition, as an etching gas for forming the second storage node contact hole 132, C4F8, C5F8, or C4F6, in which a large amount of polymer is generated for the purpose of increasing the selectivity between the hard mask layer and the interlayer insulating layer, is used as the main etching gas. In order to enable highly selective etching and reproducible etching of the hard mask film, CHF3, C2HF5, CH2F2, CHF3, CH2, CH4, C2H4, or H2 is used as an auxiliary etching gas. Moreover, you may further add an inert gas. Thereafter, an O 2 plasma process is performed to remove polymer that may be generated on the exposed surface of the first contact plug 125. In this case, the process of forming the second storage node contact hole and the O2 plasma process may be performed in situ.

그후, 노출된 비트 라인 도전층(102)과 이후 형성될 제 2 콘택 플러그와의 접촉을 방지하기 위하여, 결과물 상부에 스텝 커버리지 조절이 가능한 질화막(135)을 증착한다. 질화막(135)은 제 2 층간 절연막(130) 상부에는 상대적으로 두껍게 증착하고, 노출된 제 1 콘택 플러그(125) 표면에는 얇게 증착하는 것이 바람직하며, 이러한 질화막(135)은 챔버내에서 가스의 비율 및 온도 조절을 통하여 스텝커버리지가 변화되며, 본 실시예에서는 이를 싱글 질화막이라 칭한다.Thereafter, in order to prevent contact between the exposed bit line conductive layer 102 and the second contact plug to be formed later, a nitride film 135 having step coverage control is deposited on the resultant. The nitride film 135 may be deposited relatively thickly on the second interlayer insulating film 130 and thinly deposited on the exposed surface of the first contact plug 125. The nitride film 135 may have a ratio of gas in the chamber. And step coverage is changed through temperature control, which is referred to as a single nitride film in this embodiment.

다음, 도 6a 및 도 6b에 도시된 바와 같이, 싱글 질화막(135)을 상기 제 1 콘택 플러그(125) 표면이 노출되도록 비등방성 식각한다. 이에따라, 제 2 층간 절연막(130) 및 노출된 비트 라인(110)은 싱글 질화막(135)에 의해 둘러싸이게 된다. 그리고 나서, 제 2 스토리지 노드 콘택홀(132)이 충진되도록 도전층을 증착하고, 도전층을 상기 싱글 질화막(135)이 노출되도록 화학적 기계적 연마 또는 에치백하여 제 2 콘택 플러그(140)를 형성한다.Next, as shown in FIGS. 6A and 6B, the single nitride film 135 is anisotropically etched to expose the surface of the first contact plug 125. Accordingly, the second interlayer insulating layer 130 and the exposed bit line 110 are surrounded by the single nitride layer 135. Then, a conductive layer is deposited to fill the second storage node contact hole 132, and the second contact plug 140 is formed by chemically mechanical polishing or etching back to expose the single nitride layer 135. .

이상에서 자세히 설명한 바와 같이, 본 발명에 의하면 제 2 스토리지 노드 콘택홀을 형성한다음, 제 2 스토리지 노드 콘택홀을 한정하는 제 2 층간 절연막을 둘러싸도록 스텝 커버리지 조절이 가능한 싱글 질화막을 형성한다. 싱글 질화막의 형성으로, 노출되는 비트 라인이 커버되어, 후속 공정으로 형성되는 제 2 콘택 플러그와의 쇼트를 방지할 수 있다.As described above in detail, according to the present invention, a second storage node contact hole is formed, and then a single nitride film having a step coverage adjustment is formed to surround the second interlayer insulating layer defining the second storage node contact hole. By forming a single nitride film, an exposed bit line can be covered to prevent a short with a second contact plug formed in a subsequent process.

기타, 본 발명의 요지를 벗어나지 않는 범위에서 다양하게 변경 실시할 수있다.Other changes can be made without departing from the spirit of the invention.

Claims (6)

콘택 패드를 구비한 반도체 기판상에 도전층 및 상기 도전층을 둘러싸는 절연막으로 구성된 비트 라인을 형성하는 단계;Forming a bit line comprising a conductive layer and an insulating film surrounding the conductive layer on a semiconductor substrate having a contact pad; 상기 비트 라인이 형성된 반도체 기판 상부에 제 1 층간 절연막을 형성하는 단계;Forming a first interlayer insulating layer on the semiconductor substrate on which the bit lines are formed; 상기 콘택 패드가 노출되도록 상기 제 1 층간 절연막을 상기 비트 라인의 형태를 따라 제 1 스토리지 노드 콘택홀을 형성하는 단계;Forming a first storage node contact hole along the bit line with the first interlayer insulating layer to expose the contact pads; 상기 제 1 스토리지 노드 콘택홀 내부에 제 1 콘택 플러그를 형성하는 단계;Forming a first contact plug in the first storage node contact hole; 결과물 상부에 제 2 층간 절연막을 형성하는 단계;Forming a second interlayer insulating film on the resultant material; 상기 제 1 콘택 플러그가 노출되도록 제 2 층간 절연막을 식각하여 제 2 스토리지 노드 콘택홀을 형성하는 단계;Etching a second interlayer insulating layer to expose the first contact plug to form a second storage node contact hole; 상기 제 2 층간 절연막 및 제 2 스토리지 노드 콘택홀 표면에 측벽보다 상부면에 증착 속도가 빠른 질화막을 증착하는 단계;Depositing a nitride film on the surface of the second interlayer insulating layer and the second storage node contact hole at an upper deposition rate than a sidewall; 상기 질화막을 비등방성 식각하여, 상기 제 1 콘택 플러그를 노출시키는 단계; 및Anisotropically etching the nitride film to expose the first contact plug; And 상기 제 2 스토리지 노드 콘택홀 내부에 제 2 콘택 플러그를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 메모리 소자의 제조방법.And forming a second contact plug in the second storage node contact hole. 제 1 항에 있어서, 상기 비트 라인을 형성하는 단계는,The method of claim 1, wherein the forming of the bit line comprises: 반도체 기판상에 도전층을 형성하는 단계;Forming a conductive layer on the semiconductor substrate; 상기 도전층 상부에 하드 마스크막을 형성하는 단계;Forming a hard mask layer on the conductive layer; 상기 하드 마스크막 및 도전층을 패터닝하는 단계; 및Patterning the hard mask layer and the conductive layer; And 상기 패터닝된 도전층 및 하드 마스크막 측벽에 스페이서를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 메모리 소자의 제조방법.Forming a spacer on sidewalls of the patterned conductive layer and the hard mask layer. 제 1 항에 있어서, 상기 제 1 층간 절연막은 USG(undoped silicate glass), HTO(high temperature oxide), MTO(medium temperature oxide), TEOS(Tetraethoxysilane) 및 HDP(high density plasma) 산화막과 같은 비도핑 산화막 혹은 BPSG(borophosphosilicate glass) 및 PSG(phosphosilicate glass)와 같은 도핑 산화막이 단독 또는 적층한 막인 것을 특징으로 하는 반도체 메모리 소자의 제조방법.The non-doped oxide film of claim 1, wherein the first interlayer insulating film is an undoped silicate glass (USG), a high temperature oxide (HTO), a medium temperature oxide (MTO), a tetraethoxysilane (TEOS), and a high density plasma (HDP) oxide film. Or a doped oxide film such as borophosphosilicate glass (BPSG) and phosphosilicate glass (PSG) is a single or laminated film. 제 1 항에 있어서, 상기 제 2 스토리지 노드 콘택홀을 형성하기 위한 식각 공정은 C4F8, C5F8 또는 C4F6을 주 식각 가스로 하고, CHF3, C2HF5, CH2F2, CHF3, CH2, CH4, C2H4 또는 H2을 보조 식각 가스로 하여 식각을 진행하는 것을 특징으로 하는 반도체 메모리 소자의 제조방법.The method of claim 1, wherein the etching process for forming the second storage node contact hole comprises C4F8, C5F8, or C4F6 as the main etching gas, and CHF3, C2HF5, CH2F2, CHF3, CH2, CH4, C2H4, or H2 as secondary etching. A method of manufacturing a semiconductor memory device comprising etching with gas. 제 1 항에 있어서, 상기 제 2 스토리지 노드 콘택홀 형성시 불활성 가스를 추가로 공급하는 것을 특징으로 하는 반도체 메모리 소자의 제조방법.The method of claim 1, wherein an inert gas is additionally supplied when the second storage node contact hole is formed. 제 1 항에 있어서, 상기 제 2 스토리지 노드 콘택홀을 형성하는 단계와, 상기 제 2 콘택 플러그를 형성하는 단계 사이에, 상기 제 1 콘택 플러그 표면에 발생될 수 있는 폴리머를 제거하기 위하여 O2 플라즈마 공정을 인시튜로 진행하는 것을 특징으로 하는 반도체 메모리 소자의 제조방법.The method of claim 1, wherein the step of forming the second storage node contact hole and forming the second contact plug removes a polymer that may be generated on the surface of the first contact plug. The method of manufacturing a semiconductor memory device, characterized in that to proceed in-situ.
KR1020030050087A 2003-07-22 2003-07-22 Method for manufacturing semiconductor memory device KR20050010700A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030050087A KR20050010700A (en) 2003-07-22 2003-07-22 Method for manufacturing semiconductor memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030050087A KR20050010700A (en) 2003-07-22 2003-07-22 Method for manufacturing semiconductor memory device

Publications (1)

Publication Number Publication Date
KR20050010700A true KR20050010700A (en) 2005-01-28

Family

ID=37223073

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030050087A KR20050010700A (en) 2003-07-22 2003-07-22 Method for manufacturing semiconductor memory device

Country Status (1)

Country Link
KR (1) KR20050010700A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113838850A (en) * 2020-06-24 2021-12-24 华邦电子股份有限公司 Dynamic random access memory and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113838850A (en) * 2020-06-24 2021-12-24 华邦电子股份有限公司 Dynamic random access memory and manufacturing method thereof
CN113838850B (en) * 2020-06-24 2023-09-12 华邦电子股份有限公司 Dynamic random access memory and manufacturing method thereof

Similar Documents

Publication Publication Date Title
KR100322536B1 (en) Forming method of a polysilicon contact plug using etch-back and manufacturing method of a semiconductor device using the same
US7291531B2 (en) Method of fabricating semiconductor device having capacitor
US7579233B2 (en) Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
KR100726145B1 (en) Method for fabricating semiconductor device
TWI281231B (en) Method for forming storage node of capacitor in semiconductor device
KR100375221B1 (en) Method of Forming Storage Node
KR100505450B1 (en) Method for fabricating semiconductor device using damascene process
US6211091B1 (en) Self-aligned eetching process
KR100507862B1 (en) Method for fabricating semiconductor device
KR20040085241A (en) Sidewall spacer structure for self-aligned contact and method for forming the same
KR20050010700A (en) Method for manufacturing semiconductor memory device
US7109080B2 (en) Method of forming capacitor over bitline contact
US20050006761A1 (en) Bit line contact structure and fabrication method thereof
KR100487915B1 (en) Capacitor Formation Method of Semiconductor Device
KR20040038049A (en) Method of forming contact in semiconductor device
KR20050119498A (en) Method for manufacturing the same
US6207581B1 (en) Method of fabricating node contact hole
KR100623599B1 (en) A method for forming a storage node of capacitor in semiconductor device
KR20050002984A (en) Method for manufacturing semiconductor memory device
KR100429373B1 (en) Method for forming the capacitor cell of semiconductor device
KR20060009995A (en) Method for manufacturing a capacitor
KR20060036845A (en) Method of fabricating a semiconductor device for reducing capacitance between bit lines and semiconductor device fabricated thereby
KR20020075067A (en) Method for forming a contact and bit line of flash memory device
KR20040039592A (en) Method of manufacturing a capacitor of a semiconductor device
KR20040003960A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application