KR20040098054A - Fluid assisted cryogenic cleaning - Google Patents

Fluid assisted cryogenic cleaning Download PDF

Info

Publication number
KR20040098054A
KR20040098054A KR10-2004-7015867A KR20047015867A KR20040098054A KR 20040098054 A KR20040098054 A KR 20040098054A KR 20047015867 A KR20047015867 A KR 20047015867A KR 20040098054 A KR20040098054 A KR 20040098054A
Authority
KR
South Korea
Prior art keywords
cleaning
vapor
contaminants
liquid
low temperature
Prior art date
Application number
KR10-2004-7015867A
Other languages
Korean (ko)
Inventor
바너지소빅
청할란포레스트
Original Assignee
비오씨 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/324,221 external-priority patent/US6852173B2/en
Priority claimed from US10/403,147 external-priority patent/US6949145B2/en
Application filed by 비오씨 인코포레이티드 filed Critical 비오씨 인코포레이티드
Publication of KR20040098054A publication Critical patent/KR20040098054A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0092Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by cooling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/003Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods using material which dissolves or changes phase after the treatment, e.g. ice, CO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Abstract

본 발명은 반도체, 금속 및 유전체 필름과 같은 정밀 세정이 필요한 기재 표면의 유체 보조 저온 세정에 관한 것이다. 이 방법은 고 증기압 액체, 반응성 기체 및 반응성 액체의 증기로 구성된 군에서 선택된 유체를 기재 표면상에 적용하는 단계 후에 또는 그와 동시에 기재 표면을 저온 세정하여 오염물을 제거하는 단계를 포함한다.The present invention is directed to fluid assisted low temperature cleaning of substrate surfaces requiring precise cleaning such as semiconductors, metals and dielectric films. The method includes applying a fluid selected from the group consisting of a high vapor pressure liquid, a reactive gas, and a vapor of the reactive liquid onto the substrate surface to clean the substrate surface by cold cleaning to remove contaminants thereafter.

Description

유체 보조 저온 세정{FLUID ASSISTED CRYOGENIC CLEANING}FLUID ASSISTED CRYOGENIC CLEANING

필름의 다양한 층의 존재 또는 부재하에 실리콘 웨이퍼를 세정하거나 표면 제조하는 공정은 집적 회로 제작방법에 중요하다. 웨이퍼 표면으로부터 입자 및 오염물의 제거는 집적 회로의 제작과정중 몇개의 중요한 공정 단계에서 수행된다. 0.18㎛ 기술 노드에서, 400 단계중 80 또는 제작 순서의 20%가 세정에 할당된다. 세정 기법의 도전은 필름, 형태 및 전단 라인(FEOL) 및 후단 라인(BEOL) 세정 방법에서 제거될 오염물의 다양한 유형으로 증가된다. 입자의 제거는 이 세정의 중요한 부분이다.Processes for cleaning or surface fabricating silicon wafers in the presence or absence of various layers of film are important for integrated circuit fabrication methods. Removal of particles and contaminants from the wafer surface is performed in several critical process steps during the fabrication of integrated circuits. At a 0.18 μm technology node, 80 of 400 steps or 20% of the fabrication sequence is allocated for cleaning. The challenge of the cleaning technique is increased with various types of contaminants to be removed in the film, form and shear line (FEOL) and trailing line (BEOL) cleaning methods. Removal of particles is an important part of this cleaning.

집적 회로의 결합 없는 제조를 위해, 반도체의 국제 기술 로드맵(ITRS)은 중요한 입자 크기가 드램(DRAM) 1/2 피치(참고 문헌[1])의 절반임을 시사한다. 따라서, 드램 1/2 피치가 130nm인 130nm 기술 노드에서, 중요한 입자 크기는 65nm이다.따라서, 65nm보다 더 큰 입자는 제거되어야 결함 없는 장치가 제작된다.For fabrication without the integration of integrated circuits, the International Technology Roadmap for Semiconductors (ITRS) suggests that the critical particle size is half the DRAM (half pitch) (Ref. [1]). Thus, at a 130 nm technology node with a DRAM 1/2 pitch of 130 nm, the critical particle size is 65 nm. Therefore, particles larger than 65 nm must be removed to produce a defect free device.

더 작은 크기의 입자의 경우 제거력에 대한 접착력의 비가 증가하므로 이러한 소립자를 제거하기 어렵다. 초미세 입자에 대해서, 표면에 입자를 접착시키는 주요 힘은 반데르발스 힘이다. 이 힘은 입자의 크기, 기재 표면에서 입자의 거리 및 하메이커(Hamaker) 상수에 의존한다. 편평한 기재상의 구형의 미립자에 대한 반데르발스 힘은 하기 수학식 I로 제시된다:For smaller particles, these small particles are difficult to remove because the ratio of adhesion to removal force increases. For ultrafine particles, the main force that bonds the particles to the surface is the van der Waals forces. This force depends on the size of the particle, the distance of the particle from the substrate surface and the Hamaker constant. The van der Waals forces for spherical particulates on a flat substrate are given by Equation I:

상기 식에서,Where

A132는 입자, 표면 및 중재 매질로 구성된 시스템의 하메이커 상수이고;A 132 is the Hamaker constant of a system consisting of particles, surfaces and mediation media;

dp는 입자 직경이고;d p is the particle diameter;

Z0는 표면으로부터 입자의 거리이다.Z 0 is the distance of the particles from the surface.

복합 시스템에 대한 하메이커 상수 A132는 하기 수학식 II로 제시된다:The Hamaker constant A 132 for the composite system is given by the following equation II:

두 개의 다른 물질의 하메이커 상수의 관계는 Aij= (Aiix Ajj)1/2로서 개별적인 하메이커 상수의 기하학적 평균으로 표시되고, 이때 Aii및 Ajj는 물질 i 및 j의하메이커 상수이다. 이는 리프쉬쯔(Lifshitz) 또는 런던(London) 모델을 사용하여 이론적으로 계산된다. 집적 회로 제작방법에 사용되는 입자 및 표면에 대한 하메이커 상수는 참고문헌 [2] 및 [3]에 제공되어 있는데 중재 매질이 공기에 필적할만한 액체일 때 더 작다. 따라서, 하메이커 상수에 직접 비례하는 반데르발스 힘은 입자 및 표면 사이에 액체 층이 있을 때 감소한다.The relationship of the hamaker constants of two different materials is expressed as the geometric mean of the individual hamaker constants as A ij = (A ii x A jj ) 1/2 , where A ii and A jj are the hamaker constants of materials i and j to be. This is theoretically calculated using the Lifshitz or London model. Hamaker constants for particles and surfaces used in integrated circuit fabrication methods are provided in references [2] and [3], which are smaller when the mediation medium is a liquid comparable to air. Thus, van der Waals forces, which are directly proportional to the Hamaker constant, decrease when there is a liquid layer between the particles and the surface.

표면으로부터 소립자를 제거하는 어려움에 추가로, 제거되어야 하는 유기 및 금속-유기 오염물의 여러 가지 유형이 있다. 더 우수한 스위치 속도 및 회로 성능에 대한 요구는 회로내의 RC 지연 상수를 감소시키는 신규한 유전체 물질(3 미만의 유전체 상수) 및 금속을 출현시켰다. 선택 금속이 구리일 때, 공정 집적 방식에 몇몇의 도전을 추가하였다. 알루미늄 연결에 대해, 금속 패턴화는 알루미늄의 반응성 이온 에칭(RIE) 다음에 유전체 적층에 의해 수행되었다. 구리를 사용하여, 유전체 필름을 먼저 침적하고 에칭하여 바이아스 및 참호(trench)를 형성한 후 이 에칭된 특징부내에 구리를 침적하였다. 이어서, 화학적 기계적 연마(CMP)를 사용하여 과잉의 구리를 제거하여 필름의 후속 층에 대한 표면을 평탄화하였다. 이와 같은 후단 라인(BEOL)에 대한 구리 연결의 형성 방법은 듀얼 다마신(Dual Damascene) 방법으로 공지되어 있다.In addition to the difficulty of removing small particles from the surface, there are several types of organic and metal-organic contaminants to be removed. The need for better switch speed and circuit performance has resulted in new dielectric materials (dielectric constants less than 3) and metals that reduce the RC delay constant in the circuit. When the metal of choice was copper, some challenges were added to the process integration scheme. For aluminum connections, metal patterning was performed by dielectric deposition followed by reactive ion etching (RIE) of aluminum. Using copper, a dielectric film was first deposited and etched to form vias and trenches, and then copper was deposited within this etched feature. Subsequently, excess copper was removed using chemical mechanical polishing (CMP) to planarize the surface for subsequent layers of film. Such a method of forming a copper connection to a BEOL is known as the Dual Damascene method.

유전체 에칭에 의해 바이아스 및 참호를 형성한 후, 다량의 불화 중합체 잔류물이 웨이퍼의 표면 및 도 1에서 도시된 특징부의 내부 둘다에 남겨진다. 이들 잔류물은 에칭 공정동안, 부분적으로 이방성 에칭동안 측벽 패시베이션에 대해 발생한다. 에칭 잔류물은 연속하는 필름 층, 즉 구리 벽 Ta/TaN 필름, 구리 종자(seed) 층의 침적 및 최종적으로 다마신 방법에서 구리에 의한 특징부의 전기 화학적 충진 이전에 세정되어야 한다.After forming vias and trenches by dielectric etching, a large amount of fluorinated polymer residue is left both on the surface of the wafer and inside the features shown in FIG. These residues occur for the sidewall passivation during the etching process, partly during the anisotropic etching. The etch residue should be cleaned prior to the deposition of successive film layers, ie copper wall Ta / TaN films, copper seed layers and finally electrochemical filling of features with copper in the damascene process.

BEOL에서 연결에 사용된 특징부의 치수는 통상적으로 약 0.13㎛이다. 특징부 내부로부터 측벽 잔류물의 제거를 효과적으로 수행하는 저온 세정에 대해서, 도 1에서 도시된 바와 같이, 저온 입자는 크기가 0.13㎛ 미만임에 틀림없다. 또한, 이들 입자는 측벽 잔류물을 이동시키는데 필요한 운동량 전달을 부여하기에 충분한 속도로 웨이퍼의 표면에 도달해야만 한다.The dimensions of the features used for connection in BEOL are typically about 0.13 μm. For cold cleaning that effectively performs removal of sidewall residues from within the features, as shown in FIG. 1, the cold particles must be less than 0.13 μm in size. In addition, these particles must reach the surface of the wafer at a rate sufficient to impart the momentum transfer needed to move the sidewall residue.

표면 세정이 수행되는 세가지 기전이 있다: (1) 웨이퍼 표면에 대한 슬러리 입자의 접착력을 극복하는 저온 입자에 의한 운동량 전달, (2) 웨이퍼 표면에 이동된 입자를 제거하는 세정 기체의 견인력, 및 (3) 저온 입자 및 웨이퍼 표면의 경계면에서 형성된 액체에 의한 유기 오염물의 용해.There are three mechanisms by which surface cleaning is performed: (1) transfer of momentum by low temperature particles to overcome adhesion of slurry particles to the wafer surface, (2) traction of cleaning gas to remove particles moved to the wafer surface, and ( 3) Dissolution of organic contaminants by liquid formed at the interface of low temperature particles and wafer surface.

CO2저온 세정에서, 웨이퍼 표면상의 기체 유동은 경계 층을 형성한다. CO2저온 입자는 경계 층을 통해서 이동하여 웨이퍼 표면 및 제거될 오염물 입자에 도달해야 한다. 경계 층을 통한 비행동안에, 경계 층에서 기체 CO2에 의한 견인력으로 인해 속력이 감소된다. 경계 층의 두께를 h로 할 때, 스노우(snow) 입자는 h/t이상의 속력을 갖는 통상 성분 층에 도입되어야 하고, 이때 t는 경계 층을 가로지르고 웨이퍼 표면에 도달하는데 걸리는 시간이다. 경계 층을 가로지르는 입자의 완화 시간은 하기 수학식 1로 제시된다:In CO 2 low temperature cleaning, gas flow on the wafer surface forms a boundary layer. The C0 2 cold particles must travel through the boundary layer to reach the wafer surface and the contaminant particles to be removed. During the flight through the boundary layer, the speed is reduced due to the traction by gaseous CO 2 in the boundary layer. When the thickness of the boundary layer is h, snow particles must be introduced into a conventional component layer having a speed of h / t or more, where t is the time it takes to cross the boundary layer and reach the wafer surface. The relaxation time of the particles across the boundary layer is given by the following equation:

상기 식에서,Where

a는 입자 반경이고;a is the particle radius;

ρp는 입자 밀도이고;ρ p is the particle density;

η는 기체의 점도이고;η is the viscosity of the gas;

Cc는 하기 수학식 2로 제시되는 쿠닝햄(Cunningham) 슬립 보정 계수이다:C c is the Cunningham slip correction coefficient given by Equation 2:

Cc= 1+ 1.246(λ/a) + 0.42(λ/a)exp[-0.87(a/λ)]C c = 1+ 1.246 (λ / a) + 0.42 (λ / a) exp [-0.87 (a / λ)]

상기 식에서,Where

λ는 기체 분자의 평균 자유 경로이다.λ is the mean free path of the gas molecules.

CO2저온 세정이 대기압에서 수행되므로, 수학식 1에서 쿠닝햄 슬립 보정 계수는 저온 입자 크기가 0.1㎛ 초과인 경우 1이 된다.Since the CO 2 cold wash is performed at atmospheric pressure, the Kuningham slip correction factor in Equation 1 is 1 when the cold particle size is greater than 0.1 μm.

따라서, 웨이퍼 표면 및 특징부 내부로부터 외부 물질을 제거하기에 충분한 운동량을 갖는 CO2스노우 입자에 대해, 경계 층을 가로지르는 시간은 완화 시간 미만이어야 하고, 이 경우에 이들은 초기 속도의 36% 초과로 표면에 도달하게 된다. 수학식 1은 완화 시간이 입자 크기에 따라 감소함을 보여준다. 따라서, 더 작은 크기의 입자는 효과적으로 초미세 바이아스 및 참호의 내벽을 효과적으로 세정하기에 충분한 속도로 웨이퍼 표면에 도달하지 못한다.Thus, for CO 2 snow particles that have sufficient momentum to remove foreign material from the wafer surface and inside the features, the time across the boundary layer should be less than the relaxation time, in which case they exceed 36% of the initial rate. To reach the surface. Equation 1 shows that relaxation time decreases with particle size. Thus, smaller size particles do not reach the wafer surface at a rate sufficient to effectively clean the inner walls of the ultrafine vias and trenches.

일반적으로, 선행 기술 방법은 표면으로부터 외부 물질을 제거하기 위해 CO2또는 아르곤 저온 분무를 사용한다. 예를 들어, 미국 특허 제 5,931,721 호(발명의 명칭: 연무질 표면 공정); 미국 특허 제 6,036,581 호(발명의 명칭: 기재 세정 방법 및 장치); 미국 특허 제 5,853,962 호(발명의 명칭: 이산화탄소 제트 분무를 사용하는 광저항체 및 재침적 제거); 미국 특허 제 6,203,406 호(발명의 명칭: 연무질 표면 가공); 및 미국 특허 제 5,775,127 호(발명의 명칭: 고 분산 이산화탄소 스노우 장치)를 참조할 수 있다. 상기 선행 기술 특허에서는 모두, 오염물로의 운동량 전달에 관련된 물리적 힘에 의해 비교적 평면상 표면으로부터 외부 물질이 제거된다. 오염물 입자와 기재 사이의 접착력이 강하기 때문에 선행 기술 방법은 0.3㎛ 미만의 작은 입자를 제거하는데 비효율적이다. 또한 이러한 세정 방법은 유전체 에칭 방법에 의해 발생되는 작은 초미세 입자 및 복합 중합체 잔류물의 제거가 요구되는 후단 라인 집적 장치의 제작방법에서 바이아스 및 참호와 같은 고 종횡비를 갖는 특징부에 대해 부적합하다.In general, the prior art methods use CO 2 or argon cold spray to remove foreign material from the surface. See, for example, U. S. Patent No. 5,931, 721 (inventive name: aerosol surface process); US Patent No. 6,036,581 (name of the invention: substrate cleaning method and apparatus); U.S. Patent No. 5,853,962 (name of the invention: photoresist and redeposition removal using carbon dioxide jet spray); U.S. Patent No. 6,203,406 (inventive name: Aerosol Surface Finish); And US Pat. No. 5,775,127 (name of the invention: highly disperse carbon dioxide snow apparatus). In all of the above prior art patents, foreign material is removed from the relatively planar surface by the physical forces involved in the transfer of momentum to the contaminants. Prior art methods are inefficient in removing small particles of less than 0.3 μm because the adhesion between contaminant particles and the substrate is strong. This cleaning method is also unsuitable for features with high aspect ratios such as vias and trenches in the fabrication of backend line integrated devices that require the removal of small ultrafine particles and composite polymer residues generated by dielectric etching methods.

미국 특허 제 6,332,470 호(발명의 명칭: 연무질 기재 세정기)는 반도체 기재 세정을 위한 증기 단독 또는 증기와 고압 액체 방울의 조합의 용도를 개시하고 있다. 불행하게도, 액체 충격은 고체 CO2로서 충분한 운동량 전달능을 갖지 않고, 따라서 더 작은 입자를 제거하는데 효과적이지 않다. 미국 특허 제 5,908,510 호(발명의 명칭: 초임계 유체에 의한 잔류물 제거)는 초임계 유체 또는 액체 CO2와 저온 연무질의 병용을 개시하고 있다. CO2는 비-극성 분자이므로, 극성 외부 물질의 용해능이 현저하게 감소된다. 또한, 액체 또는 초임계 CO2형성이 고압(액체에 대해 75psi 초과 및 초임계에 대해 1080psi 초과)을 요구하므로 장치가 비싸다. 미국 특허 제 6,231,775 호는 애싱(ashing)에서와 같이 기재로부터 유기 물질을 제거하기 위한 삼산화 황 기체 단독 또는 다른 기체와의 조합의 용도를 제안하고 있다. 이러한 증기상 세정은 탄소 도프된 산화물과 같은 저 k 물질을 사용하는 전형적인 듀얼 다마신 통합 방식에서 에칭동안에 형성된 가교된 광저항체를 제거하기에 부적합하다.U.S. Patent No. 6,332,470 (named an aerosol substrate cleaner) discloses the use of steam alone or a combination of steam and high pressure liquid droplets for cleaning semiconductor substrates. Unfortunately, liquid impacts do not have sufficient momentum transfer capacity as solid CO 2 and are therefore not effective at removing smaller particles. U.S. Patent No. 5,908,510, entitled Invention Removal Residue by Supercritical Fluid, discloses the use of supercritical fluid or liquid C0 2 with low temperature aerosols. Since CO 2 is a non-polar molecule, the solubility of polar foreign substances is significantly reduced. In addition, the device is expensive because liquid or supercritical CO 2 formation requires high pressure (greater than 75 psi for liquid and 1080 psi for supercritical). U. S. Patent No. 6,231, 775 proposes the use of sulfur trioxide gas alone or in combination with other gases to remove organic material from a substrate as in ashing. This vapor phase cleaning is inadequate to remove the crosslinked photoresist formed during etching in a typical dual damascene integration scheme using low k materials such as carbon doped oxides.

이와 같이, 입자, 외부 물질 및 화학적 잔류물 뿐만 아니라 정밀 세정이 필요한 반도체 웨이퍼, 금속 필름 및 다른 기재로부터, 및 고 종횡비 특징부 내부로부터의 가교 및 대량 광저항체, 후-에칭 잔류물 및 초미세 크기의 입자로 구성된 동질성 또는 이질성 오염물을 포함하는 오염물의 효과적이고 효율적인 제거에 대한 필요성이 남아있다.As such, crosslinking and bulk photoresists, post-etch residues and ultrafine sizes from semiconductor wafers, metal films and other substrates requiring fine cleaning as well as particles, foreign materials and chemical residues, and from inside high aspect ratio features There remains a need for effective and efficient removal of contaminants, including homogeneous or heterogeneous contaminants consisting of particles of.

발명의 요약Summary of the Invention

본 발명은 반도체, 금속 및 유전체 필름과 같은 정밀 세정을 요구하는 기재 표면의 세정을 위한 신규한 및 개선된 방법을 제공한다.The present invention provides new and improved methods for cleaning substrate surfaces that require precise cleaning, such as semiconductors, metals and dielectric films.

본 발명은 정밀 세정을 요구하는 기재 표면으로부터 오염물을 제거하는 세정 방법을 포함한다. 이 방법은 기재 표면으로부터 외부 물질 및 오염물을 제거하는저온 세정 이전에 또는 동시에 사용된다. 이 방법은, 기재 표면으로부터 제거될 오염물에 따라 고 증기압 액체, 반응성 기체 또는 반응성 액체의 증기로부터 선택된 유체에 적용된다. 유체는 바람직하게는 20분 이하동안 표면과 접촉 상태를 유지한다. 이는 표면으로부터 오염물을 제거하거나 표면에 대한 접착력을 감소시키는 환경을 형성하여 후속적으로 저온 세정을 사용하여 제거될 수 있다.The present invention includes a cleaning method that removes contaminants from a substrate surface requiring precise cleaning. This method is used prior to or concurrently with the cold cleaning to remove foreign substances and contaminants from the substrate surface. This method is applied to fluids selected from high vapor pressure liquids, reactive gases or vapors of reactive liquids, depending on the contaminants to be removed from the substrate surface. The fluid is preferably in contact with the surface for up to 20 minutes. This creates an environment that removes contaminants from the surface or reduces adhesion to the surface and can subsequently be removed using cold cleaning.

본 발명은 정밀 세정과 관련된 반도체 표면 및 다른 표면으로부터 외부 물질 및 오염물의 제거를 보조하기 위해 저온 세정과 동시에 또는 이전에 수행되는 액체 또는 증기 세정 방법의 용도에 관한 것이다.The present invention relates to the use of a liquid or vapor cleaning method performed concurrently with or prior to low temperature cleaning to assist in the removal of foreign matter and contaminants from semiconductor surfaces and other surfaces involved in fine cleaning.

본 발명의 양태를 도면을 참고하여 기술한다:Aspects of the invention are described with reference to the drawings:

도 1은 듀얼-다마신 구조에서 후-참호 에칭 잔류물의 세정을 도시한다. 왼쪽 이미지는 에칭 잔류물이 존재하는 후-참호 에칭 구조의 SEM이다. 오른쪽 이미지는 플라즈마 및 습성 세정 단계의 순서 후 후-참호 에칭 구조의 SEM이다.1 shows cleaning of post-trench etch residues in a dual-damacin structure. Left image is SEM of post-trench etch structure with etch residue present. The image on the right is an SEM of post-trench etch structure after the sequence of plasma and wet clean steps.

도 2는 표준 저온 세정 및 본 액체 보조 세정 공정 둘다에 대한 입자 크기를 비교한 입자 제거의 효율을 도시한 그래프이다.FIG. 2 is a graph showing the efficiency of particle removal comparing particle size for both standard low temperature cleaning and the present liquid assisted cleaning process.

도 3은 통상적인 CO2저온 세정 시스템의 도식을 나타낸다.3 shows a schematic of a conventional CO 2 low temperature cleaning system.

액체 보조 세정 방법 및 예Liquid Assisted Cleaning Methods and Examples

이 방법에 사용된 액체는 외부 물질과 반도체 웨이퍼 표면 또는 필름 표면과 같은 기재 표면 사이의 반데르발스 힘을 감소시키는 고 증기압 액체이다. 고 증기압 액체는 기재의 표면에 분무된다. 액체의 초기 분무는 반데르발스 힘을 감소시키고 따라서 더욱더 용이하게 기재 표면으로부터 외부 물질을 제거하는 후속적인 저온 세정이 가능하다. 저온 세정 이전의 상류 공정이 수성계 공정인 경우는 동시-계류중인 미국 특허 출원 제 10/215,859 호에서와 같이 액체가 또한 저온 세정 이전에 대량의 물을 제거할 수 있다. 또한, 고 증기압 액체는 표면으로부터 유기 오염물을 용해하는 작용을 할 수 있다. 특정 고 증기압 액체는 기재 표면상에 함유된 유기 오염물에 따라 선택된다. 당해분야의 숙련자는 통상적인 유기 오염물을 용해시키는 액체의 유형에 관심이 있다.The liquid used in this method is a high vapor pressure liquid that reduces the van der Waals forces between the foreign material and the substrate surface, such as the semiconductor wafer surface or the film surface. The high vapor pressure liquid is sprayed onto the surface of the substrate. Initial spraying of the liquid reduces subsequent van der Waals forces and thus allows for subsequent low temperature cleaning to more easily remove foreign material from the substrate surface. If the upstream process before the cold wash is an aqueous process, the liquid may also remove a large amount of water prior to the cold wash, as in co-pending US patent application Ser. No. 10 / 215,859. In addition, the high vapor pressure liquid can act to dissolve organic contaminants from the surface. The particular high vapor pressure liquid is selected depending on the organic contaminants contained on the substrate surface. Those skilled in the art are interested in the type of liquids that dissolve conventional organic contaminants.

본 발명에서 사용하기에 적합한 고 증기압 액체는 에탄올, 아세톤, 에탄올-아세톤 혼합물, 아이소프로필 알콜, 메탄올, 메틸 포르메이트, 메틸 요오다이드, 에틸 브로마이드, 아세토니트릴, 에틸 클로라이드, 피롤리딘 및 테트라하이드로푸란을 포함하지만 이에 제한되지 않는다. 그러나, 고 증기압을 갖는 임의의 액체가 사용될 수 있다. 고 증기압 액체는 기재의 가열 또는 회전에 의해 건조될 필요 없이 기재의 표면으로부터 용이하게 증발제거된다. 액체는 또한 낮은 빙점을 갖고 천연에서 극성인 것이 바람직하다. 액체의 빙점이 낮으면 저온 세정시 웨이퍼 표면상에 남겨지는 임의의 잔류하는 액체가 저온 세정 공정동안에 수득될 수 있는 웨이퍼 온도의 강하로 인해 동결되지 않게 된다. 액체의 극성은 웨이퍼 표면상에서 유기 및 무기 오염물의 용해를 보조한다. 바람직하게는, 액체의 증기압은 25℃에서 5kPa 초과이고 액체의 빙점은 -50℃ 미만이고 쌍극자 모멘트는 1.5D 초과이다.High vapor pressure liquids suitable for use in the present invention include ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide, acetonitrile, ethyl chloride, pyrrolidine and tetrahydro Including but not limited to furan. However, any liquid having a high vapor pressure can be used. The high vapor pressure liquid is easily evaporated off the surface of the substrate without having to be dried by heating or rotating the substrate. The liquid also preferably has a low freezing point and is polar in nature. The low freezing point of the liquid ensures that any remaining liquid left on the wafer surface during cold cleaning will not freeze due to the drop in wafer temperature that can be obtained during the cold cleaning process. The polarity of the liquid assists in the dissolution of organic and inorganic contaminants on the wafer surface. Preferably, the vapor pressure of the liquid is above 5 kPa at 25 ° C., the freezing point of the liquid is below −50 ° C. and the dipole moment is above 1.5D.

고 증기압 액체는 정밀 세정을 요구하는 임의의 기재 표면에 사용될 수 있지만, 바람직한 표면은 반도체 표면 뿐만 아니라 금속 및 유전체 필름을 포함한다. 따라서, 용어 "반도체", "금속 필름", "유전체 필름" 또는 "웨이퍼"가 본원에서 사용될 때, 그것은 동일한 공정이 다른 기재 표면에 적용될 수 있는 것으로 의도한다. 다른 표면은 화합된 반도체 제작방법에서의 경질 디스크 매질, 광학, GaAs 기재 및 필름을 포함한다. 본원에서 제공된 예는 본 발명을 제한하고자 한 것이 아니다.High vapor pressure liquids can be used for any substrate surface that requires precise cleaning, but preferred surfaces include metal and dielectric films as well as semiconductor surfaces. Thus, when the term "semiconductor", "metal film", "dielectric film" or "wafer" is used herein, it is intended that the same process can be applied to other substrate surfaces. Other surfaces include hard disk media, optics, GaAs substrates, and films in compound semiconductor fabrication methods. The examples provided herein are not intended to limit the invention.

본 발명의 하나의 양태에서, 고 증기압 액체는 30 내지 50℃의 온도에서 반도체 웨이퍼의 표면상에 분무된다. 액체는 두꺼운 필름 또는 얇은 층으로 분무될 수 있다. 바람직하게 층은 5 내지 10Å 이상의 두께이다. 바람직하게는, 웨이퍼 표면상에 탈이온수를 분무하기 위해 습성 벤치내에 사용되는 테플론(Teflon)제 안개 노즐을 사용하여 분무된다. 그러나, 당해분야에서 사용되는 임의의 다른 노즐을 사용할 수도 있다. 웨이퍼는 바람직하게는 1분 이상, 바람직하게는 10분 이하동안 액체로 피복된다. 액체는 이 시간동안 한번에 표면에 적용될 수 있거나, 웨이퍼 표면이 습성으로 유지되도록 여러번 분무될 수 있다. 또한, 액체가 웨이퍼 표면의 균일한 피복을 확실히 하도록 분무되는 동안 웨이퍼는 약 100rpm으로 회전될 수 있다.In one embodiment of the invention, the high vapor pressure liquid is sprayed onto the surface of the semiconductor wafer at a temperature of 30 to 50 ° C. The liquid can be sprayed into a thick film or thin layer. Preferably the layer is at least 5-10 mm thick. Preferably, it is sprayed using a Teflon mist nozzle used in a wet bench to spray deionized water onto the wafer surface. However, any other nozzle used in the art may be used. The wafer is preferably coated with liquid for at least 1 minute, preferably at most 10 minutes. The liquid may be applied to the surface at one time during this time, or may be sprayed several times to keep the wafer surface wet. In addition, the wafer can be rotated at about 100 rpm while the liquid is sprayed to ensure a uniform coating of the wafer surface.

이 습윤화 기간 다음에, 저온 분무를 개시한다. 저온 분무 방법은 이산화탄소, 아르곤 또는 다른 기체를 사용할 수 있고 당해분야에 주지되어 있다. 임의의 공지된 기법이 사용될 수 있고 CO2저온 세정의 예는 후술된다. 고 증기압 액체의초기 적용의 결과는 하메이커 상수 및 따라서 반데르발스 힘을 감소시킨다. 이러한 적용으로 웨이퍼 표면에 대한 오염물의 접착력을 낮추고, 저온 세정 단독을 사용하는 것보다 더 용이하게 웨이퍼 표면으로부터 오염물을 제거한다.Following this wetting period, low temperature spraying is started. Cold spray methods may use carbon dioxide, argon or other gases and are well known in the art. Any known technique can be used and examples of CO 2 low temperature cleaning are described below. The result of the initial application of high vapor pressure liquids is to reduce the Hamaker constant and thus van der Waals forces. This application lowers the adhesion of contaminants to the wafer surface and removes contaminants from the wafer surface more easily than using low temperature cleaning alone.

다르게는, 액체는 저온 세정과 동시에 적용될 수 있다. 이러한 경우에, 예를 들어, 액체 분무용 제 2 노즐은 CO2저온 세정에 사용된 제 1 노즐과 함께 탑재될 수 있다. 액체는 바람직하게 얇은 층에 적용될 수 있고, CO2저온 세정은 기재상에 액체의 분무와 동시에 계속된다.Alternatively, the liquid can be applied simultaneously with the cold wash. In this case, for example, a second nozzle for liquid spraying can be mounted with the first nozzle used for the CO 2 low temperature cleaning. The liquid can preferably be applied to a thin layer and the CO 2 low temperature cleaning continues simultaneously with the spraying of the liquid on the substrate.

고 증기압 액체의 사용의 결과로서, 저온 세정에 의한 입자 오염물의 제거가 현저하게 향상된다. 도 2는 표준 저온 세정 뿐만 아니라 액체 보조 저온 세정에 대한 입자 크기를 비교한 입자 제거의 효율을 제시한다. 0.76㎛ 미만의 크기를 갖는 입자의 제거는 표준 CO2저온 세정보다 본 액체 보조 CO2저온 세정 공정의 사용시 현저하게 개선된다. 0.98㎛ 내지 2.50㎛ 범위의 입자 크기에 대해서는, 본 액체 보조 저온 세정과 표준 CO2저온 세정 공정의 사용 사이에 입자 제거 효과에 별로 차이가 없다.As a result of the use of high vapor pressure liquids, the removal of particulate contaminants by low temperature cleaning is significantly improved. 2 shows the efficiency of particle removal comparing particle size for standard cold cleaning as well as liquid assisted cold cleaning. Removal of particles having a size of less than 0.76 μm is significantly improved with the use of this liquid assisted CO 2 low temperature cleaning process over standard CO 2 low temperature cleaning. For particle sizes ranging from 0.98 μm to 2.50 μm, there is not much difference in particle removal effect between the present liquid assisted low temperature cleaning and the use of a standard CO 2 low temperature cleaning process.

증기 보조 세정 및 예Steam assisted cleaning and examples

반응성 기체 또는 액체의 반응성 증기는 오염물의 제거를 보조하는데 사용될 수 있다. 반응성 기체 또는 증기는 기재 표면상의 오염물의 반응성에 따라 선택된다. 일반적으로, 반응성 기체 또는 증기는 기재 표면상의 특징부 내부의 유기 광저항체 및 불화중합체 에칭 잔류물을 제거하는데 사용된다. 오염물과 반응된 후,기체/증기는 바람직하게는 기체 형태의 부산물을 생성한다. (이후, 본 발명의 기술을 용이하게 하기 위해 반응성 기체에 대한 참조는 액체의 반응성 증기를 포함할 수 있고, 반응성 증기에 대한 참조는 반응성 기체를 포함할 수 있다.)The reactive vapor of the reactive gas or liquid can be used to assist in the removal of contaminants. The reactive gas or vapor is selected according to the reactivity of the contaminants on the substrate surface. Generally, reactive gases or vapors are used to remove organic photoresist and fluoropolymer etch residues within features on the substrate surface. After reacting with the contaminants, the gas / vapors preferably produce by-products in gaseous form. (Hereafter, reference to the reactive gas may include a reactive vapor of a liquid, and reference to the reactive vapor may include a reactive gas to facilitate the technique of the present invention.)

반도체 웨이퍼 세정 방법에서, 제거될 오염물은 입자 오염물 뿐만 아니라 FEOL(전단 라인) 및 BEOL 방법 둘다에서 극소 전자공학 제작의 다양한 단계에서 유기, 무기 및 금속-유기 잔류물의 필름을 포함한다. 이들 필름은 순수하게 물리적인 기전으로는 제거될 수 없다. 제거의 임의의 물리적 기전에 대한 화학적 보조는 세정성 요건을 만족시키는데 필요하다. 본 발명에서, 기체 상 세정은 세정의 화학적 수단인 반면에 저온 세정은 주로 세정의 물리적 기전이다. 직렬로 또는 순차적으로 서로 작동하는 두 개의 공정은 균질한 또는 비균질한 오염물을 완전하게 제거할 수 있다.In semiconductor wafer cleaning methods, the contaminants to be removed include not only particle contaminants but also films of organic, inorganic and metal-organic residues at various stages of microelectronics fabrication in both the FEOL (shear line) and BEOL methods. These films cannot be removed by purely physical mechanisms. Chemical assistance for any physical mechanism of removal is necessary to meet the cleanliness requirements. In the present invention, gas phase cleaning is the chemical means of cleaning, while low temperature cleaning is primarily the physical mechanism of cleaning. Two processes operating in series or sequentially with each other can completely remove homogeneous or heterogeneous contaminants.

본 방법에서 사용될 수 있는 반응성 증기의 예는 고 증기압 액체의 증기일 수 있고, 아세톤, 에탄올-아세톤 혼합물, 아이소프로필 알콜, 메탄올, 메틸 포르메이트, 메틸 요오다이드 및 에틸 브로마이드를 포함하지만 이에 제한되지 않는다. 이는 또한 오존, 수증기, 수소, 질소, 산화 질소, 삼불화 질소, 헬륨, 아르곤, 네온, 삼산화 황, 산소, 불소, 또는 탄화불소 기체 또는 기체의 조합을 포함할 수 있다. 기체 또는 증기는 특징부 내부의 유기 광저항체 뿐만 아니라 불화중합체 에칭 잔류물과 반응성이어야 한다. 또한, 반응 부산물은 기체이어서 질소 기체의 흐름에 의해 세정 챔버로부터 제거될 수 있는 것이 바람직하다. 바람직한 기체 및 액체의 증기는 아이소프로필 알콜, 에탄올-아세톤 혼합물, 메탄올, 오존, 수증기, 삼불화 질소, 삼불화 황, 산소, 불소 및 탄화불소 기체를 포함한다.Examples of reactive vapors that may be used in the process may be vapors of high vapor pressure liquids, including but not limited to acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide and ethyl bromide Do not. It may also include ozone, water vapor, hydrogen, nitrogen, nitrogen oxides, nitrogen trifluoride, helium, argon, neon, sulfur trioxide, oxygen, fluorine, or a fluorocarbon gas or combination of gases. The gas or vapor should be reactive with the fluoropolymer etch residue as well as the organic photoresist inside the feature. It is also preferred that the reaction byproduct is a gas so that it can be removed from the cleaning chamber by the flow of nitrogen gas. Preferred gases and liquid vapors include isopropyl alcohol, ethanol-acetone mixtures, methanol, ozone, water vapor, nitrogen trifluoride, sulfur trifluoride, oxygen, fluorine and fluorocarbon gases.

후-에칭 세정 적용에서, 저온 입자는 바이아스 및 참호의 고 종횡비 특징부 내부에서 얻을 수 없다. 기체 또는 증기는 효율적으로 이들 특징부내로 확산될 필요가 있다. 이어서, 기체 또는 증기는 중합체 잔류물과 화학적으로 반응하고 기재 표면을 가로질러 질소의 흐름에 의해 표면으로부터 제거될 수 있는 기체성 부산물로 전환된다. 다르게는, 저압하로 유지되는 분리 챔버내에 도입될 수 있다. 이 챔버내의 기체/증기 상 반응은 200℃ 이하의 온도에서 수행될 수 있다. 이 세정 공정 다음에, 웨이퍼는 저온 세정이 발생하는 대기압에서 제 2 세정 챔버로 전달될 수 있다.In post-etch cleaning applications, cold particles cannot be obtained inside the high aspect ratio features of vias and trenches. Gas or vapor needs to diffuse into these features efficiently. The gas or vapor is then converted into gaseous byproducts that can react chemically with the polymer residue and be removed from the surface by the flow of nitrogen across the substrate surface. Alternatively, it can be introduced into a separation chamber maintained at low pressure. The gas / vapor phase reaction in this chamber can be carried out at temperatures of up to 200 ° C. Following this cleaning process, the wafer can be transferred to the second cleaning chamber at atmospheric pressure at which low temperature cleaning occurs.

처리동안에, 증기는 웨이퍼 표면상에 축합될 수 있다. 증기의 적절한 선택에 의해, 축합은 또한 하메이커 상수 및 따라서 표면에 대한 입자의 접착력을 낮출 수 있다. 이 축합은 저온 세정에 의해 입자 제거를 도울 수 있다.During processing, vapor can be condensed on the wafer surface. By proper choice of steam, condensation can also lower the Hamaker constant and thus the adhesion of the particles to the surface. This condensation can assist in particle removal by cold washing.

기체 또는 증기는 추가로 자유 라디칼 개시제, 예컨대 자외선, X-선, 엑시머 레이저, 코로나 방전 또는 플라즈마를 사용하여 제거될 오염물과의 반응성을 증가시켜 반응성 화학적 종을 발생시킬 수 있다. 스노우 또는 저온 연무질의 물리적 세정과 조합되어 비반응성 오염물을 제거한다. 유사한 세정 기전은 습성 세정 및 오염물과 반응하기 위한 화학적 종을 발생시키는 하류 MW 플라즈마 및 이온 폭격을 발생시키는 RF 플라즈마를 사용하는 이중 주파수 플라즈마 세정에서 관찰된다.The gas or vapor can further increase the reactivity with contaminants to be removed using free radical initiators such as ultraviolet light, X-rays, excimer lasers, corona discharges or plasma to generate reactive chemical species. Combined with physical cleaning of snow or low temperature aerosols to remove non-reactive contaminants. Similar cleaning mechanisms are observed in wet cleaning and dual frequency plasma cleaning using downstream MW plasma generating chemical species to react with contaminants and RF plasma generating ion bombardment.

CO2저온 세정과 조합되는 본 발명의 하나의 양태에서, 액체의 증기는 CO2저온 노즐과 동일한 아암(arm)에 부착된 노즐을 통해서 분무된다. 노즐은 직경 1/4 내지 1/2"의 작은 스테인레스 강 천공기, 또는 증기에 방전을 개시하는 축을 따라서 코로나 전선을 갖도록 특별히 고안된 노즐일 수 있다. 노즐은 바람직하게는 기재 표면에 대해 약 10o내지 90o의 각이다. 증기는 또한 기재 표면상에 위치한 샤워 헤드를 통해서 분무되어 기재 표면을 확실하게 균일하게 피복할 수 있다. 증기 전달동안에, 기재는 바람직하게는 증기와 동일한 온도를 유지한다. 증기의 축합이 바람직하다면, 기재는 기재 표면상에 액체의 얇은 필름내로 증기의 축합을 개시하는 증기 아래 온도로 유지될 수 있다. 그러나, 증기가 제시된 오염물 유형에 대한 충분한 반응성이 없다면, 증기는 자유 라디칼 개시제의 보조로 반응성이 될 수 있다. 증기는 바람직하게 20분 이하동안 기재 표면상에 분무된다. 이는 지속적으로 또는 간헐적으로 분무될 수 있다. 바람직하게는, 증기의 단일 유형이 사용될 수 있지만 증기의 혼합물은 바람직하다면 오염물을 제거하기 위해 동시에 또는 순차적으로 사용될 수 있다.In one embodiment of the present invention in combination with CO 2 low temperature cleaning, the vapor of the liquid is sprayed through a nozzle attached to the same arm as the CO 2 low temperature nozzle. The nozzle may be a small stainless steel perforator with a diameter of 1/4 to 1/2 ", or a nozzle specifically designed to have corona wires along the axis initiating discharge to the vapor. The nozzle is preferably from about 10 o to the substrate surface. an angle of 90 o. steam is also sprayed through a shower head located on the substrate surface can be reliably uniformly coating the substrate surface during vapor delivery, the substrate preferably maintains the same temperature as the steam. steam If condensation of is desired, the substrate can be maintained at a temperature below the vapor that initiates condensation of the vapor into a thin film of liquid on the substrate surface, but if the vapor does not have sufficient reactivity to the type of contaminant presented, the vapor is free radicals. It may be reactive with the aid of the initiator The vapor is preferably sprayed onto the substrate surface for up to 20 minutes. It can be sprayed intermittently Preferably, a single type of steam can be used but a mixture of steam can be used simultaneously or sequentially to remove contaminants if desired.

본 발명에 따라서 반응성 기체 또는 증기의 분무는 저온 세정과 동일한 챔버에서 발생하거나 분리된 챔버에서 수행될 수 있다. 또한, 저온 세정은 반응성 기체 또는 증기와 동시에 또는 그 사용 직후 개시될 수 있다. 사용되는 반응성 기체 또는 증기, 예를 들어 수증기에 따라, 저온 세정 개시 이전에 이 증기의 챔버를 일소하는 것이 바람직할 수 있다.According to the invention the spraying of the reactive gas or vapor can take place in the same chamber as the low temperature cleaning or in a separate chamber. In addition, low temperature cleaning may be initiated simultaneously with or immediately after use of the reactive gas or vapor. Depending on the reactive gas or vapor used, for example water vapor, it may be desirable to sweep the chamber of this vapor prior to the start of the low temperature cleaning.

반응성 기체 또는 증기의 사용의 결과로서, 특히 기재 표면상에 에칭된 특징부로부터 오염물의 제거가 현저하게 향상된다. 이 세정 방법은 균질한 오염물, 예컨대 바이아스 및 참호의 측벽상의 후 에칭 잔류물의 필름 또는 에칭 후 잔존하는 광저항체를 제거하는데 특히 유익하다.As a result of the use of reactive gases or vapors, in particular, the removal of contaminants from features etched on the substrate surface is significantly improved. This cleaning method is particularly beneficial for removing homogeneous contaminants such as films of post etch residues on the sidewalls of vias and trenches or photoresists remaining after etching.

표준 COStandard CO 22 저온 세정Low temperature cleaning

유체 세정 공정 후 또는 그와 동시에, 표준 저온 세정을 수행한다. 표준 CO2저온 세정 공정은 본원에 참조로 혼입되는 미국 특허 제 5,853,962 호에 기술되어 있다. 전형적인 CO2저온 세정 시스템의 예로서, 도 3을 참조한다. 세정 용기(12)는 초청정 밀폐 또는 밀봉된 세정 대역을 제공한다. 이 세정 대역내에 진공으로 압반(2)상에 유지된 웨이퍼(1)이 있다. 웨이퍼를 구비한 압반을 100℃ 이하의 조절된 온도로 유지한다. 실온 및 850psi에서 실린더로부터 나온 액체 CO2는 먼저 소결된 인-라인 여과기(4)를 통과하여 액체 스트림으로부터 매우 작은 입자를 여과하여 이산화 탄소를 가능한 한 순수하게 만들고 스트림내의 오염물을 감소시킨다. 이어서, 액체 CO2를 바람직하게는 직경 0.05" 내지 0.15"의 작은 구경 노즐을 통해서 팽창하게 한다. 액체의 빠른 팽창은 분당 약 1 내지 3 체적 피트의 속도로 기체성 CO2스트림 흐름내에 비말동반된 고체 CO2스노우 입자의 제제화를 초래하는온도 강하를 유발한다. 고체 및 기체성 CO2의 스트림을 약 30o내지 약 60o, 바람직하게는 약 45o의 각에서 웨이퍼 표면에 향하게 한다. 노즐은 바람직하게는 웨이퍼 표면에 대한 노즐의 시야의 라인을 따라서 측정된 약 0.375" 내지 0.5"의 거리에 위치한다. 세정 공정동안에, 압반(2)는 y 방향으로 트랙(9)상에서 전후 이동하는 반면, 세정 노즐의 아암은 x 방향으로 트랙(10)상에서 선형 이동한다. 이는 단계 크기 및 스캔 속도를 필요에 따라 예비-설정할 수 있는 웨이퍼 표면상에 래스터된 세정 패턴을 초래한다. 세정 챔버내의 습도는 가급적 낮게, 예를 들어 -40℃ 이슬점 미만으로 유지하는 것이 바람직하다. 낮은 습도는 세정 공정동안에 대기로부터 웨이퍼 표면상에 수분의 축합 및 빙결을 방지하여 오염물 입자와 웨이퍼 표면 사이에 결정성 가교를 형성하여 이들 사이의 접착력을 증가시킨다. 낮은 습도는 질소 또는 세정 건조 공기의 흐름으로 유지될 수 있다.After or at the same time as the fluid cleaning process, a standard low temperature cleaning is carried out. Standard CO 2 low temperature cleaning processes are described in US Pat. No. 5,853,962, which is incorporated herein by reference. As an example of a typical CO 2 low temperature cleaning system, see FIG. 3. The cleaning vessel 12 provides an ultra clean sealed or sealed cleaning zone. There is a wafer 1 held on the platen 2 by vacuum in this cleaning zone. The platen with the wafer is maintained at a controlled temperature of 100 ° C. or less. Liquid CO 2 from the cylinder at room temperature and 850 psi is first passed through a sintered in-line filter 4 to filter very small particles from the liquid stream to make carbon dioxide as pure as possible and to reduce contaminants in the stream. The liquid C0 2 is then allowed to expand through small aperture nozzles, preferably 0.05 "to 0.15" in diameter. Rapid expansion of the liquid causes a temperature drop resulting in the formulation of solid CO 2 snow particles entrained in the gaseous CO 2 stream flow at a rate of about 1 to 3 volume feet per minute. A stream of solid and gaseous CO 2 is directed to the wafer surface at an angle of about 30 ° to about 60 ° , preferably about 45 ° . The nozzle is preferably located at a distance of about 0.375 "to 0.5" measured along the line of view of the nozzle to the wafer surface. During the cleaning process, the platen 2 moves back and forth on the track 9 in the y direction, while the arm of the cleaning nozzle moves linearly on the track 10 in the x direction. This results in a rasterized cleaning pattern on the wafer surface that can pre-set the step size and scan speed as needed. The humidity in the cleaning chamber is preferably kept as low as possible, for example, below the -40 ° C dew point. Low humidity prevents condensation and freezing of moisture on the wafer surface from the atmosphere during the cleaning process to form crystalline crosslinks between contaminant particles and the wafer surface, increasing adhesion between them. Low humidity can be maintained with a stream of nitrogen or clean dry air.

또한, 세정 공정 전체에 걸쳐, 세정 챔버내의 정전기 전하가 중화되는 것이 중요하다. 이는 쌍극 코로나 이온화 막대(5)에 의해 수행된다. 시스템은 또한 전기적으로 연마된 압반상에 탑재된 웨이퍼의 전하 중화를 증강시키는 CO2노즐 뒤에 직접 탑재된 폴로늄 노즐을 갖는다. 정전기 전하는 노즐을 통해 웨이퍼 표면을 가로지르는 CO2의 흐름으로 인해 마찰전기로 발달되고 세정 챔버내에 유지된 낮은 습도에 의해 보조된다.It is also important that the electrostatic charge in the cleaning chamber is neutralized throughout the cleaning process. This is done by the bipolar corona ionization rod 5. The system also has a polonium nozzle mounted directly behind a CO 2 nozzle that enhances charge neutralization of the wafer mounted on the electrically polished platen. Electrostatic charge is assisted by low humidity developed into triboelectricity and maintained in the cleaning chamber due to the flow of CO 2 across the wafer surface through the nozzle.

미립자 오염물에 대해서, 제거 기전은 일차적으로 CO2저온 입자의 운동량전달에 의해 웨이퍼 표면상의 오염물 입자의 접착력을 극복하는 것이다. 일단 입자가 "느슨"해지면, 기체성 CO2의 견인력은 웨이퍼의 표면으로부터 제거된다. 유기 필름 오염물에 대한 세정 기전은 웨이퍼 표면상의 저온 CO2의 충격 압력으로 인해 유기 오염물의 계면 및 표면에서 액체 CO2의 얇은 층이 형성되는 것이다. 이어서, 액체 CO2는 유기 오염물을 용해하여 웨이퍼 표면으로부터 제거할 수 있다.For particulate contaminants, the removal mechanism is primarily to overcome the adhesion of contaminant particles on the wafer surface by momentum transfer of CO 2 cold particles. Once the particles are "loose", the traction of the gaseous CO 2 is removed from the surface of the wafer. The cleaning mechanism for organic film contaminants is the formation of a thin layer of liquid CO 2 at the interface and surface of the organic contaminants due to the impact pressure of the low temperature CO 2 on the wafer surface. The liquid CO 2 may then dissolve and remove the organic contaminants from the wafer surface.

본 명세서의 양태 및 실시예는 본 발명을 설명하고자 하는 것이고 제한하는 것은 아니다. 본 방법에 사용될 수 있는 다른 양태는 당해분야 숙련자가 용이하게 알 수 있다. 이러한 양태도 본 발명의 범위내에 포함되는 것으로 한다.The aspects and examples herein are intended to illustrate, but not limit, the invention. Other embodiments that can be used in the method are readily apparent to those skilled in the art. Such an aspect shall also fall within the scope of the present invention.

참고문헌references

[1] International Technology Roadmap for Semiconductors 2001 Edition.[1] International Technology Roadmap for Semiconductors 2001 Edition.

[2] Handbook of Semiconductor Wafer Cleaning Technology Science, Technology and Applications, Edited by Werner Kern, Noyes Publications, 1993.[2] Handbook of Semiconductor Wafer Cleaning Technology Science, Technology and Applications, Edited by Werner Kern, Noyes Publications, 1993.

[3] Particle Control for Semiconductor Manufacturing, Edited by R. P. Donovan, Marcel Dekker Inc., 1990.[3] Particle Control for Semiconductor Manufacturing, Edited by R. P. Donovan, Marcel Dekker Inc., 1990.

Claims (21)

(a) 고 증기압 액체, 반응성 기체 및 반응성 액체의 증기로 구성된 군에서 선택된 하나 이상의 유체를 기재 표면에 적용하는 단계; 및(a) applying at least one fluid selected from the group consisting of a high vapor pressure liquid, a reactive gas and a vapor of a reactive liquid to the substrate surface; And (b) 기재 표면을 저온 세정하는 단계(b) low temperature cleaning of the substrate surface; 를 포함하는, 정밀 세정이 필요한 기재의 표면으로부터 오염물을 제거하는 방법.A method for removing contaminants from the surface of the substrate comprising a fine cleaning, including. 제 1 항에 있어서,The method of claim 1, 단계 (a) 및 (b)가 연속적으로 수행되는 방법.The process in which steps (a) and (b) are carried out continuously. 제 1 항에 있어서,The method of claim 1, 단계 (a) 및 (b)가 순차적으로 수행되는 방법.The process in which steps (a) and (b) are performed sequentially. 제 1 항에 있어서,The method of claim 1, 하나 이상의 유체가 에탄올, 아세톤, 에탄올-아세톤 혼합물, 아이소프로필 알콜, 메탄올, 메틸 포르메이트, 메틸 요오다이드, 에틸 브로마이드, 아세토니트릴, 에틸 클로라이드, 피롤리딘, 테트라하이드로푸란 및 이들의 혼합물로 구성된 군에서 선택된 고 증기압 액체인 방법.At least one fluid consists of ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide, acetonitrile, ethyl chloride, pyrrolidine, tetrahydrofuran and mixtures thereof High vapor pressure liquid selected from the group. 제 1 항에 있어서,The method of claim 1, 하나 이상의 유체가 에탄올, 아세톤, 에탄올-아세톤 혼합물, 아이소프로필 알콜, 메탄올, 메틸 포르메이트, 메틸 요오다이드, 에틸 브로마이드 및 이들의 혼합물로 구성된 액체의 군에서 선택된 반응성 액체의 증기인 방법.At least one fluid is a vapor of a reactive liquid selected from the group of liquids consisting of ethanol, acetone, ethanol-acetone mixtures, isopropyl alcohol, methanol, methyl formate, methyl iodide, ethyl bromide and mixtures thereof. 제 1 항에 있어서,The method of claim 1, 하나 이상의 유체가 오존, 수증기, 수소, 질소, 산화 질소, 삼불화 질소, 헬륨, 아르곤, 네온, 삼산화 황, 산소, 불소, 탄화불소 기체 및 이들의 혼합물로 구성된 군에서 선택된 하나 이상의 반응성 기체인 방법.At least one fluid is at least one reactive gas selected from the group consisting of ozone, water vapor, hydrogen, nitrogen, nitrogen oxides, nitrogen trifluoride, helium, argon, neon, sulfur trioxide, oxygen, fluorine, fluorocarbon gas and mixtures thereof . 제 1 항에 있어서,The method of claim 1, 하나 이상의 유체가 아이스프로필 알콜, 에탄올-아세톤 혼합물, 메탄올, 오존, 수증기, 삼불화 질소, 삼산화 황, 산소, 불소, 탄화불소 기체 및 이들의 혼합물로 구성된 군에서 선택된 반응성 기체 또는 증기인 방법.At least one fluid is a reactive gas or vapor selected from the group consisting of icepropyl alcohol, ethanol-acetone mixtures, methanol, ozone, water vapor, nitrogen trifluoride, sulfur trioxide, oxygen, fluorine, fluorocarbon gas and mixtures thereof. 제 1 항에 있어서,The method of claim 1, 유체가 저온 세정의 개시 이전에 10분 이하동안 표면과의 접촉을 유지하는 방법.Wherein the fluid maintains contact with the surface for up to 10 minutes prior to commencement of the low temperature cleaning. 제 8 항에 있어서,The method of claim 8, 유체가 저온 세정의 개시 이전에 2분 미만동안 표면과의 접촉을 유지하는 방법.Wherein the fluid maintains contact with the surface for less than two minutes prior to the commencement of the cold wash. 제 1 항에 있어서,The method of claim 1, 오염물의 크기가 0.76㎛ 미만인 방법.The size of the contaminants is less than 0.76 μm. 제 1 항에 있어서,The method of claim 1, 오염물의 크기가 0.13㎛ 미만인 방법.The size of the contaminants is less than 0.13 μm. 제 1 항에 있어서,The method of claim 1, 고 증기압 액체가 25℃에서 약 5kPa 초과의 증기압 및 약 -50℃ 미만의 빙점을 갖는 방법.Wherein the high vapor pressure liquid has a vapor pressure of greater than about 5 kPa and a freezing point of less than about −50 ° C. at 25 ° C. 제 1 항에 있어서,The method of claim 1, 고 증기압 액체가 약 1.5D 초과의 쌍극자 모멘트를 갖는 방법.Wherein the high vapor pressure liquid has a dipole moment greater than about 1.5D. 제 1 항에 있어서,The method of claim 1, 고 증기압 액체가 저온 세정의 개시 이전에 10분 미만, 바람직하게는 2분 미만동안 5Å 이상 층내 표면상에 남아있는 방법.Wherein the high vapor pressure liquid remains on the in-layer surface for at least 5 kPa for less than 10 minutes, preferably less than 2 minutes, prior to commencement of low temperature cleaning. 제 4 항에 있어서,The method of claim 4, wherein 고 증기압 액체가 기재 표면으로부터 대량의 물을 제거하는 추가의 단계를 포함하는 방법.Wherein the high vapor pressure liquid comprises an additional step of removing a large amount of water from the substrate surface. 제 1 항에 있어서,The method of claim 1, 기재 표면이 반도체, 금속 또는 유전체 필름인 방법.The substrate surface is a semiconductor, metal or dielectric film. 제 1 항에 있어서,The method of claim 1, 하나 이상의 유체가 표면상의 오염물과 반응하여 휘발성 기체 부산물을 형성하고; 추가로 반응성 기체 또는 증기를 표면과 20분 이하동안 접촉하도록 유지하는 단계, 및 저온 세정의 개시 이전에 기체 부산물을 제거하는 단계를 포함하는 방법.One or more fluids react with contaminants on the surface to form volatile gas byproducts; Further comprising maintaining the reactive gas or vapor in contact with the surface for up to 20 minutes, and removing the gaseous by-products prior to commencement of the low temperature cleaning. 제 17 항에 있어서,The method of claim 17, 반응성 기체 또는 증기를, 저압하에 및/또는 200℃ 이하의 온도에서 기재를 함유하는 챔버내에 도입하는 방법.Introducing a reactive gas or vapor into the chamber containing the substrate under low pressure and / or at a temperature of 200 ° C. or less. 제 18 항에 있어서,The method of claim 18, 부산물의 제거가, 질소 또는 세정 건조 공기로 챔버를 일소함을 포함하는 방법.Removal of the by-products comprises sweeping the chamber with nitrogen or clean dry air. 제 17 항에 있어서,The method of claim 17, 자유 라디칼 개시제의 존재하에 반응성 기체 또는 증기를 표면에 적용하여 반응성 기체 또는 증기로부터의 반응성 화학적 부산물 및 오염물을 발생시키는 방법.A method of applying a reactive gas or vapor to a surface in the presence of a free radical initiator to generate reactive chemical byproducts and contaminants from the reactive gas or vapor. 제 20 항에 있어서,The method of claim 20, 자유 라디칼 개시제가 자외선, x-선, 레이저, 코로나 방전 또는 플라즈마인 방법.The free radical initiator is ultraviolet, x-ray, laser, corona discharge or plasma.
KR10-2004-7015867A 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning KR20040098054A (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US36985202P 2002-04-05 2002-04-05
US36985302P 2002-04-05 2002-04-05
US60/369,853 2002-04-05
US60/369,852 2002-04-05
US10/324,221 US6852173B2 (en) 2002-04-05 2002-12-19 Liquid-assisted cryogenic cleaning
US10/324,221 2002-12-19
US10/403,147 2003-03-31
US10/403,147 US6949145B2 (en) 2002-04-05 2003-03-31 Vapor-assisted cryogenic cleaning
PCT/US2003/010354 WO2003086668A1 (en) 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning

Publications (1)

Publication Number Publication Date
KR20040098054A true KR20040098054A (en) 2004-11-18

Family

ID=29255566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7015867A KR20040098054A (en) 2002-04-05 2003-04-03 Fluid assisted cryogenic cleaning

Country Status (6)

Country Link
EP (1) EP1494821A4 (en)
JP (1) JP2005522056A (en)
KR (1) KR20040098054A (en)
CN (1) CN1665609A (en)
AU (1) AU2003233485A1 (en)
WO (1) WO2003086668A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029494A1 (en) * 2002-08-09 2004-02-12 Souvik Banerjee Post-CMP cleaning of semiconductor wafer surfaces using a combination of aqueous and CO2 based cryogenic cleaning techniques
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
PT103951A (en) * 2008-01-31 2009-07-31 Univ Nova De Lisboa PROCESSING OF ELECTRICAL AND / OR ELECTRONIC ELEMENTS IN CELLULOSIC MATERIAL SUBSTRATES
CN102476108A (en) * 2010-11-23 2012-05-30 中国科学院微电子研究所 High-temperature vapor-water mixed jet cleaning system and method
US9925639B2 (en) * 2014-07-18 2018-03-27 Applied Materials, Inc. Cleaning of chamber components with solid carbon dioxide particles
JP2016093871A (en) * 2014-11-14 2016-05-26 株式会社東芝 Processing device and nozzle
JP2016093870A (en) * 2014-11-14 2016-05-26 株式会社東芝 Processing device
DE102015003942A1 (en) * 2015-03-26 2016-09-29 Linde Aktiengesellschaft Deburring of molded parts, in particular rubber moldings
CN106269726B (en) * 2016-09-13 2018-09-04 内蒙古蒙牛乳业(集团)股份有限公司 The method for cleaning evaporative light scattering detector drift tube
CN106493121B (en) * 2016-11-01 2017-10-03 武汉大学 A kind of nanometer cleaning method based on active liquid and laser
TW202221789A (en) * 2020-11-27 2022-06-01 南韓商Psk有限公司 Method and apparatus for treating substrate
CN114042684B (en) * 2022-01-12 2022-03-22 北京通美晶体技术股份有限公司 Indium phosphide wafer and mixed cleaning process thereof

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631250A (en) * 1985-03-13 1986-12-23 Research Development Corporation Of Japan Process for removing covering film and apparatus therefor
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
DE3612586A1 (en) * 1986-04-15 1987-10-29 Messer Griesheim Gmbh METHOD FOR REMOVING MATERIAL REMAINS ON THE SURFACE OF WORKPIECES
JPH0349224A (en) * 1989-07-17 1991-03-04 Mitsubishi Electric Corp Treating method for substrate
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5931721A (en) * 1994-11-07 1999-08-03 Sumitomo Heavy Industries, Ltd. Aerosol surface processing
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6066032A (en) * 1997-05-02 2000-05-23 Eco Snow Systems, Inc. Wafer cleaning using a laser and carbon dioxide snow
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6332470B1 (en) * 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner

Also Published As

Publication number Publication date
EP1494821A1 (en) 2005-01-12
EP1494821A4 (en) 2009-11-25
CN1665609A (en) 2005-09-07
JP2005522056A (en) 2005-07-21
WO2003086668A1 (en) 2003-10-23
AU2003233485A1 (en) 2003-10-27

Similar Documents

Publication Publication Date Title
US6949145B2 (en) Vapor-assisted cryogenic cleaning
US6852173B2 (en) Liquid-assisted cryogenic cleaning
US8197603B2 (en) Method and apparatus for treating a substrate with dense fluid and plasma
JP4254238B2 (en) Pattern forming method and apparatus
TWI383436B (en) Methods of sputtering a protective coating on a semiconductor substrate
US6080529A (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
KR100347648B1 (en) How to remove photoresist and etching residue
US6331380B1 (en) Method of pattern etching a low K dielectric layer
US6843855B2 (en) Methods for drying wafer
JP6048043B2 (en) Substrate cleaning method, substrate cleaning apparatus, and vacuum processing system
US20050191861A1 (en) Using supercritical fluids and/or dense fluids in semiconductor applications
KR20040098054A (en) Fluid assisted cryogenic cleaning
JPH079898B2 (en) Method and apparatus for removing microparticles from a substrate
US20050215445A1 (en) Methods for residue removal and corrosion prevention in a post-metal etch process
KR20050061381A (en) Processing of semiconductor components with dense processing fluids and ultrasonic energy
JP3786651B2 (en) Method for removing contaminants after mechanical chemical polishing
JP4298975B2 (en) Manufacturing method of semiconductor device
US6758223B1 (en) Plasma RIE polymer removal
US20050217706A1 (en) Fluid assisted cryogenic cleaning
WO2000024048A1 (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
KR101419632B1 (en) Method for cleaning a substrate, and semiconductor manufacturing device
TWI278927B (en) Fluid assisted cryogenic cleaning
US20100167552A1 (en) Methods for particle removal during integrated circuit device fabrication
Banerjee Cryoaerosol cleaning of particles from surfaces
US20050112899A1 (en) Methods and apparatus for cleaning semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application