KR20040002411A - Trench-gate semiconductor devices and their manufacture - Google Patents

Trench-gate semiconductor devices and their manufacture Download PDF

Info

Publication number
KR20040002411A
KR20040002411A KR1020027017799A KR20027017799A KR20040002411A KR 20040002411 A KR20040002411 A KR 20040002411A KR 1020027017799 A KR1020027017799 A KR 1020027017799A KR 20027017799 A KR20027017799 A KR 20027017799A KR 20040002411 A KR20040002411 A KR 20040002411A
Authority
KR
South Korea
Prior art keywords
trench
gate
window
mask
semiconductor device
Prior art date
Application number
KR1020027017799A
Other languages
Korean (ko)
Inventor
피케스티븐티
페트코스조지오스
파르로버트제이
로저스크리스토퍼엠
그로버레이몬드제이
포르베스페터
Original Assignee
코닌클리즈케 필립스 일렉트로닉스 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닌클리즈케 필립스 일렉트로닉스 엔.브이. filed Critical 코닌클리즈케 필립스 일렉트로닉스 엔.브이.
Publication of KR20040002411A publication Critical patent/KR20040002411A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/66734Vertical DMOS transistors, i.e. VDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/086Impurity concentration or distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0856Source regions
    • H01L29/0865Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

콤팩트 트랜치-게이트(compact trench-gate) 반도체 장치, 예를 들면 초미세한 피치(Yc)를 갖는 셀룰라 파워 금속 산화막 반도체 전계 효과 트랜지스터(power MOSFET)는 상이한 방법으로 측벽 스페이서(52)를 사용하는 자체 정렬 기술에 의해 제조된다. 트랜치-게이트(11)는 본체 표면(10a)상의 마스크(51)의 보다 큰 윈도우(51a)의 측벽에 있는 스페이서(52)에 의해 규정된 좁은 윈도우(52b)를 통해 에칭된 좁은 트랜치(20)내에 수납된다. 스페이서(52)는 트랜치-게이트(11)에 인접한 소스 영역(13) 및 트랜치-게이트(11) 위의 절연 덧층(insulating overlayer)(18)이 좁은 트랜치(20)에 자체 정렬되도록 한다. 소스 전극(33)용 접촉 윈도우(18a)를 규정하는 덧층(18)은 간단하게 제공되지만 스페이서(52)를 제거한 후에 침착 및 에칭 백(etch-back)에 의해 재생가능한 방법이다. 본체 표면(10a)에 의한 이러한 오버랩(y4, y4')은 잘 규정되어, 소스 전극(33)과 트랜치-게이트(11) 사이의 단락 위험을 감소시킨다. 또한, 소스 영역(13)의 부식이 촉진되며, 절연 덧층(18)이 제공된 후 고 에너지 주입(implant)(61)을 사용하여 채널 수용 영역(15)이 제공될 수 있다.Compact trench-gate semiconductor devices, for example cellular power metal oxide semiconductor field effect transistors with ultra fine pitch Yc, self align using sidewall spacers 52 in different ways. Manufactured by technology. The trench-gate 11 is etched through the narrow window 52b defined by the spacer 52 on the sidewall of the larger window 51a of the mask 51 on the body surface 10a. Housed within. The spacer 52 allows the source region 13 adjacent to the trench-gate 11 and the insulating overlayer 18 above the trench-gate 11 to self-align to the narrow trench 20. The overlay layer 18 defining the contact window 18a for the source electrode 33 is simply provided but is a method reproducible by deposition and etch-back after removing the spacer 52. This overlap y4, y4 'by the body surface 10a is well defined, reducing the risk of short circuit between the source electrode 33 and the trench-gate 11. In addition, corrosion of the source region 13 is promoted, and the channel receiving region 15 can be provided using a high energy implant 61 after the insulating overlay layer 18 is provided.

Description

트랜치-게이트 반도체 장치의 제조 방법{TRENCH-GATE SEMICONDUCTOR DEVICES AND THEIR MANUFACTURE}TRENCH-GATE SEMICONDUCTOR DEVICES AND THEIR MANUFACTURE}

소스 영역에서 채널 수용 영역을 통해 제 1 도전성 영역의 드레인 영역으로 연장되는 트랜치내에 트랜치-게이트를 갖는 트랜치-게이트 반도체 장치가 공지되어 있다. 미국 특허 제 6,087,224 호는 이러한 트랜치-게이트 반도체 장치를 제조하는 바람직한 방법을 개시하며, 여기서 ⓐ 좁은 윈도우는 반도체 본체의 표면상의 제 1 마스크내의 보다 넓은 윈도우의 측벽에 측벽 연장부를 제공함으로써 규정되며, ⓑ 트랜치는 좁은 윈도우의 본체내에 에칭되고, 게이트는 트랜치내에 제공되며, ⓒ 소스 영역은 측벽 연장부에 의해 트랜치-게이트와 자체 정렬되도록 제공되고, ⓓ 절연 덧층(insulating overlayer)은 트랜치-게이트상에 제공된다.Trench-gate semiconductor devices are known which have trench-gates in trenches extending from the source region to the drain region of the first conductive region through the channel receiving region. U. S. Patent No. 6,087, 224 discloses a preferred method of manufacturing such trench-gate semiconductor devices, wherein ⓐ narrow windows are defined by providing sidewall extensions to the sidewalls of wider windows in the first mask on the surface of the semiconductor body, The trench is etched in the body of the narrow window, the gate is provided in the trench, the source region is provided to self-align with the trench-gate by the sidewall extension, and the insulating overlayer is provided on the trench-gate. do.

이 방법은 양호한 재생성을 갖는 가요성 장치 방법에서 자체 정렬식 마스킹 기술을 사용할 수 있다. 특히, 상이한 스테이지에서 측벽 연장부를 사용함으로써, 좁은 트랜치-게이트가 형성될 수 있으며, 소스 영역 및 소스 전극용 접촉 윈도우는 이 좁은 트랜치에 대해 자체 정렬 방식으로 결정될 수 있다. 미국 특허 제 6,087,224 호의 전체 개시내용은 본 명세서에서 참조 문헌으로서 인용된다.This method can use a self-aligned masking technique in a flexible device method with good reproducibility. In particular, by using sidewall extensions at different stages, narrow trench-gates can be formed, and the contact window for the source region and the source electrode can be determined in a self-aligning manner for this narrow trench. The entire disclosure of US Pat. No. 6,087,224 is incorporated herein by reference.

미국 특허 제 6,087,224 호는 이 방법의 다양한 형태를 개시한다. 따라서, 예를 들면 소스 영역 및/또는 채널 수용 영역은 트랜치-게이트 형성 단계 전에 또는 그 후에 제공될 수 있으며, 깊은 또는 얕은 보다 강하게 도핑된 영역이 (자체-정렬 방식으로) 채널 수용 영역에 제공될 수 있고, 도핑된 반도체 또는 금속 또는 규화물 재료가 게이트용으로 사용될 수 있으며, 산화된 또는 침착된 절연 덧층은 (자체 정렬 방식으로) 트랜치-게이트 위에 제공될 수 있다. 상술된 자세한 실시예에 있어서, 절연 덧층은 측벽 연장부의 존재부에 제공되고, 이들 측벽 연장부에 의해 억제된다. 또한, 측벽 연장부가 소스 영역을 형성하기 위한 도핑 윈도우를 형성하기 위해 제거되는 경우, 이 도핑은 트랜치-게이트 위의 사전 제공된 덧층 및 제 1 마스크 부분 양자의 동시 존재에 의해 억제된다.U. S. Patent No. 6,087, 224 discloses various forms of this method. Thus, for example, the source region and / or the channel receiving region may be provided before or after the trench-gate forming step, and deep or shallow more heavily doped regions may be provided in the channel receiving region (in a self-aligned manner). Doped semiconductor or metal or silicide materials may be used for the gate, and an oxidized or deposited insulating overlay may be provided over the trench-gates (in a self-aligned manner). In the detailed embodiments described above, an insulating overlay layer is provided in the presence of the sidewall extensions and is inhibited by these sidewall extensions. In addition, when the sidewall extensions are removed to form a doping window for forming the source region, this doping is suppressed by the simultaneous presence of both the pre-supplied overlay layer and the first mask portion above the trench-gate.

발명의 요약Summary of the Invention

본 발명의 목적은 이러한 방법의 개선을 제공하는 것으로, 본 발명은 일련의 신규한 처리 단계를 포함하고, 이 처리 단계는 간단하고 절연 덧층의 제공을 개선하며, 그와 관련하여 다른 바람직한 장치 특징부를 제공할 수 있다.It is an object of the present invention to provide an improvement of this method, wherein the present invention comprises a series of novel processing steps, which processing steps are simple and improve the provision of an insulation overlay, and in this regard other desirable device features Can provide.

본 발명에 따르면, 트랜치-게이트 반도체 장치, 예를 들면 절연 게이트 전계 효과 장치(insulated-gate field-effect device)를 제조하는 방법이 제공되며, 여기서 ⓐ 좁은 윈도우는 반도체 본체의 표면상의 제 1 마스크내의 보다 넓은 윈도우의 측벽에 측벽 연장부를 제공함으로써 규정되며, ⓑ 트랜치는 좁은 윈도우의 본체내에 에칭되고, 게이트는 트랜치내에 제공되며, ⓒ 소스 영역은 트랜치의 측벽에 인접하도록 제공되며(바람직하게는, 측벽 연장부에 의해 트랜치-게이트와 자체 정렬됨), ⓓ 절연 덧층은 하기의 일련의 단계를 사용함으로써 트랜치-게이트상에 제공된다. 이들 일련의 단계는 ① 본체의 표면에서 보다 넓은 윈도우에 의해 제 1 마스크의 적어도 일부를 없애기 위해 측벽 연장부를 제거하는 단계와, ② 절연 재료를, 보다 넓은 윈도우를 충전시키기에 충분한 정도 및 상기 보다 넓은 윈도우 위에 그리고 제 1 마스크 부분 위에서 연장하기에 충분한 정도의 두께로 침착시키는 단계와, ③ 제 1 마스크 부분내의 보다 넓은 윈도우에서 절연 덧층을 제거하기 위해 상기 절연 재료를 에칭 백(etching back)하는 단계와, ④ 소스 영역 및 본체의 인접 표면 영역과 접촉하며 트랜치-게이트 위의 절연 덧층에 걸쳐 연장하는 소스 전극을 제공하기 전에 제 1 마스크 부분을 제거하는 단계를 포함한다.According to the present invention, there is provided a method of manufacturing a trench-gate semiconductor device, for example an insulated-gate field-effect device, wherein a narrow window is formed in a first mask on the surface of a semiconductor body. Defined by providing sidewall extensions to the wider sidewalls, the trenches are etched in the body of the narrow window, the gates are provided in the trenches, and the source region is provided adjacent to the sidewalls of the trenches (preferably, Self-aligned with the trench-gate by extension), ⓓ insulation overlayer is provided on the trench-gate by using the following series of steps. These series of steps include (1) removing sidewall extensions to remove at least a portion of the first mask by a wider window at the surface of the body, and (2) insulating material sufficient to fill a wider window and the wider window. Depositing to a thickness sufficient to extend over the window and over the first mask portion, and (3) etching back the insulating material to remove the insulating overlay in the wider window in the first mask portion; Removing the first mask portion prior to providing a source electrode in contact with the source region and the adjacent surface region of the body and extending over the insulating overlay layer over the trench-gate.

본 발명의 발명자는 (트랜치를 에칭하여 트랜치-게이트를 제공한 후) 제 1 마스크 부분내의 보다 넓은 윈도우의 에지 품질이 측벽 연장부의 에지 품질보다 우수하다는 것과, (측벽 연장부를 제거함에 따른) 그의 재노출(re-exposure)은 절연 덧층이 이러한 보다 넓은 윈도우를 충전하는 간단한 침착 및 에칭 백 공정에 의해 재생성 가능한 방법으로 제공될 수 있음을 발견하였다. 따라서, 측벽 연장부의 에이가 에칭 백시에 대체로 테이퍼링되고 불규칙적으로 되는 반면, 제 1 마스크 부분은 잘 규정된 수직 에지를 가질 수 있다. 이 잘 규정된 수직 에지의 프로파일은 본 발명에 따라서 제 1 마스크 부분의 제거에 의해 절연 덧층내에 형성된 접촉 윈도우의 에지로 재생성 가능하게 변형될 수 있다. 절연 덧층의 결과적인 에지는 이 후 상술되는 바와 같은 다양한 방법으로 사용될 수 있다. 또한, 절연 덧층의 형성은 측벽 연장부의 존재에 의해 억제되지 않는데, 이는 이들 측벽 연장부가 제거되었기 때문이다.The inventors of the present invention have shown that the edge quality of the wider window in the first mask portion (after etching the trench to provide the trench-gate) is superior to the edge quality of the sidewall extension, and reworking it (by removing the sidewall extension). It has been found that re-exposure can be provided in a reproducible manner by a simple deposition and etch back process that fills these wider windows. Thus, the ais of the sidewall extension becomes generally tapered and irregular in the etching back, while the first mask portion may have a well defined vertical edge. The profile of this well defined vertical edge can be reproducibly modified to the edge of the contact window formed in the insulating overlay layer by removal of the first mask portion in accordance with the present invention. The resulting edge of the insulation overlay can then be used in a variety of ways as detailed above. In addition, the formation of the insulating overlay layer is not inhibited by the presence of the sidewall extensions, since these sidewall extensions have been removed.

절연 덧층이 제 1 마스크 부분의 보다 넓은 윈도우를 충전시킴으로써 형성되기 때문에, 이는 트랜치-게이트로부터 인접한 본체 표면상으로 잘 규정된 횡방향 거리만큼 연장한다. 따라서, 제 1 마스크 부분의 제거에 의해 절연 덧층에 형성된 접촉 윈도우의 에지와 트랜치의 측벽 사이에는 잘 규정된 재생성 가능한 공간이 있다. 이러한 잘 규정된 재생성 가능한 공간은 접촉 윈도우의 에지에서 트랜치-게이트에 대한 소스 전극의 단락에 대해 양호한 보호를 제공한다. 또한, 결과적인 절연 덧층은 이 덧층이 게이트 트랜치의 상부 내측으로 연장하도록 약간 함몰된 트랜치-게이트 위에 쉽게 형성될 수 있다. 이러한 방법에 있어서, 신뢰할만한 절연이 게이트 트랜치의 모서리 위에 제공되어 단락을 회피할 수 있다.Since the insulating overlay layer is formed by filling the wider window of the first mask portion, it extends from the trench-gate by a well defined transverse distance onto the adjacent body surface. Thus, there is a well defined reproducible space between the edge of the contact window formed in the insulating overlay layer by the removal of the first mask portion and the sidewall of the trench. This well defined reproducible space provides good protection against shorting of the source electrode to the trench-gate at the edge of the contact window. In addition, the resulting insulating overlay can easily be formed over the trench-gate slightly recessed such that the overlay extends inside the top of the gate trench. In this way, reliable insulation can be provided over the edges of the gate trenches to avoid short circuits.

또한, 본 발명에 따른 공정 순서는 스테이지에서 소스 영역을 도핑하기 위한 기회를 제공하며, 여기서 이 도핑 공정은 트랜치-게이트 위의 사전 제공된 덧층 및 제 1 마스크 부분 양자의 동시 존재에 의해 억제되지 않는다.Furthermore, the process sequence according to the present invention provides an opportunity for doping the source region at the stage, where this doping process is not inhibited by the simultaneous presence of both the pre-supplied overlay layer and the first mask portion above the trench-gate.

따라서, 소스 영역은 절연 덧층용 절연 재료를 침착하기 전에 하기의 일련의단계를 사용함으로써 바람직하게 제공된다. 이 일련의 단계는 ① 본체의 표면에서 보다 넓은 윈도우에 의해 제 1 마스크의 적어도 일부를 없애고, 제 1 마스크 부분과 트랜치-게이트 사이의 도핑 윈도우를 상기 보다 넓은 윈도우내에 형성하기 위해 상기 측벽 연장부를 제거하는 단계와, ② 트랜치-게이트에 인접한 소스 영역을 형성하기 위해 제 1 도전성 형태의 불순물을 (이 도핑 윈도우를 포함하는) 보다 넓은 윈도우를 경유하여 본체내에 유입시키는 단계이다.Thus, the source region is preferably provided by using the following series of steps before depositing the insulating material for the insulating overlay layer. This series of steps eliminates at least a portion of the first mask by a wider window at the surface of the body and removes the sidewall extension to form a doped window in the wider window between the first mask portion and the trench-gate. And introducing impurities of the first conductivity type into the main body through a wider window (including the doped window) to form a source region adjacent to the trench-gate.

다른 변형 공정은 절연 덧층용 절연 재료를 침착시키기 전에 소스 영역(또는 적어도 그의 도핑)을 제공할 수 있다. 따라서, 소스 영역은 측벽 연장부를 제공하기 전에 제 1 마스크 부분내의 보다 넓은 윈도우에 주입될 수 있거나, 또는 그의 도핑은 제 1 마스크를 제공하기 전에 본체 표면에 층으로서 주입될 수 있다. 그러나, 이들 양 경우에 있어서 트랜치는 소스 영역 도핑을 통해 에칭되며, 이는 (이 후에 상술되는 바와 같이) 덜 바람직하다.Another modification process may provide a source region (or at least its doping) prior to depositing the insulating material for the insulating overlay. Thus, the source region may be implanted into a wider window in the first mask portion prior to providing the sidewall extension, or doping may be implanted as a layer on the body surface prior to providing the first mask. In both cases, however, the trench is etched through the source region doping, which is less desirable (as detailed later on).

장치의 다른 특징부가 어떻게 형성되는가에 따라서, 보다 넓은 윈도우의 충전에 의해 규정되는 절연 덧층의 전체 넓이는 제조되는 장치에서 억제될 수 있다. 그러나, 이후의 공정에서 변형될 수 있다. 따라서 예를 들면, 스테이지 ⓓ에서 제 1 마스크 부분을 제거한 후 소스 전극을 제공하기 전에, 절연 덧층의 절연 재료는 절연 덧층에 의해 덮이지 않은 소스 영역의 면적을 증가시키기에 충분한 거리로 등방성으로 에칭 백될 수 있다.Depending on how the other features of the device are formed, the overall width of the insulating overlay layer defined by the filling of the wider window may be suppressed in the device being manufactured. However, it may be modified in a later process. Thus, for example, before removing the first mask portion at stage ⓓ and before providing the source electrode, the insulating material of the insulating overlayer may be etched back isotropically at a distance sufficient to increase the area of the source region not covered by the insulating overlayer. Can be.

본 발명에 따른 방법은 파워 MOSFET와 같은 컴팩트 셀룰러 장치를 제조하는데 특히 바람직하다. 따라서, 제 1 마스크 및 그와 관련된 윈도우는 약 1 ㎛ 또는그 이하의 셀 피치를 갖는 장치 셀을 규정하는 레이아웃 형상을 가질 수 있다.The method according to the invention is particularly preferred for producing compact cellular devices such as power MOSFETs. Thus, the first mask and its associated window may have a layout shape defining device cells having a cell pitch of about 1 μm or less.

바람직하게는, 채널 수용 영역은 트랜치-게이트 후에 제공되며, 그에 따라 (예를 들면, 고 품질 게이트 유전체를 형성하기 위한 열산화와 같은) 트랜치-게이트 구조체를 형성하기 위해 사용될 수 있는 고온 공정은 결과적으로 제공된 채널 수용 영역의 도핑 프로파일에 영향을 미치지 않는다. 측벽 연장부는 소스 영역을 트랜치-게이트와 자체 정렬시키기 위해 각종 방법이 사용될 수 있다. 바람직하게는, 소스 도핑 프로파일은 트랜치-게이트 구조체에 의한 영향을 받지 않기 위해 트랜치-게이트 구조체를 형성한 후에 제공된다. 소스 영역을 형성하기 위한 간단하고 편리한 방법은 제 1 도전성 형태의 도핑 농도를 스테이지 ⓓ에서 측벽 연장부를 제거함으로써 형성된 윈도우를 경유하여 본체내에 유입시키는 것이다.Preferably, the channel receiving region is provided after the trench-gate, so that a high temperature process that can be used to form the trench-gate structure (such as, for example, thermal oxidation to form a high quality gate dielectric) results. It does not affect the doping profile of the channel receiving region provided by. Sidewall extensions may be used in a variety of ways to self-align the source region with the trench-gate. Preferably, the source doping profile is provided after forming the trench-gate structure so as not to be affected by the trench-gate structure. A simple and convenient way to form the source region is to introduce a doping concentration of the first conductivity type into the body via a window formed by removing the sidewall extension at stage ⓓ.

따라서, 본 발명에 따른 편리하고 바람직한 방법에 있어서, 트랜치는 스테이지 ⓑ에서 표면으로부터 하층 영역내로 연장하는 제 1 도전성 형태의 도핑 농도를 갖는 실리콘 본체부를 통해 에칭되어 드레인 영역의 일부를 제공한다. 절연 게이트 장치의 경우에 있어서, 게이트 유전체는 절연 층을 트랜치의 벽상에 침착시킴으로써 형성될 수 있다. 그러나, 게이트 유전체 층은 트랜치의 벽에서 실리콘 본체부의 열산화에 의해 형성될 수 있다. 따라서, 트랜치-게이트 구조체를 형성하기 위한 이들 초기 단계는 이후 제공되는 소스 영역 및 채널 수용 영역의 도핑 프로파일을 방해하지 않는다. 또한, 고 품질의 게이트 유전체를 형성하기 위해 트랜치의 에칭 및 그의 벽에서의 열산화는 균일한 본체 부분에서 실시될 수 있으며, (이후 제공되는) 소스 및 채널 영역 도핑 농도에 의해 영향 받지않는다.Thus, in a convenient and preferred method according to the present invention, the trench is etched through the silicon body portion having a doping concentration of the first conductivity type extending from the surface into the lower layer region at stage ⓑ to provide a portion of the drain region. In the case of an insulated gate device, the gate dielectric can be formed by depositing an insulating layer on the walls of the trench. However, the gate dielectric layer may be formed by thermal oxidation of the silicon body portion at the walls of the trench. Thus, these initial steps for forming the trench-gate structure do not interfere with the doping profiles of the source region and the channel receiving region that are subsequently provided. In addition, etching of the trenches and thermal oxidation at the walls thereof to form a high quality gate dielectric can be performed in a uniform body portion and is not affected by the source and channel region doping concentrations (provided later).

채널 수용 영역의 도핑 프로파일은 단계 ⓓ에서 절연 덧층을 제공한 후에 제공될 수 있다. 트랜치 게이트에 인접한 본체 표면 위의 덧층의 횡방향 넓이는 (측벽 연장부에 의해 결정된 바와 같이) 충분히 작아, 채널 수용 영역을 위한 불순물 공급은 횡방향 아래로 트랜치까지 연장할 수 있다. 몇몇 실시예에 있어서, 불순물 공급을 위해 열 확산이 사용될 수 있다. 그러나, 간단한 활성 어닐링에 의한 고 에너지 주입은 정확한 제어에서 가장 바람직할 수 있다. 이러한 주입은 충분한 고 에너지 및 충분히 높은 선량(dose)으로 실시될 수 있으며, 덧층내의 윈도우에 주입된 불순물 이온은 덧층 아래에 횡방향으로 분산되어 트랜치의 측벽에 도달한다. 이온 에너지는 너무 커서 불순물 이온이 덧층을 고르게 관통하고 트랜치에 인접한 본체의 하측 부분내에 주입된다.The doping profile of the channel receiving region may be provided after providing the insulating overlay in step ⓓ. The transverse width of the overlayer on the body surface adjacent to the trench gate is sufficiently small (as determined by the sidewall extension) so that the impurity supply for the channel receiving region can extend laterally down the trench. In some embodiments, thermal diffusion may be used for impurity supply. However, high energy injection by simple active annealing may be most desirable in accurate control. Such implantation can be carried out with sufficient high energy and sufficiently high doses, where impurity ions implanted in the window in the overlayer are laterally dispersed below the overlayer to reach the sidewalls of the trench. The ion energy is so large that impurity ions evenly penetrate the overlayer and are implanted in the lower portion of the body adjacent to the trench.

본 발명에 따른 이들 및 다른 특징은 첨부 도면을 참조하여 예시적으로 상술된 본 발명의 실시예에 도시되어 있다.These and other features according to the invention are illustrated in the embodiments of the invention described above by way of example with reference to the accompanying drawings.

본 발명은 트랜치-게이트(trench-gate) 반도체 장치, 예를 들면 파워 금속 산화막 반도체 전계 효과 트랜지스터(power MOSFETs)[절연 게이트 전계 효과 트랜지스터(insulated-gate field-effect transistors)], 및 컴팩트 형상을 갖는 장치를 제조하기 위한 자체 정렬 기술(self-aligned techniques)을 사용하는 그의 제조 방법에 관한 것이다.The present invention has a trench-gate semiconductor device, such as power metal oxide semiconductor field effect transistors (insulated-gate field-effect transistors), and a compact shape. It relates to a method of manufacturing thereof using self-aligned techniques for manufacturing the device.

도 1은 본 발명에 따라 제조된 트랜치-게이트 반도체 장치의 일 실시예의 유효한 중앙부의 단면도,1 is a cross-sectional view of an effective central portion of one embodiment of a trench-gate semiconductor device fabricated in accordance with the present invention;

도 2 내지 도 12는 본 발명에 따른 방법의 실시예에 의한 제조에서 연속적인 스테이지로 도 1의 부분의 단면도,2 to 12 are cross-sectional views of the portion of FIG. 1 in a continuous stage in the manufacture according to an embodiment of the method according to the invention,

도 13은 본 발명에 따라 제조된 트랜치-게이트 반도체 장치의 절연된 게이트 트랜치 구조체의 특정 실시예의 확대 단면도,13 is an enlarged cross-sectional view of a particular embodiment of an insulated gate trench structure of a trench-gate semiconductor device fabricated in accordance with the present invention;

도 14는 도 2 내지 도 12의 도면에 대응하지만, 본 발명에 따른 변형된 제조 방법에서 트랜치-에칭 스테이지에서 트랜치-게이트 반도체 장치의 유효한 중앙부의 단면도,14 is a cross sectional view of an effective center portion of a trench-gate semiconductor device in a trench-etching stage in a modified fabrication method according to the invention, although corresponding to the figures of FIGS.

도 15 및 도 16은 본 발명에 따른 변형된 제조 방법에서 도 4 및 도 9 스테이지에서 트랜치-게이트 반도체 장치의 대응하는 유효한 중앙부의 단면도,15 and 16 are cross-sectional views of corresponding effective center portions of trench-gate semiconductor devices at stages 4 and 9 in a modified manufacturing method according to the present invention;

도 17 및 도 18은 도 10과 유사하지만, 소스 영역의 제공에 있어서 변형을 나타내는 부분 사시 단면도,17 and 18 are partial perspective cross-sectional views similar to those of FIG. 10 but showing variations in the provision of the source region;

도 19 내지 도 21은 본 발명에 따른 방법의 다른 실시예에 의한 제조에 있어서 연속적인 스테이지로 트랜치-게이트 반도체 장치의 다른 실시예의 유효한 중앙부의 단면도.19-21 are cross-sectional views of an effective central portion of another embodiment of a trench-gate semiconductor device in successive stages in the manufacture by another embodiment of the method according to the invention.

도 1 내지 도 21은 도면의 명확성 및 편리성을 위해 과장되거나 감소된 사이즈로 도시된 이들 도면의 각종 부분의 개략적이고, 상대적인 치수 및 비율로 되어 있음을 알 수 있다. 동일한 참조부호는 변형된 및 상이한 실시예에서 대응하는 또는 유사한 특징부를 나타내도록 사용되었다.It can be seen that FIGS. 1 through 21 are schematic, relative dimensions and proportions of various parts of these figures, shown in exaggerated or reduced size, for clarity and convenience of the figures. Like reference numerals have been used to indicate corresponding or analogous features in modified and different embodiments.

도 1은 절연된 트랜치-게이트(11)를 구비하는 셀룰러 파워 MOSFET 장치의 예시적인 실시예를 도시한다. 본 장치의 트랜지스터 셀 영역에 있어서, 제 2 도전성 형태(즉, 본 실시예에서는 p-형태)의 채널 수용 영역(15)은 제 1 도전성 형태(본 실시예에서 n-형태)의 소스 영역(13)과 드레인 영역(drain regions)(14)을 각기 분할한다. 드레인 영역(14)은 모든 셀에서 공통이다. 게이트(11)는 영역(13, 15)을 통해 드레인 영역(14)의 하측 부분내로 연장하는 트랜치(20)내에 존재한다. 게이트(11)는 트랜치(20)의 벽에서 중간 유전체 층(17)에 의해 채널 수용 영역(15)에 용량적으로(capacitively) 연결된다. 장치의 스테이지에서 게이트(11)로의 전압 신호의 적용은 영역(15)내의 전도 채널(12)을 포함하는 및 소스 영역(13)과 드레인 영역(14) 사이의 전도 채널(12)내에서 전류 흐름을 제어하기 위한 공지된 방법으로 작동한다.FIG. 1 shows an exemplary embodiment of a cellular power MOSFET device with insulated trench-gates 11. In the transistor cell region of the present apparatus, the channel receiving region 15 of the second conductive form (i.e., p-type in this embodiment) is the source region 13 of the first conductive form (n-type in this embodiment). ) And drain regions 14 are respectively divided. The drain region 14 is common to all cells. Gate 11 resides in trench 20 extending through regions 13 and 15 into the lower portion of drain region 14. The gate 11 is capacitively connected to the channel receiving region 15 by an intermediate dielectric layer 17 at the wall of the trench 20. Application of the voltage signal to the gate 11 at the stage of the device comprises a conduction channel 12 in the region 15 and a current flow in the conduction channel 12 between the source region 13 and the drain region 14. It works in a known way to control the.

소스 영역(13)은 장치 본체(10)의 상측 주요 표면(10a)에 인접하여 위치되며, 여기서 영역(13, 15)은 소스 전극(33)에 의해 접촉된다. 트랜치-게이트(11)는 중간 절연 덧층(18)에 의해 위에 놓인 전극(33)과 절연된다. 도 1은 수직 파워 장치 구조체를 도시한다. 영역(14)은 드레인-드리프트 영역(drain-drift region)이며, 이것은 동일한 도전성 형태의 보다 높게 도핑된 기판(14a)상의 고 저항성의 에피택시얼 층(epitaxial layer)에 의해 형성될 수 있다. 기판(14a)은 드레인 전극(34)에 의해 장치 본체(10)의 바닥 주요 표면(10b)에 접촉된다.The source region 13 is located adjacent to the upper major surface 10a of the device body 10, where the regions 13, 15 are contacted by the source electrode 33. The trench-gate 11 is insulated from the electrode 33 overlying by the intermediate insulation overlayer 18. 1 illustrates a vertical power device structure. Region 14 is a drain-drift region, which may be formed by a highly resistive epitaxial layer on a higher doped substrate 14a of the same conductivity type. The substrate 14a is in contact with the bottom major surface 10b of the apparatus body 10 by the drain electrode 34.

일반적으로 장치 본체(10)는 단결정 실리콘이며, 게이트(11)는 대체로 도전성으로 도핑된 다결정 실리콘이다. 일반적으로, 중간 게이트 유전체 층(17)은 열성장 실리콘 이산화물 또는 침착된 실리콘 이산화물이다.In general, the device body 10 is monocrystalline silicon, and the gate 11 is generally conductively doped polycrystalline silicon. Generally, the middle gate dielectric layer 17 is thermally grown silicon dioxide or deposited silicon dioxide.

도 1의 장치는 본 발명에 따른 방법에 의해 자체 정렬된 특징부에 의해 제조되며, 상기 방법은 ⓐ 좁은 윈도우(52a)가 [장치 본체(10)를 제공하는] 반도체 웨이퍼 본체(100)의 상측 표면(10a)상의 (도 3) 제 1 마스크(51)내의 보다 넓은 윈도우(51a)의 측벽에 [통상 "스페이서(spacers)"(52)라 불리우는] 측벽 연장부(52)를 제공함으로써 규정되는 스테이지와(도 4); ⓑ 트랜치(20)가 좁은 윈도우(52a)에서 본체(100)내에 에칭되고, 이 절연 게이트(11)는 트랜치(20)내에 제공되는 스테이지와(도 5); ⓒ 소스 영역(13)이 스페이서(52)에 의해 트랜치-게이트(11)와 자체 정렬되도록 제공되는 스테이지와(도 7); ⓓ 절연 덧층(18)이, ① 본체의 표면(10a)에서 보다 넓은 윈도우(51a)에 의해 제 1 마스크(51)의 적어도 일부(51n)를 없애기 위해 상기 측벽 연장부(52)를 제거하는 단계와(도 6), ② 절연 재료(18')를, 보다 넓은 윈도우(51a)를 충전시키기에 충분한 정도 및 상기 윈도우(51a) 위에 그리고 제 1 마스크 부분(51, 51n)에 위에서 연장하기에 충분한 정도의 두께로 침착시키는 단계와(도 8), ③ 제 1 마스크 부분(51, 51n)내의 보다 넓은 윈도우(51a)에서 절연 덧층(18)을 제거하기 위해 상기 절연 재료(18')를 에칭 백(etching back)하는 단계와(도 9), ④ 소스 영역(13) 및 본체의 인접 표면 영역(13)과 접촉하며 트랜치-게이트(11) 위의 절연 덧층(18)에 걸쳐 연장하는 소스 전극(33)을 제공하기 전에(도 12) 제 1 마스크 부분(51, 51n)을 제거하는 단계(도 10)를 사용함으로써 트랜치-게이트상에 제공되는 스테이지를 포함한다.The device of FIG. 1 is manufactured by self-aligned features by the method according to the invention, in which the narrow window 52a has an upper side of the semiconductor wafer body 100 (providing the device body 10). Defined by providing sidewall extensions 52 (commonly referred to as “spacers” 52) in the sidewalls of the wider windows 51a in the first mask 51 (FIG. 3) on the surface 10a. A stage (FIG. 4); The trench 20 is etched into the body 100 in a narrow window 52a, the insulating gate 11 comprising a stage provided in the trench 20 (FIG. 5); A stage in which the source region 13 is provided to be self-aligned with the trench-gate 11 by the spacer 52 (FIG. 7); Ⓓ removing the sidewall extension 52 by the insulating overlay layer 18 to eliminate at least part 51n of the first mask 51 by a wider window 51a at the surface 10a of the body. (FIG. 6), (2) sufficient to insulate the insulating material 18 'and to extend above the window 51a and above the first mask portions 51 and 51n. Deposition to a thickness (FIG. 8) and (3) etching the insulating material 18 'to remove the insulating overlay layer 18 in the wider window 51a in the first mask portions 51, 51n. (etching back) and (4) the source electrode (13) in contact with the source region (13) and the adjacent surface region (13) of the body and extending over the insulating overlay layer (18) over the trench-gates (11). 33) providing on the trench-gate by using the step of removing the first mask portions 51, 51n (FIG. 10) before providing (FIG. 12). It comprises a stage.

이러한 것은 절연 덧층(18)[및 소스 전극(33)용 접촉 윈도우(18a)의 규정]이 보다 넓은 윈도우(51a)를 충전하는 간단한 침착 및 에칭 백 공정에 의한 재생성 가능한 방법으로 제공되도록 한다. 이는 제 1 마스크 부분(51, 51n)내의 윈도우(51a)에 대해 양호한 품질의 에지, 즉 트랜치를 에칭하여 트랜치-게이트(11)를 형성한 후 스페이서(52)의 에칭 백 테이퍼된 에지보다 나은 보다 수직의 및 잘규정된 에지가 있기 때문에 달성가능하다. 또한, 이 절연 덧층(18)의 형성은 스페이서(52)의 존재에 의해 억제되지 않는데, 이는 이들 스페이서(52)가 제거되었기 때문이다. 따라서, 이러한 공정은 미국 특허 제 6,087,224 호에 개시된 구체적인 실시예보다 더욱 바람직하다.This allows the insulating overlay layer 18 (and the definition of the contact window 18a for the source electrode 33) to be provided in a reproducible manner by a simple deposition and etch back process that fills the wider window 51a. This is better than the etch back tapered edge of the spacer 52 after etching the trench to form a trench-gate 11 by etching a good quality edge to the window 51a in the first mask portions 51 and 51n. This is achievable because there are vertical and well defined edges. In addition, the formation of this insulating overlay layer 18 is not suppressed by the presence of the spacer 52 because these spacers 52 have been removed. Thus, this process is more preferred than the specific embodiment disclosed in US Pat. No. 6,087,224.

그러나, 이 방법은 본 발명에서 좁은 트랜치-게이트(11)를 형성하기 위한, 및 좁은 트랜치(20)에 대해 자체 정렬 방식으로 소스 영역(13) 및 그 접촉부를 결정하기 위한 미국 특허 제 6,087,224 호에 개시된 스페이서(52)를 여전히 이용한다. 대신에, 자체 정렬 방식으로 도 1 내지 도 12에 도시된 셀 영역내에 (에칭, 평탄화, 도핑, 접촉 등을 위해) 모든 이후 윈도우를 결정하기 위해 단일 마스킹 패턴(45, 51)[도 2에 포토리소그래픽식으로(photo-lithographically) 정의됨]이 사용된다. 이 자체 정렬은 제조를 간단하게 하고 트랜지스터 셀의 재생성 가능한 폐쇄 공간이 예를 들면 약 1㎛ 또는 그 이하의 셀 피치(Yc)를 갖도록 한다.However, this method is disclosed in US Pat. No. 6,087,224 for forming the narrow trench-gate 11 and for determining the source region 13 and its contacts in a self-aligned manner with respect to the narrow trench 20. The disclosed spacer 52 is still used. Instead, a single masking pattern 45, 51 (photo in FIG. 2) is used to determine all subsequent windows (for etching, planarization, doping, contacting, etc.) within the cell regions shown in FIGS. Photo-lithographically defined] is used. This self alignment simplifies manufacturing and allows the reproducible closed space of the transistor cell to have a cell pitch Yc of, for example, about 1 μm or less.

그러나, 본 발명에 있어서 추가적인 향상점 및 장점은 제 1 마스크 부분(51, 51n)의 윈도우(51a)내에 절연 덧층(18)을 형성함으로써 얻어진다. 따라서, 덧층(18)은 트랜치-게이트(11) 상측으로부터 인접한 본체 표면(10a)상으로 잘 규정된 측방향 거리(y4)만큼 연장한다(도 9 및 도 10). 그에 따라, 트랜치(20)의 측벽과 접촉 윈도우(18a)의 에지 사이에는 잘 규정된 재생성 가능한 간격(y4 또는 y4')이 있다. 잘 규정된 재생성 가능한 간격(y4 또는 y4')은 접촉 윈도우(18a)의 에지에서 트랜치-게이트(11)에 대한 소스 전극(33)의 단락에 저항하여 양호한 보호를 제공한다. 단락에 대한 다른 보호는 도 13을 참조하여 하기에 상술된 바와 같이절연 덧층용 캡 및 플러그 구조체를 도핑함으로써 달성될 수 있다.However, further improvements and advantages in the present invention are obtained by forming the insulating overlay layer 18 in the window 51a of the first mask portions 51, 51n. Thus, the overlayer 18 extends from the top of the trench-gate 11 by a well defined lateral distance y4 onto the adjacent body surface 10a (FIGS. 9 and 10). As such, there is a well defined reproducible spacing y4 or y4 'between the sidewall of trench 20 and the edge of contact window 18a. The well-defined reproducible spacing y4 or y4 'provides good protection against shorting of the source electrode 33 to the trench-gate 11 at the edge of the contact window 18a. Other protection against short circuits can be achieved by doping the cap and plug structure for the insulating overlay layer as detailed below with reference to FIG.

또한, 본 발명에 따른 공정 순서는 스테이지에서 소스 영역 도핑(63)을 제공하기 위한 기회를 제공하며, 이 도핑 공정은 제 1 마스크 부분(51) 및 덧층(18)의 동시 존재에 의해 억제되지 않는다. 따라서, 예를 들면, 소스 영역(13)은 하기에 상술된 바와 같이 도 7 스테이지에서 바람직하게 제공될 수 있다.Furthermore, the process sequence according to the present invention provides an opportunity for providing source region doping 63 at the stage, which is not inhibited by the simultaneous presence of the first mask portion 51 and the overlayer 18. . Thus, for example, the source region 13 may be preferably provided in the FIG. 7 stage as detailed below.

절연 트랜치-게이트(11)에 인접한 채널 수용 영역(15)의 도핑 프로파일은 채널(12)의 게이트-제어 특성을 결정하는데 중요하다. 절연 덧층(18) 이후에 도 10에서 화살표(61)로 표시된 바와 같이 바람직하게는 고 에너지 불순물-이온 주입을 사용함으로써 제공될 수 있다. 이는 채널 영역 위의 덧층(18)의 측방향 연장부(y4) 및 에지의 양호한 재생성 가능성으로 인해 달성가능하다. 순서에 따라 상술된 바와 같이, 이 도핑 공정은 예를 들면 약 1㎛ 또는 그 이하의 셀 피치(Yc)를 갖는 밀접하게 이격된 셀에 대해 매우 적합하다.The doping profile of the channel receiving region 15 adjacent to the isolation trench-gate 11 is important for determining the gate-control characteristics of the channel 12. After insulating overlay layer 18, it may be provided by using high energy impurity-ion implantation, preferably as indicated by arrow 61 in FIG. 10. This is achievable due to the good reproducibility of the edges and the lateral extensions y4 of the overlayer 18 above the channel region. As described above in order, this doping process is well suited for closely spaced cells having, for example, a cell pitch Yc of about 1 μm or less.

셀 피치 및 장치의 레이아웃 형상은 도 2 및 도 3에 도시된 포토리소그래픽 및 에칭 스테이지에 의해 결정된다. 셀룰러 레이아웃 형상의 평면도는 도시되어 있지 않는데, 이는 도 1 내지 도 12의 방법이 매우 상이한 공지된 셀 형상에 대해 사용될 수 있기 때문이다. 따라서, 예를 들면 셀은 정방형 형상 또는 꽉 채워진 6각형 형상을 가질 수 있거나, 또는 이들은 기다란 스트라이프(stripe) 형상을 가질 수 있다. 각각의 경우, [게이트(11)를 구비하는] 트랜치(20)는 각 셀의 경계부 둘레에서 연장한다. 도 1은 몇몇 셀만을 도시하지만, 일반적으로 장치는 전극(33, 34) 사이에 수천개의 이들 평행한 셀을 포함한다. 장치의 활성 셀룰러 영역은 각종 공지된 주변 종단 기법(peripheral termination schemes)(또한 도시되지 않음)에 의해 장치 본체(10)의 주변 둘레에서 경계지어질 수 있다. 일반적으로 이러한 기법은 트랜지스터 셀 제조 단계전에 본체 표면(10a)의 주변 장치 영역에 두꺼운 필드-산화물 층(field-oxide layer)의 형성하는 단계를 포함한다. 또한, (게이트-제어 회로와 같은) 각종 공지된 회로는 활성 셀룰러 영역과 주변 종단부 사이의 본체(10)의 영역에서 장치와 통합될 수 있다. 일반적으로 회로 요소는 트랜지스터 셀을 위해 사용될 때와 동일한 마스킹 및 도핑 단계중 몇몇을 사용하여 이 회로 영역내의 자신의 레이아웃으로 제조될 수 있다.The cell pitch and layout shape of the device are determined by the photolithographic and etching stages shown in FIGS. 2 and 3. The top view of the cellular layout shape is not shown because the method of FIGS. 1 to 12 can be used for very different known cell shapes. Thus, for example, the cells may have a square shape or a tightly filled hexagonal shape, or they may have an elongated stripe shape. In each case, trench 20 (with gate 11) extends around the boundary of each cell. 1 shows only a few cells, but in general the device comprises thousands of these parallel cells between the electrodes 33, 34. The active cellular area of the device may be bounded around the periphery of the device body 10 by various known peripheral termination schemes (also not shown). This technique generally involves forming a thick field-oxide layer in the peripheral region of the body surface 10a prior to the transistor cell fabrication step. In addition, various known circuits (such as gate-control circuitry) may be integrated with the device in the region of the body 10 between the active cellular region and the peripheral termination. In general, circuit elements can be fabricated in their own layout within this circuit area using some of the same masking and doping steps as used for transistor cells.

트랜지스터 셀의 제조시의 연속적인 스테이지는 특정 실시예의 예로서 도 2 내지 도 12의 순서를 참조하여 상술된다.Successive stages in the manufacture of transistor cells are described above with reference to the sequences of FIGS. 2-12 as examples of specific embodiments.

도 2는 장치 제조시 초기 스테이지에서 도 1의 본체 부분을 도시한다. 이 특정 실시예에 있어서, 두꺼운 실리콘 질화물 층(51')은 실리콘 본체 표면(10a)상의 얇은 실리콘 이산화물 층(50)상에 침착된다.2 shows the body portion of FIG. 1 at an initial stage in device manufacture. In this particular embodiment, thick silicon nitride layer 51 'is deposited on thin silicon dioxide layer 50 on silicon body surface 10a.

일반적으로 산화물 층(50)은 30㎚ 내지 50㎚ 두께일 수 있다. 도 1 내지 도 12의 실시예에서 질화물 층(51')은 ⓐ 도 4에서 스페이서(52)의 형성을 위한 윈도우(51a)의 소망 깊이와 폭 비, ⓑ 도 7의 주입의 저 에너지 이온(63)을 마스킹하는 동안, 도 10의 고 에너지 주입 스테이지에서 불순물 이온(61)에 의한 소망의 침투력 및 ⓒ 도 9의 평탄화 스테이지에서 형성된 절연 덧층(18)의 소망 두께에 따라 선택된다.In general, oxide layer 50 may be between 30 nm and 50 nm thick. In the embodiment of FIGS. 1-12, the nitride layer 51 ′ is a desired depth and width ratio of the window 51a for the formation of the spacer 52 in FIG. 4, ⓑ low energy ions 63 of the implant of FIG. 7. ) Is selected according to the desired penetration force by the impurity ions 61 in the high energy implantation stage of FIG. 10 and the desired thickness of the insulating overlay layer 18 formed in the planarization stage of FIG.

특정 장치의 실시예에 있어서, 특정 실시예로서 질화물 층(51')은 0.4㎛ 내지 0.5㎛ 두께의 범위내에 있을 수 있으며, 윈도우(51a)는 약 0.5㎛ 폭을 가질 수 있다.In certain embodiments of the device, as a specific embodiment, the nitride layer 51 ′ may be in the range of 0.4 μm to 0.5 μm thick, and the window 51a may have a width of about 0.5 μm.

윈도우(51a)는 공지된 포토리소그래피 기술을 사용하여 규정된다. 대응하는 윈도우(51a')를 갖는 포토레지스트 마스크(45)는 도 2에 도시된 바와 같이 질화물 층(51')상에 제공된다. 이러한 것은 윈도우(51a)를 층(51')에 에칭하여 도 3에 도시된 마스크(51)를 형성하기 위한 부식액 마스크로서 작동한다. 마스크(51) 및 그와 관련된 윈도우[도 3의 참조부호(51a) 및 도 4의 좁은 윈도우(52a)]는 장치 셀 및 그들 피치(Yc)의 레이아웃을 규정하는 레이아웃 형상을 갖는다.Window 51a is defined using known photolithography techniques. Photoresist mask 45 with corresponding window 51a 'is provided on nitride layer 51', as shown in FIG. This acts as a corrosion mask for etching the window 51a to the layer 51 'to form the mask 51 shown in FIG. The mask 51 and its associated windows (reference numeral 51a in FIG. 3 and narrow window 52a in FIG. 4) have a layout shape that defines the layout of the device cells and their pitch Yc.

따라서, 윈도우(51a, 52a)는 예를 들면 꽉 채워진 6각형 셀룰러 형상의 경우 6각형 네트워크인 셀의 게이트 경계부를 규정한다. 도 1 내지 도 12의 실시예에 대해 어떤 레이아웃 형상이 선택되든지, 이웃하는 윈도우(51a) 사이의 마스크(51)의 폭(y1)은 전극(33)에 대해 접촉 윈도우(18a)의 소망 접촉 영역에 따라 선택된다.Thus, the windows 51a, 52a define the gate boundaries of the cells, for example a hexagonal network in the case of a full hexagonal cellular shape. Whatever layout shape is selected for the embodiment of FIGS. 1-12, the width y1 of the mask 51 between neighboring windows 51a is the desired contact area of the contact window 18a with respect to the electrode 33. Is selected according to.

이러한 특정 실시예에 있어서, 산화물 층(52')은 질화물 마스크(51)의 상부 및 측벽, 그리고 윈도우(51a)의 바닥의 윤곽을 따라서 침착된다. 그런 후 산화물 층(52')은 이를 질화물 마스크(51)의 상부 및 윈도우(51a)의 바닥에서 제거하는 반면, 스페이서(52)를 측벽에 남기기 위한 방향성 에칭을 사용하는 공지된 방법으로 에칭 백된다. 또한 에칭 백은 노출된 얇은 산화물 층(50)을 윈도우(52a)에서 제거한다. 일반적으로, 윤곽을 따라 침착된(contour-deposited) 산화물 층(52')은 약 0.2㎛ 두께를 가질 수 있어, 스페이서(52)의 남은 폭(y2)은 0.1㎛ 내지 0.2㎛ 범위이다. 도 4는 폭(y2)의 스페이서(52)에 의해 규정되는 바와 같이 폭(y3)의 보다 좁은 윈도우(52a)를 갖는 결과적인 구조체를 도시한다.In this particular embodiment, oxide layer 52 'is deposited along the contour of the top and sidewalls of nitride mask 51 and the bottom of window 51a. The oxide layer 52 'is then etched back in a known manner using directional etching to remove it from the top of the nitride mask 51 and the bottom of the window 51a, while leaving the spacer 52 on the sidewalls. . The etch back also removes the exposed thin oxide layer 50 from the window 52a. In general, the contour-deposited oxide layer 52 ′ may have a thickness of about 0.2 μm such that the remaining width y2 of the spacer 52 is in the range of 0.1 μm to 0.2 μm. 4 shows the resulting structure with a narrower window 52a of width y3 as defined by spacers 52 of width y2.

트랜치(20)는 윈도우(52a)에서 본체(100)내에 에칭된다. 도 2 내지 도 5에 도시된 바와 같이, 트랜치(20)가 에칭되는 실리콘 본체 부분(14')은 표면(10a)으로부터 드레인 영역(14)의 일부, 즉 드레인 드리프트 영역을 제공하는 영역내로 동일한 도전성 형태의 도핑 농도(doping concentration)(n)를 가질 수 있다. 이 도핑 농도(n)는 실질적으로 균질, 예를 들면 약 2×1016또는 3×1016인(phosphorus) 또는 비소 원자 ㎝-3일 수 있다. 선택적으로, 미국 특허 제 5,612,567 호에 개시된 바와 같이, 표면(10a)에서 5×1016(예를 들면, 1×1016) 인 또는 비소 원자 ㎝-3이하 내지 기판(14a)과의 계면에서 10배 이상(예를 들면, 3×1017인 또는 비소 원자 ㎝-3)일 수 있다.Trench 20 is etched into body 100 at window 52a. As shown in FIGS. 2-5, the silicon body portion 14 ′ where the trench 20 is etched has the same conductivity from the surface 10a into the portion of the drain region 14, ie, the region providing the drain drift region. It can have a doping concentration (n) of the form. This doping concentration n may be substantially homogeneous, for example about 2 × 10 16 or 3 × 10 16 phosphorus or arsenic atoms cm −3 . Optionally, as disclosed in US Pat. No. 5,612,567, 5 × 10 16 (eg, 1 × 10 16 ) phosphorus at the surface 10a or less than arsenic atoms cm −3 to 10 at the interface with the substrate 14a. It may be at least twice (eg, 3 × 10 17 phosphorus or arsenic atoms cm −3 ).

특정 실시예에 있어서, 트랜치(20)가 에칭되는 깊이는 예를 들면 약 1.5㎛일 수 있다. 이러한 깊이는 마스크(51) 두께의 3배이며, 따라서 도면 비율은 이들 개략적인 도면에서 편리를 위해 왜곡된 채로 도시한다.In certain embodiments, the depth at which trench 20 is etched may be, for example, about 1.5 μm. This depth is three times the thickness of the mask 51, so the drawing ratio is shown distorted for convenience in these schematic drawings.

그런 후 게이트 유전체 층(17)은 예를 들면 트랜치(20)의 벽에서 실리콘 본체 부분(14')의 열산화에 의해 형성된다. 도 1 내지 도 11의 실시예에 있어서, 이 유전체 층(17)은 트랜치(20)의 측벽 뿐만 아니라 바닥에 그어져 있다. 그 후, 공지된 방법으로 이 게이트(11)는 트랜치(20)를 충전시키고 윈도우(52a) 위에 그리고마스크(51, 52)에 위에서 연장하기 충분한 두께로 게이트 재료(11')를 침착시키고, 그 후 이 게이트 재료(11')를 그곳에서 제거하여 트랜치-게이트(11)를 형성함으로써 제공된다. 일반적으로, 게이트(11)는 도핑된 다결정 실리콘 또는 다른 반도체 재료를 포함할 수 있다. 재료(11')가 침착되는 동안 또는 그 후에, 예를 들면 도 5에 도시된 에칭 백 스테이지에서 도핑 농도가 제공될 수 있다. 이러한 실시예에 있어서, 게이트 도핑 농도는 제 1 도전성 형태(본 실시예에서 n-형태)이며, 채널 수용 영역(15)을 위한 도 10의 스테이지에서 소개된 제 2 도전성 형태의 도핑 농도보다 크다.The gate dielectric layer 17 is then formed by, for example, thermal oxidation of the silicon body portion 14 ′ at the wall of the trench 20. In the embodiment of FIGS. 1-11, this dielectric layer 17 is drawn at the bottom as well as the sidewall of the trench 20. Then, in a known manner, this gate 11 deposits gate material 11 'to a thickness sufficient to fill trench 20 and extend above window 52a and above masks 51 and 52, and This gate material 11 'is then removed there to form a trench-gate 11. In general, gate 11 may comprise doped polycrystalline silicon or other semiconductor material. Doping concentration may be provided during or after the material 11 ′ is deposited, for example in the etch back stage shown in FIG. 5. In this embodiment, the gate doping concentration is of the first conductivity type (n-type in this embodiment) and is greater than the doping concentration of the second conductivity type introduced at the stage of FIG. 10 for the channel receiving region 15.

산화물 스페이서(52)는 에칭되어 윈도우(51a)를 재개방하며, 따라서 마스크(51)와 트랜치-게이트(11) 사이에 도핑 윈도우(51b)를 형성한다. 또한 이러한 에칭은 산화물 스페이서(52) 하측의 얇은 산화물(50)을 제거한다. 윈도우(51b)가 주입을 위해 사용될 때, 얇은 산화물(50')은 실리콘 본체 표면(10a)의 노출 영역상의 윈도우(51a)에서 재성장한다[또한, 노출된 실리콘 게이트(11)상에서 성장함]. 결과적인 구조체는 도 6에 도시되어 있다.The oxide spacer 52 is etched to reopen the window 51a, thus forming a doped window 51b between the mask 51 and the trench-gate 11. This etching also removes the thin oxide 50 underneath the oxide spacers 52. When the window 51b is used for implantation, the thin oxide 50 'regrows in the window 51a on the exposed area of the silicon body surface 10a (also grows on the exposed silicon gate 11). The resulting structure is shown in FIG.

도 7에 도시된 바와 같이, 도핑 농도(n+)의 소스 영역(13)은 도핑 윈도우(51b)를 경유하여 본체(100)내로 삽입된다. 질화물 층(51)은 마스크로서 작용한다. 이러한 소스 도핑은 비소 이온(63)의 주입에 의해 실시되는 것이 바람직하다. 일반적으로, 1020내지 1022비소 원자 ㎝-3의 도핑 농도를 제공하기 위해 매우 많은 선량이 사용된다. 이온 에너지는 대체로 약 30 keV이다. 이러한 선량 및에너지에 있어서, 비소 이온은 마스크(51)의 에지 아래에서 산란된다. 하나 또는 그 이상의 어닐링, 예를 들면 900℃의 온도에서 1시간 동안의 어닐링 후, 소스 영역(13)은 마스크(51)의 윈도우 에지 라인을 지나 횡방향으로 약 0.1㎛ 내지 0.2㎛ 연장한다.As shown in FIG. 7, the source region 13 of the doping concentration n + is inserted into the main body 100 via the doping window 51b. The nitride layer 51 acts as a mask. Such source doping is preferably performed by implantation of arsenic ions 63. In general, very high doses are used to provide a doping concentration of 10 20 to 10 22 arsenic atoms cm −3 . The ion energy is generally about 30 keV. In this dose and energy, arsenic ions are scattered below the edge of the mask 51. After one or more annealing, eg annealing for 1 hour at a temperature of 900 ° C., the source region 13 extends about 0.1 μm to 0.2 μm laterally beyond the window edge line of the mask 51.

도 8 및 도 9에 도시된 바와 같이, 절연 덧층(18)은 제 1 마스크(51)의 보다 넓은 윈도우(51a)내의 트랜치-게이트(11) 위에 제공된다. 평탄화 공정으로 불리울 수 있는 것은 본 발명에 따라 달성된다. 절연 재료(18')(예를 들면, 실리콘 이산화물)는 윈도우(51a)를 충전시켜 윈도우(51a) 상측 및 마스크(51) 위로 연장하기에 충분한 두께로 침착된다. 그런 후 절연 재료(18')는 에칭 백되어 이를 트랜치-게이트(11) 및 도핑 윈도우(51b)인 본체 표면(10a)에서 제거한다. 결과적인 덧층(18)의 두께는 이 제조 스테이지에서 고작 마스크(51)의 두께에 대응한다. 특정 실시예에 있어서, 덧층(18)은 0.3㎛ 내지 0.4㎛ 두께일 수 있다. 실리콘 본체 표면(10a)과의 오버랩의 측방향 너비(y4)는 스페이서의 폭(y2)에 의해 재생성 가능하게 결정된다.As shown in FIGS. 8 and 9, an insulating overlay layer 18 is provided over the trench-gate 11 in the wider window 51a of the first mask 51. What can be called a planarization process is achieved according to the invention. Insulating material 18 '(eg, silicon dioxide) is deposited to a thickness sufficient to fill the window 51a and extend above the window 51a and above the mask 51. The insulating material 18 'is then etched back to remove it from the body surface 10a, which is the trench-gate 11 and the doped window 51b. The thickness of the resulting overlay layer 18 corresponds to the thickness of the mask 51 at this stage of manufacture. In certain embodiments, overlay layer 18 may be between 0.3 μm and 0.4 μm thick. The lateral width y4 of the overlap with the silicon body surface 10a is reproducibly determined by the width y2 of the spacer.

그런 후 마스크(51)는 도 10에 도시된 바와 같이 절연 덧층(18)내에 윈도우(18a)를 형성하기 위해 제거된다. 마스크(51) 아래의 측방향 너비에 의해 결정되는 바와 같이, 소스 영역(13)은 측방향으로 윈도우(18a)내로 연장한다. 측방향 너비는 특히 도 10 및 도 11의 주입 어닐링후 소스 전극(33)에 대한 양호한 저 저항성 접촉에 충분할 수 있다. 그러나, 도 10의 덧층(18)은 [참조부호(y4)로부터 참조부호(y4')까지의] 오버랩을 감소시키기에 충분한 거리로 등방성으로 에칭백되어 층(18)에 의해 덮이지 않는 소스 영역(13)의 넓이를 증가시킬 수 있다. 이러한 더욱된 에칭 백은 도 10에 점선(18c)으로 표시되어 있으며 이의 주입은 도 11을 참조하여 하기에서 상술된다.Mask 51 is then removed to form window 18a in insulating overlay layer 18, as shown in FIG. As determined by the lateral width under the mask 51, the source region 13 extends laterally into the window 18a. The lateral width may be particularly sufficient for good low ohmic contact to the source electrode 33 after the implant annealing of FIGS. 10 and 11. However, the overlay layer 18 of FIG. 10 is isotropically etched back a distance sufficient to reduce overlap (from reference y4 to reference y4 ') and not covered by the layer 18. The area of (13) can be increased. This further etched bag is indicated by dashed line 18c in FIG. 10 and its implantation is detailed below with reference to FIG. 11.

도 10에 도시된 고 에너지 불순물-이온 주입은 채널 수용 영역(15)을 제공하도록 실시된다. 불순물 이온(61)은 충분히 고 에너지 및 충분히 높은 선량으로 주입되며, 윈도우(18a)에 주입되는 불순물 이온(61)은 본체 표면(10a)상의 덧층(18)의 일부 측방향 아래에서 산란된다. 이온 에너지는 충분히 높을 수 있으며 덧층(18)상에 부딪치는 이들 불순물 이온은 본체(100)의 하측 부분에 주입되도록 그를 관통한다. 일반적으로, 불순물 이온은 200 keV를 초과하는 주입 에너지를 갖는 붕소일 수 있다. 덧층(18)이 이러한 주입에 대해 트랜치-게이트(11)를 완전히 마스킹하지 않는 경우, 붕소 도핑 농도는 다결정 실리콘 게이트 재료의 부분을 과도 도핑하기에 충분하지 않다.The high energy impurity-ion implantation shown in FIG. 10 is implemented to provide the channel receiving region 15. The impurity ions 61 are implanted at a sufficiently high energy and at a sufficiently high dose, and the impurity ions 61 implanted in the window 18a are scattered below some side of the overlayer 18 on the body surface 10a. The ion energy can be high enough and these impurity ions striking on the overlayer 18 penetrate therein to be implanted into the lower portion of the body 100. In general, the impurity ions may be boron with implantation energy in excess of 200 keV. If the overlay layer 18 does not completely mask the trench-gate 11 for this implantation, the boron doping concentration is not sufficient to overdope a portion of the polycrystalline silicon gate material.

본 발명자는 예를 들면 윈도우(18a)에 주입되는 260 keV의 이온 에너지에서 2×1013-2붕소 이온의 선량이 마스크 에지 아래로 0.4㎛ 이상 측방향으로 산란됨을 발견하였다. 이러한 산란은 트랜치(20)에 인접한, 즉 본체 표면(10a)상의 덧층(18)의 0.15㎛ 또는 0.2㎛ 넓은 너비(y4 또는 y4') 하에서 측방향으로 소망의 붕소 도핑 농도를 제공할 수 있다. 또한, 이러한 높은 에너지로 인해, 불순물 이온(61)은 덧층(18)의 두께(예를 들면, 0.3㎛ 내지 0.4㎛)를 관통하여 트랜치(20)에 인접한 도핑 농도를 강화시킬 수 있다. 일반적으로, 도핑 농도는 예를 들면 약1017붕소 원자 ㎝-3일 수 있다. 트랜치(20)에 인접한 영역(15)의 도핑 농도는 재생성 가능하게 결정되는데, 이는 덧층(18)에 대한 정확하게 규정되고 재생성 가능한 두께, 측방향 너비 및 에지가 본 발명에 따른 전술된 방법을 사용함으로써 형성될 수 있기 때문이다. 주입 손상을 어닐링하고 불순물을 활성화시키기 위해 예를 들면 1,100℃에서 40분 동안의 가열 단계가 실시된다. 주입된 불순물의 일부 열 확산은 이러한 가열 단계동안 발생하며, 이는 영역(15)의 도핑 농도에서 균질성 달성에 기여한다.The inventors have discovered, for example, that the dose of 2x10 13 cm -2 boron ions at the 260 keV ion energy injected into the window 18a is laterally scattered at least 0.4 mu m below the mask edge. Such scattering can provide the desired boron doping concentration laterally adjacent to trench 20, ie under 0.15 μm or 0.2 μm wide width (y4 or y4 ′) of overlayer 18 on body surface 10a. In addition, due to this high energy, the impurity ions 61 can penetrate the thickness of the overlayer 18 (eg, 0.3 μm to 0.4 μm) to enhance the doping concentration adjacent to the trench 20. In general, the doping concentration may be, for example, about 10 17 boron atoms cm −3 . The doping concentration of the region 15 adjacent to the trench 20 is determined to be reproducible, as the precisely reproducible thickness, lateral width and edges for the overlayer 18 are determined by using the method described above according to the invention. Because it can be formed. For example, a heating step of 40 minutes at 1,100 ° C. is carried out to anneal the injection damage and activate the impurities. Some thermal diffusion of the implanted impurities occurs during this heating step, which contributes to achieving homogeneity at the doping concentration of region 15.

접촉 윈도우(18a)를 경유하여 채널 수용 영역(15)을 제공한 후에, 제 2 도전성 형태(즉, p-형태)의 추가적인 불순물이 [또한 접촉 윈도우(18a)를 경유하여] 본체(100)내로 유입되어 채널 수용 영역(15)용 보다 높게 도핑된 접촉 영역(35)을 형성한다. 이러한 것은 도 11에 도시된 바와 같이 붕소 이온(65)을 주입시킴으로써 바람직하게 달성된다. 결과적인 붕소 농도는 윈도우(18a)에서 노출된 소스 영역 면적을 과도 도핑하기에 충분하지 않다. 일반적으로, 이러한 도핑 농도는 예를 들면 약 1019붕소 원소 ㎝-3일 수 있다.After providing the channel receiving region 15 via the contact window 18a, additional impurities of the second conductive form (ie, p-type) are introduced into the body 100 (also via the contact window 18a). Introduced to form a higher doped contact region 35 for the channel receiving region 15. This is preferably accomplished by implanting boron ions 65 as shown in FIG. The resulting boron concentration is not sufficient to overdope the source region area exposed in the window 18a. In general, this doping concentration may be, for example, about 10 19 elemental boron cm −3 .

도 10 및 도 11에 도시된 바와 같이, 얇은 산화물(50)은 주입 윈도우(18)에 존재한다. 짧은 딥 에칭(dip etch)은 이러한 산화물 층(50)을 제거하기 위해 사용될 수 있으며 따라서 소스 전극(33)용 접촉 윈도우로서 윈도우(18a)를 개방한다. 매우 짧은 에칭에 의해서도, 산화물 층(50)의 제거동안 산화물 층(18)의 등방성 에칭 백이 (수직 및 수평으로) 발생한다. 이러한 스테이지에서 에칭은 도 10에점선(18c)으로 도시된 바와 같이 덧층(18)의 에칭 백에 영향을 미치도록 연장될 수도 있다. 그에 따라 소스 영역(13)과 전극(33) 사이에 보다 넓은 접촉 면적이 달성될 수 있다. 이러한 에칭 백이 도 10에서 또는 도 10 전에 실시되든지 간에, 주입은 본 기술에서 가변 설계 선택이다. 에칭 백이 도 10의 주입(61) 전에 실시된다면, 채널 수용 영역(15)의 주입된 프로파일상에의 영향은 고려될 필요가 있다.As shown in FIGS. 10 and 11, thin oxide 50 is present in the injection window 18. A short dip etch can be used to remove this oxide layer 50 and thus open the window 18a as a contact window for the source electrode 33. Even with very short etching, an isotropic etch back of the oxide layer 18 occurs (both vertically and horizontally) during removal of the oxide layer 50. Etching at this stage may be extended to affect the etch back of the overlayer 18 as shown by dashed lines 18c in FIG. 10. Thus a wider contact area can be achieved between the source region 13 and the electrode 33. Whether such an etch back is carried out in FIG. 10 or before FIG. 10, implantation is a variable design choice in the art. If the etch back is carried out before the implantation 61 of FIG. 10, the effect on the implanted profile of the channel receiving region 15 needs to be considered.

도 12에 도시된 바와 같이, 소스 전극(33)은 접촉 윈도우(18a)에서 소스 영역(13) 및 접촉 영역(35) 양자와 접촉하도록 및 트랜치-게이트(11) 위의 절연 덧층(18) 위로 연장하도록 침착된다. 일반적으로, 소스 전극은 규화물 접촉 층상에 두꺼운 알루미늄 층을 포함한다. (공지된 포토리소그래피 및 에칭 기술에 의해) 그의 레이아웃은 소스 전극(33)을 형성하는 개별 금속화 영역 및 트랜치-게이트(11)에 연결된 게이트 본드패드(bondpad)내에 규정된다. 게이트 본드패드 금속화 및 그의 연결부는 도 11의 평면 외측에 있다. 그 후 배면(10b)은 금속화되어 드레인 전극(34)을 형성하며, 그 후 웨이퍼 본체(100)는 개별 장치 본체(10)로 나뉘어진다.As shown in FIG. 12, the source electrode 33 is in contact with the source region 13 and the contact region 35 in the contact window 18a and over the insulating overlay layer 18 over the trench-gate 11. Is deposited to extend. Generally, the source electrode includes a thick aluminum layer on the silicide contact layer. Its layout (by known photolithography and etching techniques) is defined within the gate bondpads connected to the trench-gates 11 and the individual metallization regions that form the source electrode 33. Gate bondpad metallization and its connections are outside the plane of FIG. 11. The back surface 10b is then metallized to form the drain electrode 34, after which the wafer body 100 is divided into individual device bodies 10.

다수의 변형 및 수정이 본 발명의 범위내에서 가능하다는 것은 명백하다. 상당한 가요성은 장치의 다른 부품의 제조 그리고 [스페이서(52), 좁은 트랜치(20), 트랜치-게이트(11), 소스 영역(13), 절연 덧층(18) 및 채널 수용 영역(15)의 형성을 위한] 방법의 스테이지 ⓐ 내지 ⓓ 및 그 사이에서 사용될 수 있는 특정 기술에서 가능하다. 따라서 (종래기술에 있어서 다수의 특징부 뿐만 아니라) 다른 신규한 특징부는 본 발명과 관련하여 사용될 수 있다.It is obvious that many variations and modifications are possible within the scope of the invention. Significant flexibility allows the fabrication of other parts of the device and the formation of spacers 52, narrow trenches 20, trench-gates 11, source regions 13, insulating overlay layers 18 and channel receiving regions 15. For the stages ⓐ to ⓓ of the method and in particular techniques that can be used in between. Thus, other novel features (as well as many features in the prior art) can be used in connection with the present invention.

예로써, 도 5는 본체 표면(10a)의 약간 아래에서 정지하는 게이트 재료(11')의 에칭 백을 도시한다. 이러한 경우, 도 1의 절연 덧층(18)은 트랜치(20)의 상측부내 뿐만 아니라 표면(10a)의 인접 영역 위의 측방향으로 약간 연장한다. 이러한 덧층(18)용 구조는 도 13의 확대도에 도시된 바와 같이 게이트 트랜치(20)의 상부 모서리에서 소망하지 않는 단락에 대한 매우 신뢰성 있는 보호를 제공하는데 특히 바람직하다.By way of example, FIG. 5 shows an etch back of the gate material 11 ′ stopping slightly below the body surface 10 a. In this case, the insulating overlay layer 18 of FIG. 1 extends slightly laterally over the adjacent region of the surface 10a as well as in the upper portion of the trench 20. This structure for overlay layer 18 is particularly desirable to provide highly reliable protection against unwanted shorting at the top edge of gate trench 20 as shown in the enlarged view of FIG. 13.

따라서, 게이트 유전체(17)의 제공후, 각종 공정 스테이지에의 노출동안, 게이트 유전체(17)의 일부 부식이 게이트 트랜치(20)의 상부 모서리에서 발생할 수 있다. 이러한 부식은 최종 장치에 있어서 게이트(11)와 소스 영역(13) 및/또는 소스 전극(33) 사이에서 소망하지 않는 단락을 발생시킬 위험성이 있을 수 있다. 그러나, 도 13에 도시된 바와 같이, 침착되고 에칭 백된 산화물 재료(18)가 잔존하여 트랜치(20)의 상부에 절연 플러그를 형성하고 소스 영역(13)의 트랜치-게이트에서 절연 캡으로서 트랜치(20)로부터 측방향으로 연장한다. 덧층(18)의 이러한 조합된 플러그와 캡 구조는 게이트 트랜치(20)의 상부 모서리의 매우 신뢰할 만한 절연을 제공하여 단락으로부터 보호한다.Thus, after the provision of the gate dielectric 17, some corrosion of the gate dielectric 17 may occur at the upper edge of the gate trench 20 during exposure to various process stages. Such corrosion may present a risk of causing undesirable short circuits between the gate 11 and the source region 13 and / or the source electrode 33 in the final device. However, as shown in FIG. 13, the deposited and etched oxide material 18 remains to form an insulating plug on top of the trench 20 and to form trench 20 as an insulating cap at the trench-gate of the source region 13. Extend laterally). This combined plug and cap structure of the overlayer 18 provides very reliable insulation of the top edge of the gate trench 20 to protect it from short circuits.

그러나, 게이트 재료(11')의 에칭 백은 본체 표면(10a)의 레벨과 일치하여 정지될 수 있거나 또는 본체 표면(10a)보다 약간 높았을 때 정지할 수 있다. 후자의 경우, 트랜치-게이트(11)는 본체 표면(10a)의 레벨 위로 약간 돌출하며, 덧층(18)은 트랜치(20)내로 하강하는 대신에 돌출하는 트랜치-게이트(11) 상측으로 [스페이서(20)에 의해 제거된 공간내로] 연장한다.However, the etch back of the gate material 11 'may be stopped in line with the level of the body surface 10a or may stop when slightly higher than the body surface 10a. In the latter case, the trench-gate 11 slightly protrudes above the level of the body surface 10a, and the overlayer 18 is above the trench-gate 11 protruding instead of descending into the trench 20 [spacer ( Into the space removed by 20).

도 1 내지 도 13을 참조하여 상술된 특정 실시예에 있어서, 마스크(51)와 스페이서(52) 각각은 개별 단일 재료(실리콘 질화물, 실리콘 산화물)로 이루어진다. 상이한 재료의 복합 층이 사용되는 다른 실시예가 가능하다. 따라서 예를 들면, 두꺼운 복합 마스크(51)가 상기 공정의 초기 스테이지에서 사용될 수 있으며, 그 후 마스크(51)는 상측부의 제거에 의해 얇아질 수 있다. 계류중인 PCT 특허 출원 제 EP01/09330 호(및 대응 미국 특허 출원 제 09/932073 호 및 영국 특허 출원 제 0020126.9 호 및 제 0101690.6; 출원인 참조번호 제 PHNL010059 호)는 복합 측벽 스페이서의 사용을 개시한다. 특히, 산화물의 트랜치-에칭 마스크(51)가 개시되어 있으며, 그의 윈도우는 실리콘 질화물의 얇은 층상에 폴리실리콘(polysilicon)을 포함하는 복합 측벽 스페이서(52)에 의해 좁혀진다.In the particular embodiment described above with reference to FIGS. 1 through 13, each of the mask 51 and the spacer 52 is made of a separate single material (silicon nitride, silicon oxide). Other embodiments are possible in which composite layers of different materials are used. Thus, for example, a thick composite mask 51 may be used at the initial stage of the process, after which the mask 51 may be thinned by removal of the upper portion. Pending PCT patent applications EP01 / 09330 (and corresponding US patent applications 09/932073 and UK patent applications 0020126.9 and 0101690.6; Applicant reference number PHNL010059) disclose the use of composite sidewall spacers. In particular, a trench-etch mask 51 of oxide is disclosed, the window of which is narrowed by a composite sidewall spacer 52 comprising polysilicon on a thin layer of silicon nitride.

본 발명의 변형 실시예에 있어서, 마스크(51)는 실리콘 질화물로 이루어질 수 있으며 스페이서(52)는 얇은 질화물 층(50')상의 폴리실리콘의 복합물일 수 있다. 질화물 대신에 산화물이 사용되는 다른 변형이 가능하다. 따라서, 스페이서는 폴리실리콘 재료(52')를 얇은 층(50')상에 윤곽을 따라 침착시킴으로써 형성되는 복합물일 수 있다. 이러한 경우, 도 14에 도시된 바와 같이 트랜치(20)가 본체 영역(14')내에 에칭되는 경우, 에칭은 스페이서(52)의 폴리실리콘 부분(52m, 도시되지 않음)을 제거한다. 결과적인 구조체는 도 14에 도시되어 있다. 좁혀진 트랜치-에칭 윈도우(52a)는 얇은 층(50')[즉, 하측 스페이서 부분(52n)]에 의해 규정된 채로 남는다. 그런 후, 게이트 유전체(17), 게이트(11) 및 영역(13, 15)은 전술된 바와 같이 제공된다. 상측 스페이서 부분(52m)의 제거에 의해 형성된 보다 넓은윈도우(51a)는 본 발명에 따라 게이트(11)상에 절연 덧층(18)을 제공하도록 사용된다.In a variant embodiment of the invention, the mask 51 may be made of silicon nitride and the spacer 52 may be a composite of polysilicon on a thin nitride layer 50 '. Other variations are possible in which oxides are used instead of nitrides. Thus, the spacer may be a composite formed by contouring the polysilicon material 52 'onto the thin layer 50'. In this case, when the trench 20 is etched into the body region 14 ′ as shown in FIG. 14, the etching removes the polysilicon portion 52m (not shown) of the spacer 52. The resulting structure is shown in FIG. 14. The narrowed trench-etching window 52a remains defined by the thin layer 50 '(ie, the lower spacer portion 52n). Thereafter, gate dielectric 17, gate 11 and regions 13 and 15 are provided as described above. A wider window 51a formed by the removal of the upper spacer portion 52m is used to provide an insulating overlay layer 18 on the gate 11 in accordance with the present invention.

전적으로 실리콘 질화물의 최초 마스크(51)로 상술된 특정 실시예에 관하여, 마스크 표면이 제조 공정 순서에 따라 산화 분위기에 노출되는 경우, 산소-질화물이 마스크 표면에 형성됨을 알 수 있다. 따라서, 예를 들면 도 5 및/또는 도 8의 스테이지에서, 질화물 마스크(51)는 산화물 스페이서(52) 및/또는 산화물 재료(18')가 에칭될 때 에칭되는 산소-질화물 표면을 포함할 수 있으며, 따라서 이들 스테이지에서 마스크(51)를 박육화 한다. 이러한 것은 도 7의 주입 스테이지 및 도 9의 산화물 평탄화 스테이지 동안 잔존하는 마스크 부분(51)의 두께에 있어서 몇몇 불확실성을 나타낼 수 있다. 또한, 마스크(51)용으로 두꺼운 실리콘 질화물의 사용은 제조동안 실리콘 웨이퍼 본체(100)를 뒤틀리게 하여 휘어지게 한다.With respect to the particular embodiment described above solely as the initial mask 51 of silicon nitride, it can be seen that oxygen-nitride is formed on the mask surface when the mask surface is exposed to an oxidizing atmosphere in the order of the manufacturing process. Thus, for example at the stage of FIGS. 5 and / or 8, nitride mask 51 may include an oxygen-nitride surface that is etched when oxide spacer 52 and / or oxide material 18 ′ is etched. Therefore, the mask 51 is thinned in these stages. This may indicate some uncertainty in the thickness of the mask portion 51 remaining during the implantation stage of FIG. 7 and the oxide planarization stage of FIG. 9. In addition, the use of thick silicon nitride for the mask 51 distorts and deflects the silicon wafer body 100 during manufacturing.

이들 장점은 스테이지 ⓐ에서 하부 층 부분(51n)상에 상부 층 부분(51m)을 포함하는 복합물로서 제 1 마스크(51)를 형성함으로써 회피될 수 있다. 상부 층 부분(51m)은 하부 층 부분(51n)(대체로 질화물)과는 상이한 재료(예를 들면, 산화물)로 이루어져 하부 층 부분(51n)으로부터 에칭가능하다. 이러한 복합물 마스크(51m, 51n)는 도 4의 변형예로서 도 15에 도시되어 있다. 상부 층 부분(51m)은 소스 영역(63)을 위한 불순물 이온(63)을 주입하기 전 또는 그 후에 하부 측 부분(51n)으로부터 에칭될 수 있다. 상부 층 부분(51m)을 제거함으로써, 도 16에 도시된 바와 같이, 마스크(51)의 하부 층 부분(51n)만이 스테이지 ⓓ에서 침착되고 에칭 백된 절연 덧층(18)을 규정하도록 작용한다. [두꺼운 질화물층(51)과 비교하여] 질화물 층(51n)의 감소된 두께는 제조동안 실리콘 웨이퍼 본체(100)상에 감소된 튀들림을 발생시켜, 웨이퍼 본체(100)의 휨을 감소시킨다.These advantages can be avoided by forming the first mask 51 as a composite comprising an upper layer portion 51m on the lower layer portion 51n in stage ⓐ. The upper layer portion 51m is etchable from the lower layer portion 51n by being made of a material (for example, oxide) different from the lower layer portion 51n (mostly nitride). Such composite masks 51m and 51n are shown in FIG. 15 as a variation of FIG. The upper layer portion 51m may be etched from the lower side portion 51n before or after implanting the impurity ions 63 for the source region 63. By removing the upper layer portion 51m, as shown in FIG. 16, only the lower layer portion 51n of the mask 51 acts to define the insulating overlay layer 18 deposited and etched back in stage ⓓ. The reduced thickness of the nitride layer 51n (compared to the thick nitride layer 51) causes reduced splashing on the silicon wafer body 100 during manufacturing, thereby reducing warpage of the wafer body 100.

도 10의 실시예에 있어서, 채널 수용 영역(15)을 위해 주입된 불순물 이온(61)은 덧층(18) 아래로 산란되도록 및 덧층(18)을 관통할 수 있도록 고 에너지이다. 그에 따라, 긴 드라이브-인(drive-in) 확산없이, 채널 수용 영역(15)을 위한 소망의 도핑 프로파일이 주입된다. 그러나, 드라이브 인 확산은 몇몇 장치, 특히 매우 큰 셀 피치(Yc)를 갖는 장치 및/또는 보다 낮은 에너지의 불순물(61) 주입이 사용될 때에 대해 사용될 수 있다.In the embodiment of FIG. 10, the impurity ions 61 implanted for the channel receiving region 15 are high energy to be scattered under the overlayer 18 and to penetrate the overlayer 18. Thus, the desired doping profile for the channel receiving region 15 is injected, without long drive-in diffusion. However, drive-in diffusion can be used for some devices, especially devices with very large cell pitch Yc and / or when less energy impurity 61 implantation is used.

도 1 내지 도 12의 실시예에 있어서, 소스 영역(13)은 스페이서(52)를 제거함으로써 형성된 도핑 윈도우(51b)에서 불순물 이온(63)을 주입함으로써 가장 편리하게 형성된다. 그러나, 스페이서(52)는 트랜치-게이트를 갖는 소스 영역의 자체 정렬을 제공하는 다른 방법에서 사용될 수 있다.1 to 12, the source region 13 is most conveniently formed by implanting the impurity ions 63 in the doped window 51b formed by removing the spacer 52. In the embodiment shown in FIG. However, the spacer 52 can be used in other ways to provide self alignment of the source region with trench-gates.

이러한 변형 실시예중 하나에 있어서, 소스 영역(13)은 스페이서(52) 자체내로 또는 덧층(18)의 일부내로 도핑되는 비소 또는 인으로부터 본체(100)내로 확산될 수 있다.In one of these alternative embodiments, source region 13 may diffuse into body 100 from arsenic or phosphor that is doped into spacer 52 itself or into a portion of overlayer 18.

다른 예시적인 방법에 있어서, 소스 영역(13)은 표면(10a)에서 n-형태 층(13')에 의해 형성될 수 있다. 이것은 마스크(51)전에 도핑된 층(13')을 제공함으로써, 및 부식액 마스크로서 표면(10a)상의 덧층(18)의 측방향 연장부(y4 또는 y4')를 사용하는 동안 층(13')을 관통하여 하측 영역(15)까지 에칭함으로써 달성될 수 있다. 덧층(18)의 이러한 측방향 연장부는 스페이서(52)에 의해 결정된다. 소스 영역(13)의 에칭 규정은 도 10에 점선(18c)으로 표시된 바와 같이 덧층(18)을 에칭 백하기 전에 실시될 수 있다.In another exemplary method, source region 13 may be formed by n-type layer 13 ′ at surface 10a. This is done by providing a doped layer 13 'before the mask 51, and while using the lateral extension y4 or y4' of the overlayer 18 on the surface 10a as a corrosion mask. By etching through to the lower region 15. This lateral extension of the overlayer 18 is determined by the spacer 52. Etch definition of the source region 13 may be implemented prior to etching back the overlay layer 18 as indicated by dashed line 18c in FIG. 10.

도 17 및 도 18은 소스 영역(13)의 에칭 규정과 더불어, 트랜지스터 셀을 가로질러 연장하는 추가적인 소스 영역 스트라이프를 제공하는 다른 변형예를 도시한다. 따라서, 도 17 및 도 18의 변형 실시예에 있어서, 셀은 기다란 스트라이프 형상이다. 각 셀의 개별 소스 영역(13)은 게이트 트랜치(20)의 측벽을 따라서 연장하는 자체 정렬된 부분(13a)과 게이트 트랜치(20)에 대해 횡방향으로 연장하는 횡단 부분(13b)을 포함하는 복합 구조이다. 자체 정렬된 부분(13a)의 측방향 너비는 덧층(18)의 횡방향 연장부(y4 또는 y4'), 및 따라서 스페이서(52)에 의해 규정된다. 횡단 부분(13b)의 측방향 너비는 게이트 트랜치(20)에 대해 횡방향으로 연장하는 (예를 들면 포토레지스트의) 스트라이프를 포함하는 부가적인 마스크(83)에 의해 규정되는데, 즉 좁은 트랜치(20)에 대한 정렬은 중요하지 않다. 에칭 규정에 의해서 보다는 주입에 의해 복합 소스 영역 구조체(13a, 13b)를 형성할 수 있다. 따라서, 도 7의 스테이지에서 자체 정렬된 부분(13a)을 형성한 후에, 다른 소스 주입은 예를 들면 도 10의 스테이지에서 기다란 스트라이프 형상의 셀을 가로질러 마스크(83')의 스트라이프 사이의 윈도우에서 실시될 수 있다.17 and 18 show another variation that provides an additional source region stripe that extends across the transistor cell, along with the etching regime of the source region 13. Thus, in the modified embodiment of FIGS. 17 and 18, the cell is of elongated stripe shape. The individual source region 13 of each cell comprises a self-aligned portion 13a extending along the sidewall of the gate trench 20 and a transverse portion 13b extending laterally relative to the gate trench 20. Structure. The lateral width of the self-aligned portion 13a is defined by the lateral extensions y4 or y4 'of the overlayer 18, and thus the spacer 52. The lateral width of the transverse portion 13b is defined by an additional mask 83 comprising stripes (eg of photoresist) extending laterally relative to the gate trench 20, ie the narrow trench 20. ) Is not important. The composite source region structures 13a and 13b can be formed by implantation rather than by etching rules. Thus, after forming the self-aligned portion 13a in the stage of FIG. 7, another source implantation may occur in the window between stripes of the mask 83 ′ across the elongated stripe shaped cell, for example, in the stage of FIG. 10. Can be implemented.

다른 변형 형태에 있어서, 소스 불순물(63)은 도 3의 스테이지에서 윈도우(51a)에 주입될 수 있으며, 따라서 스페이서(52)를 형성하기 전에 전체 윈도우(51a)에 초기 소스 영역(13')을 제공한다. 그 후, 층(52')이 침착되고, 스페이서(52)는 도 4에서 형성되며, 그 후 트랜치(20)가 도 5에서 좁은 윈도우(52a)에 에칭된다. 이러한 경우, 트랜치(20)는 초기 영역(13')을 관통해 본체 부분(14')에 에칭된다. 스페이서(52) 아래에 잔존하는 영역(13')의 부분은 트랜치(20)와 자체 정렬된 소스 영역(13)을 형성한다. 소스 영역(13)을 형성하기 위한 이러한 공정 순서는 도 7의 공정 순서보다 덜 바람직한데, 이는 높게 도핑되어 주입된 영역(13')이 일반적으로 본체 부분(14')보다 약간 빠르게 에칭되어 트랜치(20)의 상부를 확장시키기 때문이다.In another variant, the source impurity 63 may be implanted into the window 51a at the stage of FIG. 3, thus applying the initial source region 13 ′ to the entire window 51a before forming the spacer 52. to provide. Thereafter, a layer 52 'is deposited, a spacer 52 is formed in FIG. 4, and the trench 20 is then etched into the narrow window 52a in FIG. 5. In this case, the trench 20 is etched through the initial region 13 ′ to the body portion 14 ′. The portion of the region 13 ′ remaining under the spacer 52 forms a source region 13 self-aligned with the trench 20. This process sequence for forming the source region 13 is less desirable than the process sequence of FIG. 7, where the highly doped implanted region 13 ′ is typically etched slightly faster than the body portion 14 ′ so that the trench ( This is because the upper portion of 20) is expanded.

높게 도핑된 기판(14a)상의 에피택시얼 층에 의해 드레인-드리프트 영역(14)을 형성하는 대신에, 몇몇 장치의 높게 도핑된 영역(14a)은 드리프트 영역(14)을 제공하는 고 저항성 기판의 배면(10b)내로의 불순물 확산에 의해 형성될 수 있다. 전술된 장치는 MOSFET이며, 여기서 높게 도핑게 기판(14a) 또는 영역(14a)은 드레인 드리프트 영역(14)과 동일한 도전성 형태(본 실시예에서 n-형태)이다. 그러나, 높게 도핑된 기판(14a) 또는 영역(14a)은 반대의 도전성 형태(본 실시예에서 p-형태)가 되어 IGBT를 제공할 수 있다. 전극(34)은 IGTB의 경우 양극(anode) 전극으로 불리운다.Instead of forming the drain-drift region 14 by the epitaxial layer on the highly doped substrate 14a, the highly doped region 14a of some devices may be formed of a highly resistive substrate that provides the drift region 14. It may be formed by diffusion of impurities into the back surface 10b. The device described above is a MOSFET, where the highly doped substrate 14a or region 14a is of the same conductive form (n-type in this embodiment) as the drain drift region 14. However, the highly doped substrate 14a or region 14a may be of opposite conductivity type (p-type in this embodiment) to provide the IGBTs. The electrode 34 is called an anode electrode in the case of IGTB.

수직한 개별 장치가 도 1을 참조하여 도시되어 있으며, 본체(10)의 배면(10b)에서 기판 또는 다른 영역(14a)과 접촉하는 제 2 메인 전극(34)을 갖는다. 그러나, 본 발명에 따른 통합 장치가 가능하다. 이러한 경우, 영역(14a)은 장치 기판 및 낮게 도핑된 에피택시얼 드레인 영역(14) 사이의 도핑된 매립 층일 수 있다. 이 매립 층 영역(14a)은 표면(10a)으로부터 매립 층의 깊이까지 연장하는 도핑된 주변 접촉 영역을 경유하여 전방의 주 표면(10a)에서 전극(34)에 의해접촉될 수 있다.The vertical individual device is shown with reference to FIG. 1 and has a second main electrode 34 in contact with the substrate or other region 14a at the back 10b of the body 10. However, an integrated device according to the invention is possible. In this case, region 14a may be a doped buried layer between the device substrate and the lightly doped epitaxial drain region 14. This buried layer region 14a may be contacted by the electrode 34 at the front major surface 10a via a doped peripheral contact region extending from the surface 10a to the depth of the buried layer.

도전성 게이트(11)는 전술된 바와 같이 도핑된 다결정 실리콘으로 형성될 수 있다. 그러나, 특정 장치에서 다른 공지된 게이트 기술이 사용될 수 있다. 따라서, 예를 들면 금속 규화물과 같은 다른 재료가 게이트용으로 사용될 수 있다. 선택적으로, 전체 게이트(11)는 다결정 규화물 대신에 내열성 금속일 수 있다.The conductive gate 11 may be formed of doped polycrystalline silicon as described above. However, other known gate techniques may be used in certain devices. Thus, other materials can be used for the gate, for example metal silicides. Optionally, the entire gate 11 may be a heat resistant metal instead of polycrystalline silicide.

도 1 내지 도 18의 실시예에 있어서, 게이트 유전체 층(17)은 트랜치(20)의 바닥 뿐만 아니라 측벽에 위치한다. 그러나, 나머지 실시예에서는 트랜치(20)가 약간 더 깊을 수 있으며 그의 바닥에 두꺼운 절연 재료(17b)를 가질 수 있다. 트랜치(20)의 바닥에서 두꺼운 절연체(17b)는 장치의 게이트-드레인 용량을 감소시킨다. 이러한 실시예는 도 19 내지 도 21에 도시되어 있다.In the embodiment of FIGS. 1-18, the gate dielectric layer 17 is located on the sidewalls as well as the bottom of the trench 20. However, in the remaining embodiments trench 20 may be slightly deeper and may have a thick insulating material 17b at its bottom. Thick insulator 17b at the bottom of trench 20 reduces the gate-drain capacity of the device. Such an embodiment is shown in FIGS. 19-21.

이러한 경우, 약간 보다 깊은 트랜치(20)는 산화물 스페이서(52)에 의해 규정된 좁은 윈도우(52a)에서 에칭된다. 그 후, 절연 재료(17b')는 충분한 두께로 침착되어 트랜치(20)를 충전하고 트랜치(20) 상측 및 스페이서(52) 그리고 마스크(51) 위에서 연장한다. 재료(17b')는 예를 들면 실리콘 이산화물일 수 있다. 이 스테이지는 도 19에 도시되어 있다.In this case, the slightly deeper trench 20 is etched in the narrow window 52a defined by the oxide spacer 52. Thereafter, insulating material 17b ′ is deposited to a sufficient thickness to fill trench 20 and extend over trench 20 and over spacers 52 and mask 51. Material 17b 'may be, for example, silicon dioxide. This stage is shown in FIG.

그 후 재료(17b')는 트랜치(20)의 하부에만 존재하여 두꺼운 절연체(17b)를 형성할 때까지 에칭 백된다. 이러한 에칭 백은 산화물 스페이서(52)를 제거하여 보다 넓은 윈도우(51a)를 재노출시킨다. 그 후, 얇은 게이트-유전체 층(17)은 트랜치(20)의 노출된 측벽 및 표면(10a)에 제공되며, 산화물 층(50)은 스페이서(52)와 함께 제거된다. 결과적인 구조체는 도 20에 도시되어 있다.The material 17b 'is then etched back until it is only at the bottom of the trench 20 to form a thick insulator 17b. This etch back removes the oxide spacers 52 to re-expose the wider window 51a. A thin gate-dielectric layer 17 is then provided to the exposed sidewalls and surface 10a of the trench 20 and the oxide layer 50 is removed along with the spacer 52. The resulting structure is shown in FIG. 20.

그 후 게이트 재료(11')는 넓은 윈도우(51a) 및 그 내의 트랜치(20)를 충전시키도록 침착되며 마스크(51)상에서 연장한다. 그 후 도 21에 도시된 바와 같이, 게이트 재료(11')는 트랜치(20)내에 게이트(11)로서 잔존하도록 에칭 백된다. 이러한 경우, 도 20 및 도 21에 도시된 바와 같이, 스페이서(52)는, 게이트(11)가 트랜치(20)내에 제공되기 전에, 윈도우(52b)를 규정하기 위해 제거된다. 따라서, 도 21의 구조체는 도 14의 구조체와 비교될 수 있다. 도 21의 스테이지 후, 영역(13, 15)은 도 7 및 도 10과 같이 불순물 주입(61, 63)에 의해 형성되며, 그 후 예를 들면 도 11 및 도 12에 도시된 바와 같은 후속 공정이 실시된다.The gate material 11 'is then deposited to fill the wide window 51a and the trench 20 therein and extends over the mask 51. As shown in FIG. 21, the gate material 11 ′ is then etched back to remain as a gate 11 in the trench 20. In this case, as shown in FIGS. 20 and 21, the spacer 52 is removed to define the window 52b before the gate 11 is provided in the trench 20. Thus, the structure of FIG. 21 can be compared with the structure of FIG. 14. After the stage of FIG. 21, the regions 13, 15 are formed by impurity implants 61, 63, as in FIGS. 7 and 10, and then subsequent processes as shown, for example, in FIGS. 11 and 12 are performed. Is carried out.

열 산화물이 고품질 게이트 유전체 층으로 바람직하지만, 층(17)이 침착될 수 있다.Thermal oxide is preferred as the high quality gate dielectric layer, but layer 17 may be deposited.

전술된 특정 실시예는 n-채널 장치이고, 여기서 영역(13, 14)은 n-형태 도전성이며, 영역(15, 35)은 p-형태이고, 전자 반전 채널(electron inversion channel)(12)은 게이트(11)에 의해 영역(15)내에 유도된다. 반대의 도전성 형태의 불순물을 사용함으로써, p-채널 장치는 본 발명에 따른 방법에 의해 제조될 수 있다. 이러한 경우, 영역(13, 14)은 p-형태 도전성이고, 영역(15, 35)은 n-형태이며, 홀 반전 채널(hole inversion channel)(12)은 게이트(11)에 의해 영역(15)에 유도된다.The particular embodiment described above is an n-channel device, wherein regions 13 and 14 are n-type conductive, regions 15 and 35 are p-type, and electron inversion channel 12 is Guided into region 15 by gate 11. By using impurities of opposite conductivity type, p-channel devices can be produced by the method according to the invention. In this case, regions 13 and 14 are p-type conductive, regions 15 and 35 are n-type, and hole inversion channel 12 is gated by region 11. Induced to.

실리콘 이외의 반도체 재료, 예를 들면 실리콘 카바이드가 본 발명에 따른 장치용으로 사용될 수 있다.Semiconductor materials other than silicon, for example silicon carbide, can be used for the device according to the invention.

도면은 절연 게이트 구조체의 일반적이고 바람직한 상태를 도시하며, 도전성게이트(11)는 유전체 층(17)에 의해 채널 수용 영역(15)에 용량적으로 연결된다. 그러나 소위 쇼트키(Schottky) 게이트 기술은 몇몇 장치, 특히 축적 모드(accumulation-mode) 장치에 대해 선택적으로 사용될 수 있으며, 여기서 채널 수용 본체 영역(15)은 높게 도핑된 소스 및 드레인 영역(13, 14)과 동일한 도전성 형태이다. 이러한 경우, 게이트 유전체 층(17)은 존재하지 않으며, 도전성 게이트(11)는 영역(15)의 낮게 도핑된 채널 수용 분분을 갖는 쇼트키 배리어를 형성하는 금속이다. 쇼트키 게이트(11)는 쇼트키 배리어에 존재하는 공핍층(depletion layer)에 의해 채널 수용 영역(15)에 용량적으로 연결된다.The figure shows a general and preferred state of the insulated gate structure, wherein the conductive gate 11 is capacitively connected to the channel receiving region 15 by the dielectric layer 17. However, the so-called Schottky gate technique can optionally be used for some devices, especially accumulation-mode devices, where the channel receiving body region 15 is a highly doped source and drain region 13, 14. The same conductive form as). In this case, the gate dielectric layer 17 is not present and the conductive gate 11 is a metal forming a Schottky barrier having a lightly doped channel accept fraction of the region 15. The Schottky gate 11 is capacitively connected to the channel receiving region 15 by a depletion layer present in the Schottky barrier.

본 발명의 상세한 설명을 통해, 다른 변화 및 변형이 당업자에게 명백하다. 이러한 변화 및 변형은 본 기술 분야에 이미 공지되었으며 본 발명에 이미 상술된 특징부 대신에 또는 이 특징부에 추가하여 사용될 수 있는 동등부 및 다른 특징부를 포함할 수 있다.Through the detailed description of the present invention, other changes and modifications will be apparent to those skilled in the art. Such changes and modifications may include equivalents and other features that are already known in the art and may be used in place of or in addition to the features already described herein.

본 출원에서 특허청구범위가 특징부의 특정 조합에 대해 사고되었지만, 본 발명의 범위는 이 범위가 특허청구범위에 청구된 것과 동일한 발명에 관계되는지 여부에 관계없이 또는 본 발명과 동일한 기술적 문제점 모두 또는 일부를 해결하는지 여부에 관계없이 신규한 특징부 또는 명시적으로 또는 암시적으로 본 발명에 상술된 특징부의 신규한 조합 또는 이의 일반화를 포함함을 알아야 한다.While the claims are contemplated for certain combinations of features in the present application, the scope of the present invention is not all or part of the same technical problems as the present invention or whether the scope relates to the same invention as claimed in the claims. It is to be understood that it includes novel features or novel combinations of features or features described herein, either explicitly or implicitly, regardless of whether or not they solve the problem.

본 출원인은 새로운 특허청구범위가 본 출원 또는 그로부터 유추된 다른 출원의 수속동안 이들 특징부 및/또는 이들 특징부의 조합을 상술하고 있음을 주목하였다.The Applicant noted that the new claims detail these features and / or combinations of these features during the procedure of this application or other applications inferred therefrom.

따라서, 덧층(18)이 어떻게 제공되어 사용되는지에 관계없이, 절연된 트랜치-게이트 반도체 장치의 신규한 제조 방법(도 19 내지 도 21에 도시됨)이 제공되었으며, 이 방법은Thus, no matter how the overlay layer 18 is provided and used, a novel method of fabricating an insulated trench-gate semiconductor device (shown in FIGS. 19-21) has been provided, which

ⓐ 좁은 윈도우가 반도체 본체의 표면상의 제 1 마스크내의 보다 넓은 윈도우의 측벽에 측벽 연장부를 제공함으로써 규정되고,A narrow window is defined by providing sidewall extensions to the sidewalls of the wider window in the first mask on the surface of the semiconductor body,

ⓑ 트랜치는 좁은 윈도우의 본체내에 에칭되며,Ⓑ The trench is etched into the body of the narrow window,

ⓒ 상기 트랜치는 절연 재료에 의해 덮여있고, 그 후 게이트는 트랜치에 제공되며,The trench is covered by an insulating material, after which the gate is provided to the trench,

ⓓ 소스 영역은 측벽 연장부에 의해 트랜치-게이트와 자체 정렬되도록 제공되며,Source region is provided to self-align with the trench-gate by sidewall extensions,

상기 스테이지 ⓒ는 게이트 아래에 트랜치의 측벽에서 게이트-유전체를 위해 제공되는 것보다 두꺼운 제 1 절연 재료를 제공하기 위한 하기의 일련의 단계의 이용을 포함하며,Wherein stage ⓒ includes the use of the following series of steps to provide a first insulating material thicker than that provided for the gate-dielectric at the sidewalls of the trench below the gate,

이들 일련의 단계는 ① 트랜치를 충전시켜 상기 트랜치 위로, 측벽 연장부 위로, 및 제 1 마스크 위로 연장하기에 충분한 두께로 제 1 절연 재료를 침착시키는 단계와,These series of steps include: 1) filling a trench to deposit a first insulating material to a thickness sufficient to extend over the trench, over the sidewall extensions, and over the first mask;

② 트랜치의 바닥에서 제 1 절연 재료를 제거하기 위해 상기 제 1 절연 재료를 에칭 백하는 단계로서, 상기 에칭 백 공정은 측벽 연장부를 제거하여 제 1 마스크 부분내에 보다 넓은 윈도우를 재노출시키는, 상기 에칭 백 단계와,(2) etching back the first insulating material to remove the first insulating material from the bottom of the trench, wherein the etch back process removes sidewall extensions to re-expose a wider window within the first mask portion. With a hundred steps,

③ 상기 트랜치의 측벽에 보다 얇은 게이트 유전체 층을 제공하는 단계와,(3) providing a thinner gate dielectric layer on the sidewalls of the trench;

④ 상기 보다 넓은 윈도우 및 그내의 절연 트랜치를 충전하기 위해 게이트 재료를 침착시키는 단계와,(4) depositing gate material to fill the wider window and isolation trench therein;

⑤ 게이트 재료가 제 1 절연 재료 위에 및 게이트 유전체 층에 인접한 게이트로서 남도록 상기 게이트 재료를 에칭 백하는 단계를 포함한다.Etching the gate material such that the gate material remains over the first insulating material and as a gate adjacent the gate dielectric layer.

또한, 덧층(18)이 어떻게 제공되고 사용되는가에 관계없이, 기다란 스트라이프형 셀 및 복합 소스 영역 구조체를 갖는 신규한 트랜치-게이트 반도체 장치의 신규한 제조 방법(그 중 일 실시예가 도 17 및 도 18에 도시되어 있음)이 제공된다. 기다란 셀은 채널 수용 영역(15)을 통해 소스 영역(13)으로부터 하측의 드레인 영역(14)으로 연장하는 트랜치(20)내의 트랜치-게이트(11)에 의해 경계지어 진다. 복합 소스 영역 구조체는 게이트 트랜치(20)의 측벽을 따라 연장하는 자체 정렬된 부분(13a)과 게이트 트랜치(20)에 대해 횡방향으로 연장하는 횡단 부분(13b)을 포함한다.Further, a novel method of fabricating a novel trench-gate semiconductor device having an elongated stripe cell and complex source region structure, regardless of how the overlay layer 18 is provided and used, one embodiment of which is shown in FIGS. 17 and 18. Shown in FIG. The elongated cell is bounded by trench-gates 11 in trenches 20 that extend from source region 13 to underlying drain region 14 through channel receiving region 15. The composite source region structure includes a self-aligned portion 13a extending along the sidewall of the gate trench 20 and a transverse portion 13b extending laterally relative to the gate trench 20.

본 장치의 신규한 제조 방법에 있어서, 횡단 부분(13b)의 측방향 너비는 게이트 트랜치(20)에 대해 횡방향으로 연장하는 (예를 들면, 포토레지스트의) 스트라이프를 포함하는 마스크(83)에 의해 규정된다. 좁은 트랜치(20)에 대한 이들 스트라이프의 정렬은 중요하지 않다. 일반적으로, 마스크(83)는 도핑 마스크(예를 들면 주입 마스크) 또는 에칭 마스크일 수 있다In a novel manufacturing method of the device, the lateral width of the transverse portion 13b is applied to a mask 83 comprising stripes (eg, of photoresist) extending transverse to the gate trench 20. It is prescribed by. The alignment of these stripes to the narrow trench 20 is not critical. In general, mask 83 may be a doping mask (eg, an injection mask) or an etching mask.

소스 영역의 다른 부분(13a)은 게이트 트랜치(20)에 대해 자체 정렬된다. 이들의 측방향 너비는 스페이서(52)에 의해 결정될 수 있으며, 이 스페이서 자체는 좁혀진 에칭 마스크 윈도우(51a, 52a)에서 반도체 본체내로 트랜치의 에칭을 규정한다. 일 실시예는 트랜치-에칭 마스크(51, 52)내의 윈도우(51a 및/또는 51b)에서의 도핑에 의한 것이며, 그 중 일 실시예는 도 7에 도시되어 있다. 다른 실시예는 도 17 및 도 18에 도시된 바와 같이 덧층(18)을 사용하는 에칭에 의한 것이다. 다른 실시예는 스페이서(52) 자체로부터의 도핑에 의한 것이다. 그러나, 스페이서의 제공을 포함하지 않는 제조 방법에서도, 자체 정렬된 부분(13a)을 형성하는 각종 다른 방법이 가능하다. 따라서, 예를 들면 자체 정렬된 부분(13a)은 게이트 트랜치의 상부내의 도핑된 절연 플러그로부터의 횡방향 확산, 또는 트랜치의 상부의 측벽에서 경사진 주입에 의해 형성될 수 있다.The other portion 13a of the source region is self aligned with respect to the gate trench 20. Their lateral width can be determined by the spacers 52, which themselves define the etching of the trench into the semiconductor body in the narrowed etching mask windows 51a, 52a. One embodiment is by doping in windows 51a and / or 51b in trench-etch masks 51 and 52, one embodiment of which is shown in FIG. Another embodiment is by etching using the overlayer 18 as shown in FIGS. 17 and 18. Another embodiment is by doping from the spacer 52 itself. However, even in a manufacturing method that does not include providing a spacer, various other methods of forming the self-aligned portion 13a are possible. Thus, for example, the self-aligned portion 13a may be formed by transverse diffusion from the doped insulating plug in the top of the gate trench, or by inclined implant in the sidewall of the top of the trench.

Claims (18)

채널 수용 영역을 통해 소스 영역에서 드레인 영역으로 연장하는 트랜치내에 트랜치-게이트를 갖는 트랜치-게이트 반도체 장치의 제조 방법에 있어서,A method of manufacturing a trench-gate semiconductor device having a trench-gate in a trench extending through a channel receiving region from a source region to a drain region, the method comprising: ⓐ 좁은 윈도우는 반도체 본체의 표면상의 제 1 마스크내의 보다 넓은 윈도우의 측벽에 측벽 연장부를 제공함으로써 규정되며,A narrow window is defined by providing sidewall extensions to the sidewalls of the wider window in the first mask on the surface of the semiconductor body, ⓑ 트랜치는 상기 좁은 윈도우의 본체내에 에칭되고, 상기 게이트는 상기 트랜치내에 제공되며,A trench is etched in the body of the narrow window, the gate is provided in the trench, ⓒ 상기 소스 영역은 상기 트랜치의 측벽에 인접하도록 제공되며,Ⓒ the source region is provided adjacent to the sidewall of the trench, ⓓ 절연 덧층은 일련의 단계, 즉 ① 상기 본체의 표면에서 보다 넓은 윈도우에 의해 제 1 마스크의 적어도 일부를 없애기 위해 상기 측벽 연장부를 제거하는 단계, ② 절연 재료를, 상기 보다 넓은 윈도우를 충전시키기에 충분한 정도 및 상기 보다 넓은 윈도우 위에 그리고 상기 제 1 마스크 부분상에서 연장하기에 충분한 정도의 두께로 침착시키는 단계, ③ 상기 제 1 마스크 부분내의 보다 넓은 윈도우에서 상기 절연 덧층을 제거하기 위해 상기 절연 재료를 에칭 백(etching back)하는 단계, ④ 상기 소스 영역 및 상기 본체의 인접 표면 영역과 접촉하며 상기 트랜치-게이트 위의 절연 덧층에 걸쳐 연장하는 소스 전극을 제공하기 전에 상기 제 1 마스크 부분을 제거하는 단계를 사용함으로써 상기 트랜치-게이트상에 제공되는Ⓓ The insulation overlayer is a series of steps, i.e. removing the sidewall extension to obviate at least a portion of the first mask by a wider window at the surface of the body, and 2) insulating material to fill the wider window. Depositing a sufficient amount and a thickness sufficient to extend over the wider window and on the first mask portion, e. Etching the insulating material to remove the insulating overlay in the wider window in the first mask portion. Etching back, ④ removing the first mask portion prior to providing a source electrode in contact with the source region and adjacent surface regions of the body and extending over an insulating overlay layer over the trench-gate. Is provided on the trench-gate by using 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항에 있어서,The method of claim 1, 상기 일련의 스테이지 ⓑ와 ⓒ는 상기 스테이지 ⓒ에서 규정된 소스 영역이 스테이지 ⓑ에서 상기 트랜치내에 상기 게이트를 제공한 후에 상기 본체내에 제공되는 제 1 도전성 형태의 도핑 농도를 갖도록 되는The series of stages ⓑ and ⓒ are such that the source region defined in stage ⓒ has a doping concentration of a first conductivity type provided in the body after providing the gate in the trench at stage ⓑ. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 소스 영역은 측벽 연장부에 의해 트랜치-게이트와 자체 정렬되는 방식으로 스테이지 ⓒ에서 제공되는The source region is provided at stage © in a self-aligned manner with the trench-gate by sidewall extensions. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 3 항에 있어서,The method of claim 3, wherein 상기 소스 영역은 상기 절연 덧층용 절연 재료를 침착시키기 전에 ㉠ 상기 본체의 표면에서 상기 보다 넓은 윈도우에 의해 상기 제 1 마스크의 적어도 일부를 없애고, 상기 제 1 마스크 부분과 상기 트랜치-게이트 사이의 도핑 윈도우를 상기 보다 넓은 윈도우내에 형성하기 위해 상기 측벽 연장부를 제거하는 단계와, ㉡ 상기 트랜치-게이트에 인접한 소스 영역을 형성하기 위해 제 1 도전성 형태의 불순물을 상기 보다 넓은 윈도우를 경유하여 상기 본체내에 유입시켜 상기 제 1 마스크 부분의 약간 아래로 측방향으로 연장시키는 단계를 사용하여 상기 게이트 후에 제공되는The source region removes at least a portion of the first mask by the wider window at the surface of the body before depositing the insulating material for the insulating overlay layer, and a doping window between the first mask portion and the trench-gate. Removing the sidewall extension to form a wider window within said wider window; (b) introducing impurities of a first conductivity type into said body via said wider window to form a source region adjacent said trench-gate; Provided later after the gate using a laterally extending portion of the first mask portion laterally 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 4 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 4, 상기 트랜치는 스테이지 ⓑ에서 상기 드레인 영역의 일부를 제공하기 위해 상기 표면으로부터 하측 영역내로 연장하는 상기 제 1 도전성 형태의 도핑 농도를 갖는 실리콘 본체 부분을 통해 에칭되고, 게이트 유전체 층은 상기 트랜치의 벽에서 상기 실리콘 본체 부분의 열산화에 의해 형성되는The trench is etched through a silicon body portion having a doping concentration of the first conductivity type extending from the surface into the lower region to provide a portion of the drain region at stage ⓑ, and a gate dielectric layer is formed at the wall of the trench. Formed by thermal oxidation of the silicon body portion 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 5, 제 2 도전성 형태의 채널 수용 영역은 ㉠ 스테이지 ⓓ에서 상기 제 1 마스크 부분을 제거하여 상기 절연 덧층내에 도핑 윈도우를 형성하는 단계와, ㉡ 상기 도핑 윈도우에 상기 채널 수용 영역을 형성하기 위해 상기 도핑 윈도우를 경유하여 상기 본체내로 상기 제 2 도전성 형태의 불순물을 유입시켜 상기 트랜치에 대해 상기 절연 덧층의 측방향 아래로 연장시키는 단계를 사용하여 상기 트랜치-게이트에 인접하여 형성되는The channel receiving region of the second conductivity type may be formed by removing the first mask portion at stage ⓓ to form a doped window in the insulating overlay layer, and forming the doped window to form the channel receiving region in the doped window. Formed adjacent to the trench-gate using a step of introducing impurities of the second conductivity type into the body via extending laterally downward of the insulating overlay layer with respect to the trench 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 6 항에 있어서,The method of claim 6, 상기 채널 수용 영역은 스테이지 ⓓ 후에, 상기 절연 덧층내의 상기 도핑 윈도우에 주입되는 상기 불순물 이온이 상기 트랜치에 도달하도록 상기 절연 덧층의 측방향 아래로 산란되는 충분히 높은 에너지 및 충분히 높은 선량(dose)에서 제 2 도전성 형태의 불순물의 이온 주입에 의해 형성되는The channel receiving region is formed at a sufficiently high energy and sufficiently high dose that the impurity ions implanted into the doping window in the insulating overlay layer scatter laterally down the insulating overlay layer to reach the trench after stage ⓓ. 2 formed by ion implantation of impurities in a conductive form 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 7 항에 있어서,The method of claim 7, wherein 상기 불순물 이온은 200 keV를 초과하는 주입 에너지를 갖는 붕소인The impurity ion is boron having an implantation energy exceeding 200 keV. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 8 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 8, 상기 게이트는 상기 본체 표면의 레벨 아래의 트랜치의 일부에 제공되며, 그 후 침착되고 에칭 백되는 스테이지 ⓓ의 절연 재료는 상기 트랜치의 상부에 잔존하고 상기 트랜치로부터 상기 제 1 마스크 부분의 보다 넓은 윈도우내로 측방향으로 연장하는The gate is provided in a portion of the trench below the level of the body surface, and then the insulating material of stage ⓓ that is deposited and etched back remains on top of the trench and from the trench into the wider window of the first mask portion. Laterally extended 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 9 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 9, 스테이지 ⓐ의 상기 제 1 마스크는 하부 층 부분상에 상부 층 부분을 포함하는 복합물이고, 상기 상부 층 부분은 상기 하부 층 부분으로부터 에칭가능하도록 상기 하부 층 부분과 상이한 재료로 이루어지며, 상기 상부 층 부분은 스테이지 ⓓ에서 상기 절연 덧층을 제공하기 전에 상기 하부 층 부분으로부터 에칭되는The first mask of stage ⓐ is a composite comprising an upper layer portion on a lower layer portion, wherein the upper layer portion is made of a different material from the lower layer portion to be etchable from the lower layer portion, the upper layer portion Is etched from the lower layer portion prior to providing the insulating overlay in stage ⓓ 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 10 항에 있어서,The method of claim 10, 상기 상부 층 부분은 실리콘 이산화물로 이루어지고, 상기 하부 층 부분은 실리콘 질화물로 이루어지는The upper layer portion is made of silicon dioxide, and the lower layer portion is made of silicon nitride 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 11 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 11, 스테이지 ⓓ에서 상기 절연 덧층을 제공한 후, 상기 채널 수용 영역은 ㉠ 상기 절연 덧층에 접촉 윈도우를 형성하기 위해 상기 제 1 마스크 부분을 제거하는 단계와, ㉡ 상기 채널 수용 영역용의 보다 높게 도핑된 접촉 영역을 형성하도록 상기 접촉 윈도우를 경유하여 상기 본체내로 상기 제 2 도전성 형태의 불순물을 유입시키는 단계와, ㉢ 상기 접촉 윈도우에서 상기 소스 영역 및 상기 접촉 영역을 접촉시키고 상기 트랜치-게이트 위의 상기 절연 덧층 위로 연장하도록 상기 소스 전극을 침착시키는 단계를 사용하여 접촉되는After providing the insulating overlay layer at stage ⓓ, the channel receiving region is ㉠ removing the first mask portion to form a contact window in the insulating overlay layer, and 보다 a higher doped contact for the channel receiving region. Introducing an impurity of the second conductivity type into the body via the contact window to form a region; (b) contacting the source region and the contact region at the contact window and overlying the insulating overlay layer over the trench-gate; Contacting using depositing the source electrode to extend up 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 12 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 12, 스테이지 ⓓ에서 상기 제 1 마스크 부분을 제거한 후 상기 소스 전극을 제공하기 전에, 상기 절연 덧층의 절연 재료가 상기 절연 덧층에 의해 덮이지 않은 상기 소스 영역의 면적을 증가시키기에 충분한 거리로 등방성으로 에칭 백되는After removing the first mask portion at stage ⓓ and before providing the source electrode, the back material is etched isotropically with a distance sufficient to increase the area of the source region that is not covered by the insulating overlay layer. felled 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 13 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 13, 상기 게이트는 상기 보다 넓은 윈도우를 재노출시키기 위해 상기 측벽 연장부를 제거하기 전에 상기 트랜치내에 제공되는The gate is provided in the trench prior to removing the sidewall extension to re-expose the wider window. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 14 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 14, 상기 트랜치를 에칭한 후, 상기 측벽 연장부는 상기 보다 넓은 윈도우를 재노출시키기 위해 제거되고, 그 후 게이트 재료가 상기 보다 넓은 윈도우 및 그내의 상기 트랜치를 충전시키도록 침착되며 상기 트랜치내의 상기 게이트로서 잔존하도록 에칭 백되는After etching the trench, the sidewall extension is removed to re-expose the wider window, and then gate material is deposited to fill the wider window and the trench therein and remains as the gate in the trench. Etched back to 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 15 항에 있어서,The method of claim 15, 상기 측벽 연장부를 제거하기 전에, 절연 재료는 상기 트랜치를 충전시켜 상기 트랜치 위에, 상기 측벽 연장부 위에, 그리고 상기 제 1 마스크 상에서 연장하기에 충분한 두께로 침착되고, 그 후 상기 측벽 연장부는 상기 절연 재료가 상기트랜치의 하측부에서만 남아있을 때까지 상기 절연 재료를 에칭 백하는 에칭 단계에서 제거되며, 그 후 상기 게이트는 상기 하측부내의 상기 절연 재료 위의 트랜치의 일부에 제공되는Prior to removing the sidewall extension, an insulating material is deposited to a thickness sufficient to fill the trench and extend over the trench, over the sidewall extension, and on the first mask, after which the sidewall extension is formed of the insulating material. Is removed in the etching step of etching back the insulating material until only the bottom portion of the trench remains, and the gate is then provided to a portion of the trench over the insulating material in the lower portion. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 16 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 16, 상기 제 1 마스크는 실리콘 질화물을 포함하고, 상기 측벽 연장부는 실리콘 이산화물을 포함하며, 상기 절연 덧층은 실리콘 이산화물을 포함하는The first mask includes silicon nitride, the sidewall extension includes silicon dioxide, and the insulating overlayer comprises silicon dioxide. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device. 제 1 항 내지 제 16 항중 어느 한 항에 있어서,The method according to any one of claims 1 to 16, 상기 측벽 연장부는 얇은 절연 층상에 폴리실리콘 재료를 포함하고, 상기 측벽 연장부의 상기 폴리실리콘 재료는 상기 트랜치를 제공하는 상기 에칭 스테이지 ⓑ에서 제거되는The sidewall extension includes polysilicon material on a thin insulating layer, and the polysilicon material of the sidewall extension is removed at the etching stage ⓑ providing the trench. 트랜치-게이트 반도체 장치의 제조 방법.Method of manufacturing a trench-gate semiconductor device.
KR1020027017799A 2001-04-28 2002-04-25 Trench-gate semiconductor devices and their manufacture KR20040002411A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
GBGB0110459.5A GB0110459D0 (en) 2001-04-28 2001-04-28 Trench-gate semiconductor devices and their manufacture
GB0110459.5 2001-04-28
GBGB0129887.6A GB0129887D0 (en) 2001-04-28 2001-12-14 Trench-gate semiconductor devices and their manufacture
GB0129887.6 2001-12-14

Publications (1)

Publication Number Publication Date
KR20040002411A true KR20040002411A (en) 2004-01-07

Family

ID=9913667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027017799A KR20040002411A (en) 2001-04-28 2002-04-25 Trench-gate semiconductor devices and their manufacture

Country Status (3)

Country Link
KR (1) KR20040002411A (en)
AT (1) ATE537557T1 (en)
GB (2) GB0110459D0 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100594321B1 (en) * 2005-02-14 2006-06-30 삼성전자주식회사 Transistor enlarging contact surface on source/drain and manufacturing method therefor
KR100988776B1 (en) * 2007-12-27 2010-10-20 주식회사 동부하이텍 Method of manufacturing a recessed gate transistor
US8404543B2 (en) 2009-06-30 2013-03-26 Hynix Semiconductor Inc. Method for fabricating semiconductor device with buried gate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100594321B1 (en) * 2005-02-14 2006-06-30 삼성전자주식회사 Transistor enlarging contact surface on source/drain and manufacturing method therefor
KR100988776B1 (en) * 2007-12-27 2010-10-20 주식회사 동부하이텍 Method of manufacturing a recessed gate transistor
US7824985B2 (en) 2007-12-27 2010-11-02 Dongbu Hitek Co., Ltd. Method for manufacturing a recessed gate transistor
US8404543B2 (en) 2009-06-30 2013-03-26 Hynix Semiconductor Inc. Method for fabricating semiconductor device with buried gate

Also Published As

Publication number Publication date
GB0110459D0 (en) 2001-06-20
ATE537557T1 (en) 2011-12-15
GB0129887D0 (en) 2002-02-06

Similar Documents

Publication Publication Date Title
US6534367B2 (en) Trench-gate semiconductor devices and their manufacture
US6586800B2 (en) Trench-gate semiconductor devices
US6498071B2 (en) Manufacture of trench-gate semiconductor devices
US6368921B1 (en) Manufacture of trench-gate semiconductor devices
JP4188234B2 (en) Trench gate semiconductor device and its manufacture
US6660591B2 (en) Trench-gate semiconductor devices having a channel-accommodating region and their methods of manufacture
US5663079A (en) Method of making increased density MOS-gated semiconductor devices
JP2002520851A (en) Method for manufacturing power semiconductor device
JP2006511974A (en) Trench MIS device with implanted drain drift region and thick bottom oxide and process for manufacturing the same
KR100538603B1 (en) Manufacture of trench-gate semiconductor devices
EP1430537A1 (en) Method for producing a semiconductor device having an edge structure
KR100880872B1 (en) Method of forming a double diffused field effect transistor having reduced on-resistance and such a double diffused field effect transistor
KR100948663B1 (en) Method of forming device comprising a plurality of trench mosfet cells, and method of forming shallow and deep dopant implants
JP3965027B2 (en) Method for manufacturing trench gate type MIS device having thick polysilicon insulating layer at bottom of trench
CN113380870B (en) Semiconductor device manufacturing method
US20010023957A1 (en) Trench-gate semiconductor devices
US6228698B1 (en) Manufacture of field-effect semiconductor devices
KR20040002411A (en) Trench-gate semiconductor devices and their manufacture
KR20040002410A (en) Trench-gate semiconductor devices and their manufacture

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid