KR20030039452A - Loadlock chamber for semiconductor fabrication apparatus - Google Patents

Loadlock chamber for semiconductor fabrication apparatus Download PDF

Info

Publication number
KR20030039452A
KR20030039452A KR1020010070386A KR20010070386A KR20030039452A KR 20030039452 A KR20030039452 A KR 20030039452A KR 1020010070386 A KR1020010070386 A KR 1020010070386A KR 20010070386 A KR20010070386 A KR 20010070386A KR 20030039452 A KR20030039452 A KR 20030039452A
Authority
KR
South Korea
Prior art keywords
load lock
lock chamber
chamber
loadlock chamber
wafer
Prior art date
Application number
KR1020010070386A
Other languages
Korean (ko)
Inventor
손성국
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020010070386A priority Critical patent/KR20030039452A/en
Publication of KR20030039452A publication Critical patent/KR20030039452A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PURPOSE: A loadlock chamber of semiconductor device manufacturing equipment is provided to be capable of preventing the vortex of air due to the difference of pressure between the inside and outside of the loadlock chamber by adding an inlet pipe to the loadlock chamber. CONSTITUTION: A loadlock chamber(200) is used for temporarily conserving a wafer. The loadlock chamber(200) is provided with a pump(230) for vacuumizing the inside of the loadlock chamber and a part for changing the vacuum state of the loadlock chamber into atmospheric pressure. The part further includes a supply line(240) for supplying gas into the loadlock chamber and an inlet pipe(270) connected through room air for flowing the room air in the loadlock chamber. Preferably, switching valves(280) are installed on the supply line(240) and the inlet pipe(270), respectively.

Description

반도체 제조 장비의 로드락 챔버{LOADLOCK CHAMBER FOR SEMICONDUCTOR FABRICATION APPARATUS}LOADLOCK CHAMBER FOR SEMICONDUCTOR FABRICATION APPARATUS}

본 발명은 반도체 제조 장비에 관한 것으로, 좀 더 구체적으로는 공정 챔버에서 가공될 웨이퍼를 그리고 상기 공정 챔버에서 공정이 완료된 웨이퍼를 임시적으로 보관하기 위한 로드락 챔버에 관한 것이다.TECHNICAL FIELD The present invention relates to semiconductor manufacturing equipment, and more particularly, to a load lock chamber for temporarily storing a wafer to be processed in a process chamber and a wafer in which the process is completed in the process chamber.

일반적으로, 공정 챔버는 진공 상태에서 웨이퍼에 대한 가공을 수행한다. 그리고, 로드락 챔버는 상기 공정 챔버와 함께 연결되어 있는 부분으로 상기 공정 챔버의 진공도를 유지시켜 주면서, 공정 챔버에서 가공될 웨이퍼를 그리고 상기 공정 챔버에서 공정이 완료된 웨이퍼를 임시적으로 보관하기 위한 공간이다.In general, the process chamber performs processing on the wafer in a vacuum. In addition, the load lock chamber is a portion that is connected to the process chamber and is a space for temporarily storing the wafer to be processed in the process chamber and the wafer in which the process is completed in the process chamber while maintaining the vacuum degree of the process chamber. .

도 1은 종래의 반도체 제조 장비의 개략적인 도면이다. 도 1을 참조하면, 반도체 제조 장비는 공정 챔버(10)와 로드락 챔버(20)로 구성된다.1 is a schematic diagram of a conventional semiconductor manufacturing equipment. Referring to FIG. 1, the semiconductor manufacturing equipment includes a process chamber 10 and a load lock chamber 20.

상기 로드락 챔버(20)는 상기 웨이퍼에 대한 가공이 이루어지는 상기 공정 챔버(10)와 연통가능하게 설치된다. 상기 로드락 챔버(20)와 상기 공정 챔버(10)와의 경계에는 상기 공정 챔버(10)의 공정 환경을 유지하기 위한 내부 출입구(inner door)(40)가 구비되어 있다. 그리고, 상기 로드락 챔버(20)는 상기 로드락 챔버(20)의 공정 환경을 유지하기 위한 외부 출입구(outer door)(30)를 구비하고 있다.The load lock chamber 20 is installed in communication with the process chamber 10 where the processing is performed on the wafer. An inner door 40 for maintaining the process environment of the process chamber 10 is provided at the boundary between the load lock chamber 20 and the process chamber 10. The load lock chamber 20 has an outer door 30 for maintaining the process environment of the load lock chamber 20.

상기 로드락 챔버(20)는 외부로부터 가공을 위한 웨이퍼를 반입하고, 공정이 완료된 웨이퍼를 외부로 반출할 때 대기압 상태를 유지한다. 상기 로드락 챔버(20)의 대기압 상태는 질소(N2) 가스에 의해 이루어진다. 상기 질소 가스는 공급 라인(70)을 통하여 상기 로드락 챔버(20) 내로 공급된다. 상기 질소 가스가 공급되는 상기 공급 라인(70) 상에는 개폐 밸브(90)가 설치되어 있다.The load lock chamber 20 loads a wafer for processing from the outside and maintains the atmospheric pressure when the wafer, which has been processed, is taken out. Atmospheric pressure of the load lock chamber 20 is made by nitrogen (N2) gas. The nitrogen gas is supplied into the load lock chamber 20 through a supply line 70. The opening / closing valve 90 is provided on the supply line 70 to which the nitrogen gas is supplied.

그리고, 웨이퍼에 대한 가공이 이루어지는 공정 챔버(10)는 진공 상태를 유지한다. 따라서, 상기 로드락 챔버(20)에 대기 중인 웨이퍼를 상기 공정 챔버(10) 내로 이송하기 위해서 상기 로드락 챔버(20) 내부는 진공 상태를 유지하여야 한다.상기 로드락 챔버(20)가 진공 상태가 되었을 때 상기 내부 출입구(40)가 열리며, 상기 공정 챔버(10) 내로 웨이퍼가 반입된다. 상기 로드락 챔버(20) 내부의 진공 상태 유지는 펌프(50)에 의해 이루어진다.And the process chamber 10 in which the process with respect to a wafer is performed maintains a vacuum state. Therefore, the inside of the load lock chamber 20 must be maintained in a vacuum state in order to transfer wafers waiting in the load lock chamber 20 into the process chamber 10. The load lock chamber 20 is in a vacuum state. When the internal entrance 40 is opened, the wafer is brought into the process chamber (10). The vacuum in the load lock chamber 20 is maintained by the pump 50.

상기 로드락 챔버(20)는 압력 게이지(guage)(60)를 구비하고 있는데. 상기 압력 게이지(60)는 상기 로드락 챔버(20)의 압력이 대기압 상태인지를 감지한다. 상기 공정 챔버(10)에서 공정이 완료된 웨이퍼는 상기 로드락 챔버(20) 내의 척(80) 상에 놓여진다. 상기 압력 게이지(60)에 의해 상기 로드락 챔버(20) 내부가 대기압 상태로 감지될 경우, 컨트롤러(미 도시된)에 의해 상기 외부 출입구(30)가 열리면서 상기 척(80) 상에 놓여진 웨이퍼가 외부로 반출된다.The load lock chamber 20 has a pressure gauge (60). The pressure gauge 60 detects whether the pressure of the load lock chamber 20 is at atmospheric pressure. The wafer in which the process is completed in the process chamber 10 is placed on the chuck 80 in the load lock chamber 20. When the inside of the load lock chamber 20 is sensed at atmospheric pressure by the pressure gauge 60, the external entrance 30 is opened by a controller (not shown) and the wafer placed on the chuck 80 is placed. It is taken out.

그러나, 종래의 로드락 챔버(20)에 설치된 상기 압력 게이지(60)는 장시간 사용 또는 반도체 제조 장비의 진동으로 인하여 대기압 감지를 위한 기준 범위를 벗어나서 설정이 되어 진다. 이로 인해, 상기 압력 게이지(60)로 부터 신호를 받아 처리하는 컨트롤러(미 도시된)에서 오작동을 하게 된다. 즉, 상기 로드락 챔버(20) 내부가 대기압 상태로 되지 않았는데도 상기 압력 게이지(60)가 상기 로드락 챔버(20) 내부의 상태를 대기압 상태로 인식하여 컨트롤러에 의해 상기 외부 출입구(30)가 열리도록 한다. 상기 로드락 챔버(20) 내부가 대기압 상태가 되지 않은 상태에서 상기 외부 출입구(30)가 열리게 되면, 기압차에 의해 상대적으로 압력이 높은 외부에서 상기 로드락 챔버(20) 내로 공기가 급격하게 유입된다. 따라서, 상기 로드락 챔버 (20)내에서 심한 와류 현상이 발생되며, 상기 와류 현상으로 인하여 유입되는 공기와 상기 로드락 챔버 내에 존재하는 파티클등이 흩날리면서 상기로드락 챔버 내의 척(80) 상에 놓여진 웨이퍼 상에 떨어진다. 이로 인해, 가공이 완료된 웨이퍼가 오염되는 문제점이 발생하였다.However, the pressure gauge 60 installed in the conventional load lock chamber 20 is set outside the reference range for detecting atmospheric pressure due to long-term use or vibration of semiconductor manufacturing equipment. This causes a malfunction in the controller (not shown) that receives and processes the signal from the pressure gauge 60. That is, even though the inside of the load lock chamber 20 is not in the atmospheric pressure state, the pressure gauge 60 recognizes the state inside the load lock chamber 20 as the atmospheric pressure state so that the external doorway 30 is opened by the controller. To do that. When the outside entrance 30 is opened while the inside of the load lock chamber 20 is not at atmospheric pressure, air rapidly flows into the load lock chamber 20 from the outside having a relatively high pressure by a pressure difference. do. Therefore, severe vortex phenomena occur in the load lock chamber 20, and the air introduced and particles present in the load lock chamber are scattered due to the vortex phenomena on the chuck 80 in the load lock chamber. It falls on the placed wafer. As a result, a problem arises that the processed wafer is contaminated.

본 발명의 목적은 로드락 챔버 내에서 기압차에 의한 공기의 와류 발생을 없앨 수 있는 반도체 제조 장비의 로드락 챔버를 제공하는데 있다.An object of the present invention is to provide a load lock chamber of a semiconductor manufacturing equipment that can eliminate the generation of air vortex due to the pressure difference in the load lock chamber.

도 1은 종래의 반도체 제조 장비를 개략적으로 나타낸 도면이다.1 is a view schematically showing a conventional semiconductor manufacturing equipment.

도 2는 본 발명의 반도체 제조 장비를 개략적으로 나타낸 도면이다.2 is a schematic view showing a semiconductor manufacturing equipment of the present invention.

*도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

10,100 : 공정 챔버 20,200 : 로드락 챔버10,100: process chamber 20,200: load lock chamber

30,220 : 외부 출입구 40,210 : 내부 출입구30,220: outside doorway 40,210: inside doorway

50,230 : 펌프 60,250 : 압력 게이지50,230 pump 60,250 pressure gauge

70,240 : 공급 라인 80,260 : 척70,240: supply line 80,260: chuck

90,280 : 개폐 밸브 270 : 유입관90,280: on-off valve 270: inlet pipe

290 : 여과기290 filter

상술한 목적을 달성하기 위한 본 발명의 특징에 의하면, 공정 챔버에서 가공될 웨이퍼를 그리고 상기 공정 챔버에서 공정이 완료된 웨이퍼를 임시적으로 보관하기 위한 로드락 챔버는 상기 로드락 챔버 내부를 진공으로 만들어 주기 위한 펌프와 상기 로드락 챔버 내부를 진공 상태에서 대기압 상태로 만들어 주기 위한 수단을 구비한다. 상기 수단은 상기 로드락 챔버의 내부에 가스를 공급하는 공급 라인과, 대기와 연통되어 대기중의 공기가 상기 로드락 챔버 내로 유입되도록 하기 위한 유입관으로 구성된다. 그리고, 상기 가스는 질소(N2)이다.According to a feature of the present invention for achieving the above object, the load lock chamber for temporarily storing the wafer to be processed in the process chamber and the wafer completed in the process chamber to make the inside of the load lock chamber into a vacuum And a means for bringing the inside of the load lock chamber from vacuum to atmospheric pressure. The means consists of a supply line for supplying gas into the load lock chamber and an inlet pipe for communicating with the atmosphere so that atmospheric air flows into the load lock chamber. The gas is nitrogen (N 2).

이와 같은 본 발명에 의하면, 상기 수단인 상기 공급 라인과 상기 유입관 상에는 개폐 밸브들이 설치되며, 상기 유입관은 상기 로드락 챔버 내로 유입되는 공기에 포함되어 있는 불순물들을 제거하기 위한 여과기(filter)를 갖는다.According to the present invention, opening and closing valves are installed on the supply line and the inlet pipe, which are means, and the inlet pipe includes a filter for removing impurities contained in air introduced into the load lock chamber. Have

이와 같은 본 발명에 의하면, 상기 로드락 챔버는 상기 로드락 챔버 내의 압력 상태를 감지하기 위한 압력 게이지(guage)를 더 포함한다.According to the present invention, the load lock chamber further includes a pressure gauge (guage) for detecting a pressure state in the load lock chamber.

이하, 본 발명의 실시예를 첨부된 도면 도 2에 의거하여 상세히 설명한다Hereinafter, with reference to the accompanying drawings, an embodiment of the present invention will be described in detail.

도 2는 본 발명의 반도체 제조 장비를 개략적으로 나타낸 도면이다.2 is a schematic view showing a semiconductor manufacturing equipment of the present invention.

도 2를 참조하면, 반도체 제조 장비는 공정 챔버(100)와 로드락 챔버(200)로 구성된다.Referring to FIG. 2, the semiconductor manufacturing equipment includes a process chamber 100 and a load lock chamber 200.

상기 로드락 챔버(200)는 상기 웨이퍼에 대한 가공이 이루어지는 상기 공정 챔버(100)와 연통가능하게 설치된다. 상기 로드락 챔버(200)와 상기 공정 챔버(100)와의 경계에는 상기 공정 챔버(100)의 공정 환경을 유지하기 위한 내부 출입구(inner door)(210)가 구비되고, 상기 로드락 챔버(200)는 상기 로드락 챔버의 공정 환경을 유지하기 위한 외부 출입구(outer door)(220)를 구비한다.The load lock chamber 200 is installed in communication with the process chamber 100 where the processing is performed on the wafer. An inner door 210 for maintaining a process environment of the process chamber 100 is provided at a boundary between the load lock chamber 200 and the process chamber 100, and the load lock chamber 200 is provided. Has an outer door 220 for maintaining the process environment of the load lock chamber.

먼저, 가공을 위한 웨이퍼가 상기 외부 출입구(220)가 열리면서 상기 로드락 챔버(200) 내로 반입된다. 이때, 상기 로드락 챔버(200)는 기압차에 의한 외부 공기의 급격한 유입을 방지하기 위하여 대기압 상태를 유지한다. 상기 로드락 챔버(200) 내부의 압력을 대기압 상태로 유지하기 위하여 1차적으로 공급 라인(240)을 통해 가스인 질소(N2)가 공급된다. 그런 다음 유입관(270)을 통하여 2차적으로 대기중의 공기가 유입되면서 상기 로드락 챔버(200) 내부를 완전한 대기압 상태로 만든다. 상기 공급 라인(240)과 상기 유입관(270) 상에는 개폐 밸브(280)가 설치되고, 상기 유입관(270)은 상기 로드락 챔버(200) 내로 유입되는 공기에 포함되어 있는 불순물들을 제거하기 위한 여과기(filter)(290)를 구비한다. 또한, 상기 유입관(270)을 통한 공기의 유입은 기압차를 고려하여 서서히 이루어진다.First, a wafer for processing is loaded into the load lock chamber 200 while the outer door 220 is opened. At this time, the load lock chamber 200 maintains an atmospheric pressure state in order to prevent the sudden inflow of external air by the pressure difference. In order to maintain the pressure inside the load lock chamber 200 at atmospheric pressure, nitrogen (N 2), which is a gas, is first supplied through the supply line 240. Then, the air in the secondary air flows through the inlet pipe 270 to make the inside of the load lock chamber 200 to a complete atmospheric pressure state. An opening / closing valve 280 is installed on the supply line 240 and the inlet pipe 270, and the inlet pipe 270 is used to remove impurities contained in air introduced into the load lock chamber 200. And a filter 290. In addition, the inflow of air through the inlet pipe 270 is made slowly in consideration of the pressure difference.

상기 가공을 위하여 상기 로드락 챔버(200) 내에 대기중인 웨이퍼를 가공이 이루어지는 진공 상태의 상기 공정 챔버(100) 내로 이송하기 위해서 상기 로드락챔버(200) 내부를 진공 상태로 만들어야 한다. 상기 로드락 챔버(200)의 내부는 펌프(230)에 의해 진공으로 된다.The inside of the load lock chamber 200 should be made in a vacuum state in order to transfer the wafers waiting in the load lock chamber 200 into the process chamber 100 in a vacuum state in which the processing is performed. The interior of the load lock chamber 200 is vacuumed by the pump 230.

가공이 완료된 웨이퍼는 상기 공정 챔버(100)로부터 상기 로드락 챔버(200)의 척(260) 상에 놓인다. 이때, 상기 로드락 챔버(200) 내부는 진공 상태를 유지한다. 상기 로드락 챔버의 척(260) 상에 놓여진 웨이퍼는 외부로 반출이 이루어지는데, 이에 앞서 상기 로드락 챔버(200) 내부는 상기 공급 라인(240)을 통해 공급되어지는 가스에 의해 대기압 상태로 된다. 상기 로드락 챔버(200) 내부의 압력 상태는 압력 게이지(250)에 의해 감지된다. 상기 압력 게이지(250)가 상기 로드락 챔버(200) 내부의 압력을 대기압 상태로 감지하였을 시, 상기 외부 출입구(220)가 열리고, 웨이퍼가 외부로 반출된다. 그러나, 상기 압력 게이지(250)가 상기 로드락 챔버(200) 내부의 압력 상태를 잘못 감지하는 경우를 고려하여 상기 외부 출입구(220)가 열리기 전에 상기 유입관(270)을 통하여 외부 공기가 유입되도록 한다. 상기 유입관(270)을 통하여 유입되는 공기에 포함되어 있는 불순물들은 상기 여과기(290)을 거치면서 제거된다. 이렇게 함으로써, 상기 외부 출입구(220)를 열기 전에 상기 로드락 챔버(200) 내부의 압력 상태를 완전한 대기압 상태로 만들 수 있다.The processed wafer is placed on the chuck 260 of the load lock chamber 200 from the process chamber 100. At this time, the inside of the load lock chamber 200 maintains a vacuum state. The wafer placed on the chuck 260 of the load lock chamber is carried out to the outside, and the inside of the load lock chamber 200 is at atmospheric pressure by the gas supplied through the supply line 240. . The pressure state inside the load lock chamber 200 is sensed by the pressure gauge 250. When the pressure gauge 250 detects the pressure in the load lock chamber 200 at atmospheric pressure, the external entrance 220 is opened, and the wafer is carried out. However, in consideration of the case where the pressure gauge 250 incorrectly detects a pressure state inside the load lock chamber 200, external air may be introduced through the inlet pipe 270 before the external entrance 220 is opened. do. Impurities contained in the air introduced through the inlet pipe 270 are removed while passing through the filter 290. By doing so, the pressure state inside the load lock chamber 200 can be brought into a complete atmospheric pressure state before opening the external entrance 220.

상기 로드락 챔버 내부가 완전한 대기압 상태이므로 외부로 웨이퍼의 반출이 이루어지는 동안 상기 로드락 챔버 내부에서 기압차에 의한 공기의 와류 현상이 발생되지 않는다.Since the inside of the load lock chamber is completely at atmospheric pressure, the vortex of air caused by the pressure difference does not occur inside the load lock chamber while the wafer is carried out to the outside.

본 발명의 범위 및 사상을 벗어나지 않는 범위 내에서 상기 본 발명의 장치에 대한 다양한 변형 및 변화가 가능하다는 것은 이 분야에서 통상의 지식을 가진 자에게 있어서 자명하다.It will be apparent to those skilled in the art that various modifications and variations can be made to the apparatus of the present invention without departing from the scope and spirit of the invention.

이와 같은 본 발명에 의하면, 로드락 챔버가 상기 로드락 챔버 내부를 대기압 상태로 만들기 위한 가스를 공급하여 주는 공급 라인외에 대기중의 공기가 상기 로드락 챔버 내로 유입될 수 있는 유입관를 더 구비함으로써, 로드락 챔버 내의 압력 상태를 완전한 대기압 상태로 유지시킬 수 있다.According to the present invention, the load lock chamber further comprises an inlet pipe through which the atmospheric air can be introduced into the load lock chamber in addition to the supply line for supplying the gas for making the inside of the load lock chamber atmospheric pressure, The pressure in the load lock chamber can be maintained at full atmospheric pressure.

이로 인해, 상기 로드락 챔버 내에서 기압차에 의한 공기의 와류 현상을 방지할 수 있다. 또한, 상기 로드락 챔버 내의 압력 상태를 감지하는 압력 게이지의 불량으로 인하여 로드락 챔버 내에서 발생되는 기압차에 의한 공기의 와류 현상을 방지할 수 있다.For this reason, it is possible to prevent the vortex of air caused by the pressure difference in the load lock chamber. In addition, due to the failure of the pressure gauge for detecting the pressure state in the load lock chamber it is possible to prevent the vortex of the air caused by the pressure difference generated in the load lock chamber.

Claims (5)

공정 챔버에서 가공될 웨이퍼를 그리고 상기 공정 챔버에서 공정이 완료된 웨이퍼를 임시적으로 보관하기 위한 로드락 챔버에 있어서:A load lock chamber for temporarily storing a wafer to be processed in a process chamber and a wafer that has been processed in the process chamber: 상기 로드락 챔버 내부를 진공으로 만들어 주기 위한 펌프; 및A pump for vacuuming the inside of the load lock chamber; And 상기 로드락 챔버 내부를 진공 상태에서 대기압 상태로 만들어 주기 위한 수단을 구비하되;Means for bringing the interior of the load lock chamber from vacuum to atmospheric pressure; 상기 수단은 상기 로드락 챔버의 내부에 가스를 공급하는 공급 라인과, 대기와 연통되어 대기중의 공기가 상기 로드락 챔버 내로 유입되도록 하기 위한 유입관으로 이루어지는 것을 특징으로 하는 반도체 제조 장비의 로드락 챔버.The means comprises a supply line for supplying gas into the load lock chamber and an inlet pipe for communicating with the atmosphere to allow air in the air into the load lock chamber, characterized in that the load lock of the semiconductor manufacturing equipment chamber. 제 1항에 있어서,The method of claim 1, 상기 수단인 상기 공급 라인과 상기 유입관 상에 설치되는 개폐 밸브들을 더 포함하는 것을 특징으로 하는 반도체 제조 장비의 로드락 챔버.Load lock chamber of the semiconductor manufacturing equipment, characterized in that further comprising on and off valves provided on the supply line and the inlet pipe. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 유입관을 통해 상기 로드락 챔버 내로 유입되는 공기에 포함되어 있는 불순물들을 제거하기 위한 여과기(filter)를 더 포함하는 것을 특징으로 하는 반도체 제조 장비의 로드락 챔버.The load lock chamber of the semiconductor manufacturing equipment, characterized in that it further comprises a filter for removing impurities contained in the air introduced into the load lock chamber through the inlet pipe. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 로드락 챔버 내의 압력 상태를 감지하기 위한 압력 게이지(guage)를 더 포함하는 것을 특징으로 하는 반도체 제조 장비의 로드락 챔버.The load lock chamber of the semiconductor manufacturing equipment, characterized in that it further comprises a pressure gauge (guage) for detecting a pressure state in the load lock chamber. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 가스는 질소(N2)인 것을 특징으로 하는 반도체 제조 장비의 로드락 챔버.The gas is a load lock chamber of the semiconductor manufacturing equipment, characterized in that the nitrogen (N2).
KR1020010070386A 2001-11-13 2001-11-13 Loadlock chamber for semiconductor fabrication apparatus KR20030039452A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010070386A KR20030039452A (en) 2001-11-13 2001-11-13 Loadlock chamber for semiconductor fabrication apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010070386A KR20030039452A (en) 2001-11-13 2001-11-13 Loadlock chamber for semiconductor fabrication apparatus

Publications (1)

Publication Number Publication Date
KR20030039452A true KR20030039452A (en) 2003-05-22

Family

ID=29569151

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010070386A KR20030039452A (en) 2001-11-13 2001-11-13 Loadlock chamber for semiconductor fabrication apparatus

Country Status (1)

Country Link
KR (1) KR20030039452A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100868795B1 (en) * 2007-05-23 2008-11-17 세메스 주식회사 A load-lock chamber for manufacturing semiconductor device
KR101019533B1 (en) * 2008-07-25 2011-03-07 주식회사 에스에프에이 Load lock chamber of apparatus for processing substrate with plasma

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100868795B1 (en) * 2007-05-23 2008-11-17 세메스 주식회사 A load-lock chamber for manufacturing semiconductor device
KR101019533B1 (en) * 2008-07-25 2011-03-07 주식회사 에스에프에이 Load lock chamber of apparatus for processing substrate with plasma

Similar Documents

Publication Publication Date Title
JP3486821B2 (en) Processing apparatus and method of transporting object to be processed in processing apparatus
JP5155848B2 (en) N2 purge device for FOUP
KR20180134756A (en) Equipment front end module gas recirculation
KR100621804B1 (en) Diffuser and equipment for manufacturing semiconductor device used same
JP2011114319A (en) Gas-replacing device and gas replacement method
KR20030039452A (en) Loadlock chamber for semiconductor fabrication apparatus
KR102227364B1 (en) A Cleaning Apparatus for Removing a Hume on a Wafer and a Cleaning Method Using the Same
KR100801660B1 (en) System and method for controlling pressure balance of equipment
KR20070037880A (en) Vacuum exhausting apparatus
KR20070114439A (en) Diffuser for use in semiconductor fabricating equipment
KR100489638B1 (en) Dry etching equipment of semiconductor device manufacturing equipment
US7207340B2 (en) Method and system for removal of gas and plasma processing apparatus
KR20060006580A (en) Loadlock chamber of apparatus for fabricating semiconductor device
JP4490636B2 (en) Semiconductor manufacturing apparatus and semiconductor device manufacturing method
KR20060000728A (en) Loadlock chamber of semiconductor manufacturing equipment
KR100529650B1 (en) Ventilation system of load lock chamber and method for ventilating with the same
KR19980083863A (en) Plasma Etching Equipment for Semiconductor Device Manufacturing
KR20000014800A (en) Cleaning method using ventilation system of a load-lock chamber
KR0122311Y1 (en) Device for detecting the pressure of chamber
KR20060078747A (en) Loadlock chamber and a method of using it
KR20010107138A (en) Chemical vapor deposition apparatus
KR970003595Y1 (en) Plasma cvd apparatus having anti-reverse flow structure
KR100227830B1 (en) Vacuum system for semiconductor process chamber and gas-supplying method
KR20060128137A (en) Device for supplying venting gas in load lock chamber and it's method
KR20060134286A (en) Vacuum forming equipment for semiconductor manufacturing equipment

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination