KR20020092404A - Semiconductor device and method of manufacturing thereof - Google Patents

Semiconductor device and method of manufacturing thereof Download PDF

Info

Publication number
KR20020092404A
KR20020092404A KR1020027012834A KR20027012834A KR20020092404A KR 20020092404 A KR20020092404 A KR 20020092404A KR 1020027012834 A KR1020027012834 A KR 1020027012834A KR 20027012834 A KR20027012834 A KR 20027012834A KR 20020092404 A KR20020092404 A KR 20020092404A
Authority
KR
South Korea
Prior art keywords
insulating film
hole
region
film
conductive plug
Prior art date
Application number
KR1020027012834A
Other languages
Korean (ko)
Other versions
KR100667660B1 (en
Inventor
미타니준이치
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Publication of KR20020092404A publication Critical patent/KR20020092404A/en
Application granted granted Critical
Publication of KR100667660B1 publication Critical patent/KR100667660B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Abstract

반도체 장치의 제조 방법은 제 1 영역(2)에서는 배선(16)의 적어도 측면을 덮고 또한 제 2 영역(3)에서는 일단째의 도전성 플러그(15b)를 덮는 에칭 스톱 절연막(18)을 형성하고, 이어서 에칭 스톱 절연막(18) 및 배선(16) 위에 절연막(20, 28)을 형성하고, 에칭 스톱 절연막(18)이 노출할 때까지 절연막(20, 28)의 일부를 에칭해서 일단째의 도전성 플러그(15b) 위에 홀(28b)을 형성하고, 또한 그 홀(28b)을 통해서 에칭 스톱 절연막(18)을 선택적으로 에칭해서 일단째의 플러그(15b)의 윗면을 노출시킨 후에 그 홀(28b) 내에 둘째단의 도전성 플러그(31a)를 형성하는 공정을 포함한다.In the method for manufacturing a semiconductor device, an etching stop insulating film 18 is formed in the first region 2 to cover at least the side surface of the wiring 16 and in the second region 3 to cover the first conductive plug 15b. Subsequently, insulating films 20 and 28 are formed on the etch stop insulating film 18 and the wiring 16, and a part of the insulating films 20 and 28 are etched until the etch stop insulating film 18 is exposed, and the first conductive plug is etched. A hole 28b is formed over the 15b, and the etching stop insulating film 18 is selectively etched through the hole 28b to expose the top surface of the first plug 15b, and then into the hole 28b. A process of forming the conductive plug 31a of the second stage is included.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF}Semiconductor device and manufacturing method therefor {SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF}

최근, DRAM의 미세화가 진행된다고 하는 조류 속에서, 커패시터 영역도 좁아져서 커패시터 용량이 작아지게 되는 경향에 있다. 그래서, 커패시터 용량을 증가시키기 위해서, 커패시터의 높이를 올리는 것이 행해지고 있다.Recently, in the trend that DRAM miniaturization is progressing, there is a tendency that the capacitor area is narrowed and the capacitor capacity becomes small. Therefore, in order to increase the capacitor capacity, raising the height of the capacitor is performed.

그러나, 스택 셀과 같이, 실리콘 기판 상에 3차원적으로 커패시터를 형성할 경우에는, 주변 회로부에 형성되는 게이트 전극, 소스/드레인 영역에 상측의 배선을 접속시키도록 하면, 층간 절연막에 형성되는 콘택트홀의 높이가 현저히 상승해 버린다.However, when a capacitor is formed three-dimensionally on a silicon substrate like a stack cell, a contact formed in an interlayer insulating film is formed by connecting upper wiring to a gate electrode and a source / drain region formed in a peripheral circuit portion. The height of the hall rises significantly.

그리고, 콘택트홀이 높아질수록, 드라이 에칭에 의한 미세 가공이 곤란하게 된다든지, 콘택트홀 내에 형성되는 도전막의 커버리지가 불량으로 됨으로써 콘택트 저항이 상승해 버릴 우려가 있다.And as contact hole becomes high, it becomes difficult to make fine processing by dry etching, or the coverage of the conductive film formed in a contact hole becomes bad, and there exists a possibility that a contact resistance may rise.

그래서, 최근에는 상하의 도전 패턴의 콘택트를 1회로 형성하지 않고, 상부콘택트와 하부 콘택트로 되는 2단의 콘택트의 적층 구조를 채용하는 것으로 해결이 도모되고 있다.Therefore, in recent years, the solution has been aimed at adopting a laminated structure of two-stage contacts, which are formed as upper contacts and lower contacts, without forming one contact with upper and lower conductive patterns.

다음으로, 종래 DRAM에서의 콘택트 구조를 도 1의 (a), (b) 및 도 2에 기초하여 설명한다.Next, a contact structure in a conventional DRAM will be described based on FIGS. 1A, 1B, and 2.

도 1의 (a)는 메모리 셀부의 MOS 트랜지스터를 덮는 층간 절연막의 위에 비트선을 형성한 후의 상태를 나타내고 있다.FIG. 1A shows the state after the bit line is formed on the interlayer insulating film covering the MOS transistor of the memory cell portion.

도 1의 (a)에서, 실리콘 기판(101)의 메모리 셀부(102)와 주변 회로부(103) 중 소자 분리 절연막(104)에 둘러싸여진 활성영역에서는, 각각 복수의 게이트 전극(106, 107)이 게이트 산화막(105a, 105b)을 통해서 실리콘 기판(101)의 위에 형성되어 있다. 또한, 각 게이트 전극(106, 107)의 위에는, 실리콘 질화막으로 이루어지는 보호 절연막(108)이 형성되어 있다.In FIG. 1A, a plurality of gate electrodes 106 and 107 are respectively formed in the active region surrounded by the element isolation insulating film 104 among the memory cell portion 102 and the peripheral circuit portion 103 of the silicon substrate 101. It is formed on the silicon substrate 101 through the gate oxide films 105a and 105b. On the gate electrodes 106 and 107, a protective insulating film 108 made of a silicon nitride film is formed.

메모리 셀부(102)의 게이트 전극(106)의 양측의 실리콘 기판(101) 내에는 불순물 확산층(106a, 106b)이 형성되어 있다. 그리고, 불순물 확산층(106a, 106b), 게이트 전극(106) 등에 의해 MOSFET이 구성된다.Impurity diffusion layers 106a and 106b are formed in the silicon substrate 101 on both sides of the gate electrode 106 of the memory cell unit 102. Then, the MOSFET is constituted by the impurity diffusion layers 106a and 106b, the gate electrode 106 and the like.

또한, 메모리 셀부(102)에서는, 도 3의 평면도에 나타나 있는 것처럼, 소자 분리 절연막(104)에 둘러싸여진 1개의 활성 영역(110) 위에 복수의 게이트 전극(106)이 형성되고, 복수의 게이트 전극(106)의 사이에 불순물 확산층(106a, 106b)이 형성되는 구조로 되어 있다. 또한, 게이트 전극(106)은 워드 선의 일부를 구성하고 있다.In the memory cell unit 102, as shown in the plan view of FIG. 3, a plurality of gate electrodes 106 are formed on one active region 110 surrounded by the device isolation insulating film 104, and a plurality of gate electrodes is formed. The impurity diffusion layers 106a and 106b are formed between the 106th portions. In addition, the gate electrode 106 constitutes a part of the word line.

도 3은 1개의 메모리 셀부(102)에서의 비트선 콘택트 위치와, 스토리지 콘택트 위치를 나타낸다. 또한, 도 1의 (a)는 도 3의 Ⅰ-Ⅰ선으로부터 본 단면을 나타내고 있다.3 shows a bit line contact position and a storage contact position in one memory cell unit 102. 1 (a) has shown the cross section seen from the I-I line | wire of FIG.

한편, 주변 회로부(103)에서, 게이트 전극(107)의 측면에는 예를 들면 질화 실리콘으로 이루어지는 사이드 월(107s)이 형성되고, 또한 게이트 전극(107)의 양측의 실리콘 기판(101) 내에는, LDD 구조의 불순물 확산층(107a, 107b)이 형성되어 있다. 그들의 게이트 전극(107), 불순물 확산층(107a, 107b) 등에 의해서 MOSFET이 구성된다.On the other hand, in the peripheral circuit section 103, sidewalls 107s made of, for example, silicon nitride are formed on the side surface of the gate electrode 107, and in the silicon substrate 101 on both sides of the gate electrode 107, Impurity diffusion layers 107a and 107b having an LDD structure are formed. MOSFETs are formed by the gate electrode 107, impurity diffusion layers 107a, 107b, and the like.

또한, 메모리 셀부(102)의 게이트 전극(106) 측면에도, 예를 들면 질화 실리콘으로 이루어지는 사이드 월(106s)이 형성되어 있다.In addition, sidewalls 106s made of, for example, silicon nitride are formed on the side surfaces of the gate electrodes 106 of the memory cell unit 102.

이상과 같은 구성을 갖는 MOSFET 및 실리콘 기판(101)은 BPSG로 이루어지는 제 1 층간 절연막(109)으로 덮어져 있다. 또한, 메모리 셀부(102)에서는 제 1 층간 절연막(109) 중 게이트 전극(106)에 끼여진 위치에 하부 콘택트홀(109a, 109b)이 형성되어 있다.The MOSFET and silicon substrate 101 having the above configuration are covered with the first interlayer insulating film 109 made of BPSG. In the memory cell unit 102, lower contact holes 109a and 109b are formed at positions sandwiched by the gate electrode 106 of the first interlayer insulating layer 109.

그들의 하부 콘택트홀(109a, 109b)은 게이트 전극(106) 상호간에서 자기 정합적으로 위치 결정되는 셀프 얼라인 콘택트로 된다.Their lower contact holes 109a and 109b are self-aligned contacts which are self-alignedly positioned between the gate electrodes 106.

그들의 하부 콘택트홀(109a, 109b) 내에는 도핑된 실리콘으로 이루어지는 하부 플러그(110a, 110b)가 형성되어 있다.In the lower contact holes 109a and 109b, lower plugs 110a and 110b made of doped silicon are formed.

또, 하부 플러그(110a, 110b)와 제 1 층간 절연막(109) 위에는, BPSG로 이루어지는 제 2 층간 절연막(111)이 형성되어 있다.On the lower plugs 110a and 110b and the first interlayer insulating film 109, a second interlayer insulating film 111 made of BPSG is formed.

메모리 셀부(102)의 제 2 층간 절연막(111)에는 비트선 콘택트용의 하부 플러그(110a) 위에 상부 콘택트홀(111a)이 형성되어 있다. 또한 주변 회로부(103)의 제 1 및 제 2 층간 절연막(109, 111) 내에는, 불순물 확산층(107a, 107b)에 달하는 깊이의 하부 콘택트홀(111b, 111c)이 형성되어 있다.An upper contact hole 111a is formed on the lower plug 110a for bit line contact in the second interlayer insulating layer 111 of the memory cell unit 102. In the first and second interlayer insulating films 109 and 111 of the peripheral circuit portion 103, lower contact holes 111b and 111c having depths reaching the impurity diffusion layers 107a and 107b are formed.

메모리 셀부(102)의 비트선 용(用)의 상부 콘택트홀(111a) 내에는, 다층 구조의 금속막으로 이루어지는 상부 플러그(112a)가 형성되어 있다. 또한, 주변 회로부(103)의 하부 콘택트홀(111b, 111c) 중에는, 다층 구조의 금속막으로 이루어지는 하부 플러그(112b, 112c)가 형성되어 있다.In the upper contact hole 111a for the bit line of the memory cell unit 102, an upper plug 112a made of a metal film of a multi-layer structure is formed. In the lower contact holes 111b and 111c of the peripheral circuit portion 103, lower plugs 112b and 112c made of a metal film of a multilayer structure are formed.

또한, 메모리 셀부(102)에서, 상부 플러그(112a)에 접속되는 비트선(113)이 제 2 층간 절연막(111) 위에 형성되어 있다. 비트선(113)의 윗면은 질화 실리콘막(115)으로 덮어져 있고, 또한 그 측면에는 질화 실리콘으로 이루어지는 사이드 월(116)이 형성되어 있다.In the memory cell portion 102, a bit line 113 connected to the upper plug 112a is formed on the second interlayer insulating film 111. The upper surface of the bit line 113 is covered with the silicon nitride film 115, and a side wall 116 made of silicon nitride is formed on the side thereof.

그 후, 도 1의 (b)에 나타내는 것처럼, 메모리 셀부(102)에서 스토리지 콘택트용의 상부 플러그를 형성하는 공정으로 된다.Subsequently, as shown in FIG. 1B, the memory cell unit 102 forms a process for forming an upper plug for storage contact.

도 1의 (b)에서, 비트선(113)과 제 2 층간 절연막(111)의 위에, BPSG 등으로 이루어지는 제 3 층간 절연막(117)을 형성한다. 그리고, 메모리 셀부(102)의 제 3 층간 절연막(117)에는, 스토리지 콘택트용의 하부 플러그(110b)에 연결되는 상부 콘택트홀(117b)이 형성되고, 상부 콘택트홀(117b) 중에는 도핑된 실리콘으로 이루어지는 상부 플러그(118)가 형성되어 있다.In FIG. 1B, a third interlayer insulating film 117 made of BPSG or the like is formed on the bit line 113 and the second interlayer insulating film 111. In the third interlayer insulating layer 117 of the memory cell unit 102, an upper contact hole 117b connected to the lower plug 110b for storage contact is formed, and the upper contact hole 117b is formed of doped silicon. An upper plug 118 is formed.

또한, 도 1의 (b)의 III - III 선과 도3의 II - II 선으로부터 본 단면은 도 4에 나타낸 것처럼 된다.In addition, the cross section seen from the III-III line | wire of (b) of FIG. 1, and the II-II line | wire of FIG. 3 becomes as shown in FIG.

그 후, 도 2에 나타낸 것처럼, 메모리 셀부(102)에서의 제 3 층간 절연막(117) 위에는 커패시터(120)가 형성되고, 계속해서 커패시터(120)를 덮는 제 4 층간 절연막(121)이 제 3 층간 절연막(117) 위에 형성된다. 또한, 주변 회로부(103)에서의 제 3 및 제 4 층간 절연막(117, 121) 내에는, 하부 플러그(112b, 112c)에 접속되는 상부 플러그(122b, 122c)가 형성된다.After that, as shown in FIG. 2, a capacitor 120 is formed on the third interlayer insulating film 117 in the memory cell unit 102, and a fourth interlayer insulating film 121 covering the capacitor 120 is subsequently formed. It is formed on the interlayer insulating film 117. In the third and fourth interlayer insulating films 117 and 121 in the peripheral circuit section 103, upper plugs 122b and 122c connected to the lower plugs 112b and 112c are formed.

주변 회로부(103)에서, 하부 플러그(112b, 112c)와 상부 플러그(122b, 122c)는 각각 티타늄(Ti), 질화 티타늄(TiN), 텅스텐(W)으로 이루어지는 3층 구조의 금속막으로 구성된다. 티타늄은 금속막의 콘택트 저항을 내리기 위해서 형성된다. 또한, 질화 티타늄은 텅스텐과 티타늄의 반응에 의한 저항의 증대를 방지하기 위한 배리어 메탈로서 형성된다.In the peripheral circuit section 103, the lower plugs 112b and 112c and the upper plugs 122b and 122c are each composed of a metal film having a three-layer structure made of titanium (Ti), titanium nitride (TiN) and tungsten (W). . Titanium is formed to lower the contact resistance of the metal film. In addition, titanium nitride is formed as a barrier metal for preventing the increase in resistance caused by the reaction of tungsten and titanium.

커패시터는 다음과 같은 공정에 의해 형성된다.The capacitor is formed by the following process.

우선, 제 3 층간 절연막(117) 위에 질화 실리콘막(119)을 형성하고, 질화 실리콘막(119) 위에 BPSG막(도시하지 않음)을 두텁게 형성한 후에, 메모리 셀부(102)의 BPSG막과 질화 실리콘막(119)을 패터닝하고, 커패시터 형상의 개구를 메모리 셀부(102)의 상부 플러그(118)와 그 주변의 위에 형성한다. 그리고, BPSG막의 윗면과 개구의 내면을 따라 실리콘막을 형성한 후에, BPSG막 상의 실리콘막을 화학기계연마(CMP)법에 의해 제거한다. 그리고 불산에 의해 BPSG막을 제거하면, 제 3 층간 절연막(117) 위에는 실린더 형상의 실리콘막이 남고, 그 실리콘막은 커패시터(120)의 스토리지 전극(120a)으로서 사용된다. 또한, BPSG막을 제거할 때에 질화 실리콘막(119)은 에칭 스톱퍼로서 기능한다.First, a silicon nitride film 119 is formed on the third interlayer insulating film 117, and a thick BPSG film (not shown) is formed on the silicon nitride film 119, and then the BPSG film and nitride of the memory cell portion 102 are nitrided. The silicon film 119 is patterned, and a capacitor-shaped opening is formed over the upper plug 118 of the memory cell portion 102 and the periphery thereof. Then, after the silicon film is formed along the upper surface of the BPSG film and the inner surface of the opening, the silicon film on the BPSG film is removed by chemical mechanical polishing (CMP). When the BPSG film is removed by hydrofluoric acid, a cylindrical silicon film remains on the third interlayer insulating film 117, and the silicon film is used as the storage electrode 120a of the capacitor 120. In addition, when removing the BPSG film, the silicon nitride film 119 functions as an etching stopper.

스토리지 전극(120a)의 표면상에는 유전체막(120b)이 형성되고, 또 유전체막(120b) 위에는 셀 플레이트 전극(120c)이 형성된다.The dielectric film 120b is formed on the surface of the storage electrode 120a, and the cell plate electrode 120c is formed on the dielectric film 120b.

셀 플레이트 전극(120c), 유전체막(120b) 및 질화 실리콘막(119)은 패터닝되어 주변 회로부(103)로부터 제거된다.The cell plate electrode 120c, the dielectric film 120b, and the silicon nitride film 119 are patterned and removed from the peripheral circuit portion 103.

그리고, 커패시터(120)가 형성된 후에, 제 4 층간 절연막(121)이 형성된다.After the capacitor 120 is formed, the fourth interlayer insulating layer 121 is formed.

주변 회로부(103)에서, 제 3 및 제 4 층간 절연막(117, 121)이 패터닝되고, 하부 플러그(112b, 112c) 위에 상부 콘택트홀(121b, 121c)이 형성된다. 이것에 이어서, 상부 콘택트홀(121b, 121c) 내에, 하부 플러그(112b, 112c)와 같은 다층 구조의 금속막으로 이루어지는 상부 플러그(122b, 122c)를 형성한다.In the peripheral circuit portion 103, the third and fourth interlayer insulating films 117 and 121 are patterned, and upper contact holes 121b and 121c are formed on the lower plugs 112b and 112c. Subsequently, upper plugs 122b and 122c made of a metal film having a multilayer structure such as lower plugs 112b and 112c are formed in the upper contact holes 121b and 121c.

주변 회로부(103)의 제 4 층간 절연막(121) 위에 형성되는 상부 배선(123b, 123c)은 상부 플러그(122b, 122c)와 하부 플러그(112b, 112c)를 통해서 불순물 확산층(107a, 107b)에 접속된다.Upper wirings 123b and 123c formed on the fourth interlayer insulating film 121 of the peripheral circuit portion 103 are connected to the impurity diffusion layers 107a and 107b through the upper plugs 122b and 122c and the lower plugs 112b and 112c. do.

그런데, 상기한 것처럼 반도체 장치의 주변 회로부(103)에서는, 2단으로 적층한 상부 플러그(122b, 122c)와 하부 플러그(112b, 112c)를 통해서 상부 배선(123b, 123c)과 불순물 확산층(107a, 107b)을 전기적으로 접속하고 있지만, 상부 콘택트홀(121b, 121c)에 위치 편이(偏移)가 발생하고 있으면, 도 5에 나타내는 것처럼, 상부 플러그(122b, 122c)가 하부 플러그(112b, 112c)의 윗면보다도 아래로 떨어져 버릴 우려가 있다.However, as described above, in the peripheral circuit portion 103 of the semiconductor device, the upper wirings 123b and 123c and the impurity diffusion layers 107a, through the upper plugs 122b and 122c and the lower plugs 112b and 112c stacked in two stages. 107b is electrically connected, but if position shift occurs in the upper contact holes 121b and 121c, the upper plugs 122b and 122c are the lower plugs 112b and 112c, as shown in FIG. There is a risk of falling below the top of the.

이렇게 상부 콘택트홀(121b, 121c)이 하부 플러그(112b, 112c)의 윗면보다도 깊게 형성되는 이유는, 상부 콘택트홀(121b, 121c)을 형성할 경우에, 제 3 및 제 4층간 절연막(117, 121)의 막두께의 편차에 대하여 문제없이 개구하는 것을 보증하기 위해서, 오버 에칭을 걸기 때문이다.The reason why the upper contact holes 121b and 121c are formed deeper than the upper surfaces of the lower plugs 112b and 112c is that when the upper contact holes 121b and 121c are formed, the third and fourth interlayer insulating films 117, This is because over etching is performed to ensure that the film thickness of 121) is opened without problems.

도 5의 개소 A는 상부 콘택트홀(121c)의 일부가 하부 플러그(112c)로부터 튀어 나와서 게이트 전극(107)의 근방에 이른 상태를 나타내고 있다. 이러한 상태에서는, 하부 플러그(112c)와 게이트 전극(107) 사이의 내압이 저하될 우려가 있다. 또한, 게이트 전극(107)이 샐리사이드 구조를 가져서 그 위에 보호 절연막(108)이 존재하지 않을 경우에는, 하부 플러그(112c)와 게이트 전극(107)이 단락될 우려가 있다.A portion A in FIG. 5 shows a state where a part of the upper contact hole 121c protrudes from the lower plug 112c and reaches the vicinity of the gate electrode 107. In such a state, the breakdown voltage between the lower plug 112c and the gate electrode 107 may be lowered. In addition, when the gate electrode 107 has a salicide structure and the protective insulating film 108 does not exist thereon, the lower plug 112c and the gate electrode 107 may be shorted.

도 5의 개소 B는 상부 콘택트홀(121b)의 일부가 하부 플러그(112b)로부터 튀어 나와서 소자분리 절연막(104)에 달하여 있는 경우를 나타내고 있다. 소자분리 절연막(104)의 가장자리가 상부 콘택트홀(121b)의 형성시에 에칭되어서 불순물 확산층(107a)의 주변에서 실리콘 기판(101)이 노출될 우려가 있다. 그리고, 불순물 확산층(107a)과 그 주변의 실리콘 기판(101) 위에 상부 플러그(122b)가 접속되면, 접합 리크가 증대된다.A portion B in FIG. 5 shows a case where a part of the upper contact hole 121b protrudes from the lower plug 112b to reach the element isolation insulating film 104. The edge of the device isolation insulating film 104 is etched at the time of forming the upper contact hole 121b, so that the silicon substrate 101 may be exposed around the impurity diffusion layer 107a. When the upper plug 122b is connected to the impurity diffusion layer 107a and the surrounding silicon substrate 101, the junction leak is increased.

또한, 도 5의 개소 C는 상부 콘택트홀(121b)의 일부가 하부 플러그(112b)로부터 튀어나온 경우의 하부 플러그(112b)의 윗면과 그 주변부를 나타내고 있다. 하부 플러그(112b)의 옆쪽의 상부 콘택트홀(121b)은 애스펙트비가 높아져서 그 중에 형성되는 금속막의 커버리지가 나빠진다. 이 결과, 본래 얇게 형성되는 질화 티타늄이 국소적으로 더 얇게 될 우려가 있고, 그 개소에서 텅스텐과 티타늄이 반응해버려, 콘택트 저항을 증가시킬 우려가 있다.In addition, the part C of FIG. 5 has shown the upper surface of the lower plug 112b, and its peripheral part when a part of upper contact hole 121b protrudes from the lower plug 112b. The upper contact hole 121b on the side of the lower plug 112b has a high aspect ratio, and the coverage of the metal film formed therein becomes poor. As a result, there is a possibility that the titanium nitride originally formed thinner becomes locally thinner, and tungsten and titanium react at the place, thereby increasing the contact resistance.

이에 대하여 커패시터(120) 아래의 상부 콘택트홀(117b)은 도 2에 나타내는 것처럼 주변 회로부(103)의 콘택트홀(121b, 121c)에 대하여 제 4 층간 절연막(121)과 제 2 층간 절연막(111)의 막두께의 차이만큼 얕다. 일반적으로 제 4 층간 절연막(121)은 제 2 층간 절연막(111)보다도 상당히 두텁게 형성된다. 따라서, 커패시터(120) 아래의 상부 콘택트홀(117b)을 형성할 때의 막두께 차이를 보증하기 위한 오버 에칭량은 주변 회로부(103)의 상부 콘택트홀(121b, 121c)을 형성할 때의 오버 에칭량에 비해서 적어지기 때문에, 상부 콘택트홀(117b)이 그 위치 편이에 의해 하부 플러그(110b) 윗면으로부터 벗어났다고 해도 치명적인 문제로는 되기 힘들다.On the other hand, as shown in FIG. 2, the upper contact hole 117b under the capacitor 120 has the fourth interlayer insulating film 121 and the second interlayer insulating film 111 with respect to the contact holes 121b and 121c of the peripheral circuit portion 103. As shallow as the difference in film thickness. In general, the fourth interlayer insulating layer 121 is formed to be considerably thicker than the second interlayer insulating layer 111. Therefore, the over etching amount for ensuring the difference in film thickness when forming the upper contact hole 117b under the capacitor 120 is over when forming the upper contact holes 121b and 121c of the peripheral circuit portion 103. Since it is smaller than the etching amount, even if the upper contact hole 117b is displaced from the upper surface of the lower plug 110b due to its position shift, it is unlikely to be a fatal problem.

발명의 개시Disclosure of the Invention

본 발명의 목적은 플러그가 매입되는 상측의 홀을, 공정을 늘리지 않고, 원하는 깊이까지 형성할 수 있는 구조의 반도체 장치와 그 제조 방법을 제공하는 것에 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor device having a structure capable of forming a hole in an upper side where a plug is embedded, to a desired depth without increasing the process, and a manufacturing method thereof.

본 발명에 의하면, 제 1 영역에서는 제 1 절연막 상의 배선의 적어도 측면을 제 2 절연막으로 덮고, 또한 제 2 영역에서는 제 1 절연막 내에 형성된 일단째의 도전성 플러그 윗면과 그 주변을 제 2 절연막에 의해 덮고, 그 다음으로 제 2 절연막과 다른 재료로 되는 제 3 절연막을 제 2 절연막 위에 형성한 후에, 제 2 영역에서 제 2 절연막을 에칭 스토퍼로 사용해서 제 3 절연막의 일부를 선택적으로 에칭함으로써 일단째의 도전성 플러그 위에 홀을 형성하고, 또한 그 홀을 통해서 제 2 절연막을 선택적으로 에칭해서 일단째의 도전성 플러그의 윗면을 노출시킨 후에,그 홀 내에 둘째단의 도전성 플러그를 형성하도록 하고 있다.According to the present invention, in the first region, at least the side surface of the wiring on the first insulating film is covered with the second insulating film, and in the second region, the upper surface of the first conductive plug formed in the first insulating film and its periphery are covered with the second insulating film. Next, after forming a third insulating film made of a material different from the second insulating film on the second insulating film, the first insulating film is selectively etched by selectively etching a part of the third insulating film in the second region using the second insulating film as an etching stopper. A hole is formed on the conductive plug, and the second insulating film is selectively etched through the hole to expose the top surface of the first conductive plug, and then the second conductive plug is formed in the hole.

이것에 의해 제 3 절연막에 홀을 형성할 때에 오버 에칭을 해도, 그 하방의 제 1 절연막은 에칭되지 않는다. 따라서 둘째단의 도전성 플러그가 일단째의 도전성 플러그보다도 아래로 크게 떨어지는 일이 없어진다. 또한, 제 1 영역에서 배선의 측면에 형성되는 절연막을 제 2 영역에서 에칭 스톱막으로서 이용하고 있으므로, 반도체 기판에 형성된 트랜지스터의 특성에 악영향을 끼치지 않고, 또한 공정수의 증가도 최소한으로 억제할 수 있다.Thereby, even if overetching is carried out when forming a hole in a 3rd insulating film, the lower 1st insulating film is not etched. Therefore, the conductive plug of the second stage does not fall much lower than the conductive plug of the first stage. In addition, since the insulating film formed on the side of the wiring in the first region is used as the etching stop film in the second region, the increase in the number of steps can be minimized without adversely affecting the characteristics of the transistor formed in the semiconductor substrate. Can be.

또, 제 1 영역은 예를 들면 메모리 셀이 형성되는 영역이며, 제 2 영역은 예를 들면 주변회로가 형성되는 영역이다.The first region is, for example, a region where memory cells are formed, and the second region, for example, is a region where peripheral circuits are formed.

본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로, 보다 상세하게는 복수 단의 콘택트 플러그를 통해서 상측의 도전층과 하측의 도전층을 접속하는 구조를 갖는 반도체 장치 및 그 제조방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device having a structure in which an upper conductive layer and a lower conductive layer are connected through a plurality of stage contact plugs.

도 1의 (a), (b)는 종래의 반도체 장치의 제조 공정의 일례를 나타내는 단면도(1)이고;1A and 1B are cross-sectional views 1 illustrating an example of a manufacturing process of a conventional semiconductor device;

도 2는 종래의 반도체 장치의 제조 공정의 일례를 나타내는 단면도(2)이고;2 is a cross-sectional view 2 illustrating an example of a manufacturing process of a conventional semiconductor device;

도 3은 반도체 장치의 메모리 셀부의 콘택트홀의 배치를 나타내는 평면도이고;3 is a plan view showing the arrangement of contact holes in the memory cell portion of the semiconductor device;

도 4는 도 1의 (b)의 III-III선과 도 3의 II-II선으로부터 본 단면도이고;4 is a sectional view seen from line III-III of FIG. 1 (b) and line II-II of FIG. 3;

도 5는 종래의 반도체 장치의 제조 공정의 문제를 나타내는 단면도이고;5 is a cross-sectional view showing a problem of a manufacturing process of a conventional semiconductor device;

도 6의 (a) ∼ (c)는 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(1)이고;6 (a) to 6 (c) are cross-sectional views 1 illustrating a process for manufacturing a semiconductor device according to the first embodiment of the present invention;

도 7의 (a), (b)는 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(2)이고;7 (a) and 7 (b) are cross-sectional views (2) showing the manufacturing process of the semiconductor device according to the first embodiment of the present invention;

도 8의 (a), (b)는 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(3)이고;8A and 8B are cross-sectional views 3 illustrating a process for manufacturing a semiconductor device according to the first embodiment of the present invention;

도 9는 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(4)이고;9 is a cross-sectional view 4 showing the manufacturing process of the semiconductor device according to the first embodiment of the present invention;

도 10은 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(5)이고;10 is a cross-sectional view 5 illustrating the process of manufacturing the semiconductor device according to the first embodiment of the present invention;

도 11은 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(6)이고;11 is a sectional view 6 showing a process for manufacturing a semiconductor device according to the first embodiment of the present invention;

도 12는 본 발명의 제 1 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도(7)이고;12 is a cross-sectional view 7 showing a manufacturing step of the semiconductor device according to the first embodiment of the present invention;

도 13의 (a)는 도 8의 (b)에 나타낸 Ⅴ-Ⅴ선 단면도이고;FIG. 13A is a cross-sectional view taken along line V-V shown in FIG. 8B;

도 13의 (b)는 도 12에 나타낸 ⅤI-ⅤI선 단면도이고;FIG. 13B is a sectional view taken along the line VI-VI shown in FIG. 12;

도 14는 본 발명의 제 1 실시예의 반도체 장치의 메모리 셀부의 게이트 전극과 콘택트부와 비트선의 배치 관계를 나타내는 평면도이고;Fig. 14 is a plan view showing the arrangement relationship between the gate electrode, the contact portion, and the bit line of the memory cell portion of the semiconductor device of the first embodiment of the present invention;

도 15는 본 발명의 제 2 실시예에 관한 반도체 장치의 단면도이고;15 is a sectional view of a semiconductor device according to a second embodiment of the present invention;

도 16은 도 15에 나타낸 반도체 장치의 Ⅹ-Ⅹ선 단면도이고;16 is a sectional view taken along line VII-VII of the semiconductor device shown in FIG. 15;

도 17은 본 발명의 제 3 실시예에 관한 반도체 장치의 단면도이고;17 is a sectional view of a semiconductor device according to Embodiment 3 of the present invention;

도 18은 본 발명의 제 4 실시예에 관한 반도체 장치의 단면도이고;18 is a cross sectional view of a semiconductor device according to the fourth embodiment of the present invention;

도 19는 본 발명의 제 5 실시예에 관한 별도의 반도체 장치의 단면도이고;19 is a cross-sectional view of another semiconductor device in accordance with the fifth embodiment of the present invention;

도 20은 본 발명의 제 6 실시예에 관한 반도체 장치의 단면도이고; 그리고20 is a cross sectional view of a semiconductor device according to the sixth embodiment of the present invention; And

도 21의 (a)∼(c)는 본 발명의 제 7 실시예에 관한 반도체 장치의 제조 공정을 나타내는 단면도이다.21 (a) to 21 (c) are cross-sectional views illustrating the process of manufacturing the semiconductor device according to the seventh embodiment of the present invention.

이하에 본 발명의 실시예를 도면에 기초하여 설명한다.EMBODIMENT OF THE INVENTION Below, the Example of this invention is described based on drawing.

(제 1 실시예)(First embodiment)

도 6∼도 12는 본 발명의 제 1 실시예를 나타내는 반도체 장치의 제조 공정을 나타내는 단면도이다.6-12 is sectional drawing which shows the manufacturing process of the semiconductor device which shows 1st Example of this invention.

우선, 도 6의 (a)에 나타내는 구조가 될 때까지의 공정을 설명한다.First, the process until it becomes the structure shown to Fig.6 (a) is demonstrated.

n형의 실리콘 (반도체) 기판(1)에는, 적어도 메모리 셀부(2)와 주변 회로부(3)가 존재하고, 그들의 실리콘 기판(1)에는 섈로우 트렌치 아이솔레이션(STI)구조의 소자 분리 절연막(4)이 형성되어 있다. 또한, STI 대신에 LOCOS, 그 밖의 소자 분리 구조를 채용해도 좋다.In the n-type silicon (semiconductor) substrate 1, at least a memory cell portion 2 and a peripheral circuit portion 3 exist, and in the silicon substrate 1, a device isolation insulating film 4 having a hollow trench isolation (STI) structure ) Is formed. Instead of STI, a LOCOS or other device isolation structure may be employed.

그러한 소자 분리 절연막(4)을 형성한 후에, 메모리 셀부(2), 주변 회로부(3)에서의 소정의 활성 영역에 p형 불순물 이온을 주입해서 p웰(1a, 1b)을 작성한다. 도 6의 (a)의 주변 회로부(3)에서는, p웰(1b)을 형성한 구조를 나타내고 있지만, 그 p형 불순물을 주입하지 않는 n형 활성 영역(도시하지 않음)도 존재한다.After the device isolation insulating film 4 is formed, p-type impurity ions are implanted into predetermined active regions in the memory cell portion 2 and the peripheral circuit portion 3 to form the p wells 1a and 1b. Although the structure in which the p well 1b is formed in the peripheral circuit part 3 of FIG. 6A is shown, the n type active region (not shown) which does not inject the p type impurity also exists.

주변 회로부(3)에서는 CMOS가 형성된다. 즉 주변 회로부(3)의 p웰(1b)에는 후술하는 것 같은 공정에 따라 n채널형 MOSFET이 형성되고, 또한 n형 활성 영역(도시하지 않음)에는 p채널형 MOSFET(도시하지 않음)이 형성된다.In the peripheral circuit section 3, a CMOS is formed. In other words, an n-channel MOSFET is formed in the p well 1b of the peripheral circuit section 3 according to a process described later, and a p-channel MOSFET (not shown) is formed in the n-type active region (not shown). do.

계속해서, 메모리 셀부(2)와 주변 회로부(3)의 실리콘 기판(1)의 표면을 열산화함으로써, 각각 게이트 산화막(5a, 5b)을 형성한다.Subsequently, thermal oxidation of the surface of the silicon substrate 1 of the memory cell portion 2 and the peripheral circuit portion 3 forms the gate oxide films 5a and 5b, respectively.

또한, 게이트 산화막(5a, 5b) 위에 도전막, 예를 들면 도핑된 실리콘과 실리사이드의 2층 구조의 도전막을 형성한 후에, 도전막 위에 질화 실리콘으로 이루어지는 제 1 보호 절연막(8)을 예를 들면 150nm의 두께로 형성한다.Further, after forming a conductive film, for example, a two-layered conductive film of doped silicon and silicide on the gate oxide films 5a and 5b, the first protective insulating film 8 made of silicon nitride is formed on the conductive film, for example. It is formed to a thickness of 150nm.

그 후에, 레지스트를 이용하는 포토 리소그래피법에 의해, 제 1 보호 절연막(8)과 도전막을 게이트 전극 형상으로 패터닝한다.Thereafter, the first protective insulating film 8 and the conductive film are patterned into a gate electrode shape by a photolithography method using a resist.

이것에 의해 메모리 셀부(2)에서는 도전막으로 구성되는 게이트 전극(6)이 워드선을 겸해서 복수 형성되고, 또한 주변 회로부(3)에서는 도전막으로 구성되는 게이트 전극(7)이 복수 형성된다. 메모리 셀부(2)에서는 소자 분리 절연막(4)에 둘러싸여진 1개의 p웰(1a) 위에, 게이트 절연막(5a)을 통해서 복수의 게이트 전극(6)이 평행하게 배치된다.As a result, in the memory cell portion 2, a plurality of gate electrodes 6 constituted by a conductive film also serve as word lines, and in the peripheral circuit portion 3, a plurality of gate electrodes 7 constituted by a conductive film are formed. In the memory cell portion 2, a plurality of gate electrodes 6 are arranged in parallel on one p well 1a surrounded by the element isolation insulating film 4 through the gate insulating film 5a.

다음에 메모리 셀부(2)가 개구된 레지스트 마스크(도면에 나타내지 않음)를 사용하여, 실리콘 기판(1)의 메모리 셀부(2)에 선택적으로 n형 불순물을 이온주입해서 게이트 전극(6)의 양측에 n형의 불순물 확산층(6a, 6b)을 형성한다. 메모리 셀부(2)에서는, 게이트 전극(6), n형 불순물 확산층(6a, 6b) 등에 의해 MOSFET이 구성된다. 계속해서, 주변 회로부(3)가 개구된 레지스트 마스크(도시하지 않음)를 이용하여, 실리콘 기판(1)의 주변 회로부(3)에 선택적으로 불순물 이온을 주입해서 게이트 전극(7)의 양측에 불순물 확산층(7a, 7b)의 저농도부를 형성한다.Next, by using a resist mask (not shown) in which the memory cell portion 2 is opened, n-type impurities are selectively implanted into the memory cell portion 2 of the silicon substrate 1 so that both sides of the gate electrode 6 are formed. N-type impurity diffusion layers 6a and 6b are formed in the film. In the memory cell portion 2, a MOSFET is formed by the gate electrode 6, the n-type impurity diffusion layers 6a and 6b, and the like. Subsequently, by using a resist mask (not shown) in which the peripheral circuit portion 3 is opened, the impurity ions are selectively implanted into the peripheral circuit portion 3 of the silicon substrate 1 to impurity on both sides of the gate electrode 7. Low concentration portions of the diffusion layers 7a and 7b are formed.

레지스트 마스크를 제거한 후에, 예를 들면 열산화에 의해 800℃의 드라이 산화 분위기 중에서 불순물 확산층(6a, 6b, 7a, 7b)의 표면을 산화해서 산화막(도시하지 않음)을 수nm의 두께로 형성한다.After removing the resist mask, the surface of the impurity diffusion layers 6a, 6b, 7a, and 7b is oxidized in a dry oxidation atmosphere at 800 ° C., for example, by thermal oxidation to form an oxide film (not shown) to a thickness of several nm. .

계속해서, 시란과 암모니아를 이용하는 화학기상성장(CVD)법에 의해서, 게이트 전극(6, 7) 위 및 측면과 실리콘 기판(1) 위에 질화 실리콘 막을 20∼100nm의 두께로 형성한다. 그 후에, 그 질화 실리콘막을 이방성 에칭해서 각 게이트 전극(6, 7)의 측면 위에 사이드 월(6s, 7s)로서 남긴다.Subsequently, a silicon nitride film is formed to a thickness of 20 to 100 nm on the gate electrodes 6 and 7 and on the side surfaces and the silicon substrate 1 by chemical vapor deposition (CVD) using silane and ammonia. Thereafter, the silicon nitride film is anisotropically etched and left as sidewalls 6s and 7s on the side surfaces of the gate electrodes 6 and 7.

다음에 메모리 셀부(2)를 포토 레지스트(도시하지 않음)로 덮으면서 주변 회로부(3)의 게이트 전극(7)과 사이드 월(7s)을 마스크로 사용하여, 주변 회로부(3)의 실리콘 기판(1)에 불순물을 이온 주입한다. 이것에 의해 주변 회로부(3)에서는, 게이트 전극(7)의 양측의 불순물 확산층(7a, 7b)의 고농도부가 형성되어, 그들의 불순물 확산층(7a, 7b)은 LDD구조로 된다. 주변 회로부(3)에서는 불순물 확산층(7a, 7b), 게이트 전극(7) 등에 의해 MOSFET이 구성된다.Next, while covering the memory cell portion 2 with a photoresist (not shown), using the gate electrode 7 and the sidewall 7s of the peripheral circuit portion 3 as a mask, the silicon substrate of the peripheral circuit portion 3 ( Impurities are ion implanted into 1). As a result, in the peripheral circuit portion 3, high concentration portions of the impurity diffusion layers 7a and 7b on both sides of the gate electrode 7 are formed, and these impurity diffusion layers 7a and 7b have an LDD structure. In the peripheral circuit portion 3, the MOSFET is constituted by the impurity diffusion layers 7a and 7b, the gate electrode 7 and the like.

다음으로 게이트 전극(6, 7), 사이드 월(6s, 7s), 불순물 확산층(6a, 6b, 7a, 7b), 소자 분리 절연막(4) 위에, 제 1 층간 절연막(9)으로서, BPSG(boro-phospho silicate glass)막을 CVD법에 의해 예를 들면 1000nm의 두께로 형성한다. 그 제 1 층간 절연막(9)은 가열 리플로우되고, 또한 화학기계연마(CMP)법에 의해 연마되어서, 그 윗면이 평탄화된다. 연마는 제 1 층간 절연막(9)의 두께가 실리콘 기판(1)의 표면으로부터 약 500nm로 될 때까지 한다.Next, on the gate electrodes 6, 7, sidewalls 6s, 7s, impurity diffusion layers 6a, 6b, 7a, 7b, and element isolation insulating film 4, as the first interlayer insulating film 9, BPSG (boro -phospho silicate glass) film is formed to a thickness of, for example, 1000 nm by CVD. The first interlayer insulating film 9 is heated and reflowed and polished by a chemical mechanical polishing (CMP) method to planarize its upper surface. Polishing is performed until the thickness of the first interlayer insulating film 9 is about 500 nm from the surface of the silicon substrate 1.

여기에서, 가열 리플로우에 의한 MOSFET 특성의 열화를 피하는 것을 목적으로 하여, 제 1 층간 절연막(9)으로서 플라즈마 CVD법에 의해서 산화막(HDP 등)을 형성하고, 그 다음으로 CMP법에 의해 그 윗면을 연마하여 평탄화해도 좋다.Here, an oxide film (HDP or the like) is formed as the first interlayer insulating film 9 by the plasma CVD method for the purpose of avoiding deterioration of the MOSFET characteristics due to the heating reflow, and then the upper surface thereof by the CMP method. You may grind and planarize.

그 후에, 메모리 셀부(2)에서는 제 1 층간 절연막(9) 중 게이트 전극(6)으로 끼워져 있는 위치에 하부 콘택트홀(10a, 10b)이 포토 리소그래피법에 의해 형성된다. 그들의 하부 콘택트홀(10a, 10b)은 게이트 전극(6) 상호간에서 사이드 월(6s)에 의해 자기 정합적으로 위치 결정되는 셀프 얼라인 콘택트로 된다.Subsequently, in the memory cell portion 2, lower contact holes 10a and 10b are formed by the photolithography method at positions sandwiched by the gate electrode 6 in the first interlayer insulating film 9. Their lower contact holes 10a and 10b are self-aligned contacts which are self-alignedly positioned by side walls 6s between the gate electrodes 6.

이어서, 하부 콘택트홀(10a, 10b) 내와 제 1 층간 절연막(9) 위에, 인이 도핑된 비정질 실리콘막을 형성하고, 계속해서, 비정질 실리콘막을 CMP법에 의해 제 1 층간 절연막(9)의 윗면으로부터 제거한다. 이것에 의해 하부 콘택트홀(10a, 10b) 내에 남은 비정질 실리콘막은 하부 콘택트 플러그(11a, 11b)로서 사용된다.Subsequently, an amorphous silicon film doped with phosphorus is formed in the lower contact holes 10a and 10b and on the first interlayer insulating film 9, and then the amorphous silicon film is formed on the top surface of the first interlayer insulating film 9 by the CMP method. Remove from As a result, the amorphous silicon film remaining in the lower contact holes 10a and 10b is used as the lower contact plugs 11a and 11b.

또한, 소자 분리 절연막(4)에 둘러싸여진 1개의 활성 영역 위에 형성되는 3 개의 하부 콘택트 플러그(11a, 11b) 중, 중앙 하부 콘택트 플러그(11a)는 비트선 콘택트로 사용되고, 나머지의 하부 콘택트 플러그(11b)는 스토리지 콘택트로 사용된다.In addition, of the three lower contact plugs 11a and 11b formed on one active region surrounded by the element isolation insulating film 4, the center lower contact plug 11a is used as a bit line contact, and the remaining lower contact plugs ( 11b) is used as a storage contact.

다음으로, 도 6의 (b)에 나타나 있는 바와 같은 상태로 될 때까지의 공정을 설명한다.Next, the process until it will be in the state as shown to Fig.6 (b) is demonstrated.

우선, 하부 콘택트 플러그(11a, 11b)와 제 1 층간 절연막(9) 위에, BPSG, 플라즈마 산화막 등으로 되는 제 2 층간 절연막(12)을 200nm의 두께로 형성한다. 계속해서, 메모리 셀부(2)의 제 2 층간 절연막(12)을 포토 리소그래피법에 의해 패터닝함으로써, 비트선 콘택트용의 하부 콘택트 플러그(11a) 위에 상부 콘택트홀(13a)을 형성한다. 또한, 주변 회로부(3)의 제 1 및 제 2 층간 절연막(9, 12)을 포토 리소그래피법에 의해 패터닝해서 불순물 확산층(7a, 7b) 위에 하부 콘택트홀(13b, 13c)을 형성한다.First, on the lower contact plugs 11a and 11b and the first interlayer insulating film 9, a second interlayer insulating film 12 made of BPSG, plasma oxide film or the like is formed to a thickness of 200 nm. Subsequently, by patterning the second interlayer insulating film 12 of the memory cell portion 2 by the photolithography method, an upper contact hole 13a is formed on the lower contact plug 11a for bit line contact. In addition, the first and second interlayer insulating films 9 and 12 of the peripheral circuit portion 3 are patterned by photolithography to form lower contact holes 13b and 13c on the impurity diffusion layers 7a and 7b.

여기에서, 메모리 셀부(2)의 상부 콘택트홀(13a)과 주변 회로부(3)의 하부 콘택트홀(13b, 13c)을 동시에 형성해도 좋지만, 비트선 콘택트용의 상부 콘택트홀(13a)은 비교적 얕으므로, 그 아래의 하부 콘택트 플러그(11a)와의 위치 편이에 대하여 세심한 주의를 할 필요가 있다.Here, the upper contact hole 13a of the memory cell portion 2 and the lower contact holes 13b and 13c of the peripheral circuit portion 3 may be formed at the same time, but the upper contact hole 13a for the bit line contact is relatively shallow. Therefore, it is necessary to pay close attention to the position shift with the lower contact plug 11a below it.

다음으로 도 6의 (c)에 나타나 있는 바와 같은 구조로 될 때까지의 공정을 설명한다.Next, the process until it becomes a structure as shown in FIG.6 (c) is demonstrated.

우선, 상부 콘택트홀(13a) 내와 하부 콘택트홀(13b, 13c) 내와 제 2 층간 절연막(12) 위에, CVD법에 의해 막두께 50nm의 티타늄(Ti)막(14a), 막두께 50nm의 질화 티타늄(TiN)막(14b) 및 막두께 300nm의 텅스텐(W)막(14c)을 순차적으로 형성한다.First, a titanium (Ti) film 14a having a film thickness of 50 nm and a film thickness of 50 nm by the CVD method on the inside of the upper contact hole 13a, the lower contact holes 13b and 13c, and the second interlayer insulating film 12. A titanium nitride (TiN) film 14b and a tungsten (W) film 14c having a film thickness of 300 nm are sequentially formed.

그리고, Ti막(14a), TiN막(14b) 및 W막(14c)을 CMP법에 의해 연마해서 그들의 막을 제 2 층간 절연막(12)의 윗면으로부터 제거한다. 이것에 의해 메모리 셀부(2)에서 상부 콘택트홀(13a) 내에 남은 금속막(14a∼14c)을 비트선 콘택트용의 상부 콘택트 플러그(15a)로 되고, 또한 주변 회로부(3) 내의 하부 콘택트홀(13b, 13c) 내에 남은 금속막(14a∼14c)을 하부 콘택트 플러그(15b, 15c)로 한다.Then, the Ti film 14a, the TiN film 14b, and the W film 14c are polished by the CMP method to remove these films from the upper surface of the second interlayer insulating film 12. As a result, the metal films 14a to 14c remaining in the upper contact hole 13a in the memory cell unit 2 become the upper contact plug 15a for bit line contact, and the lower contact hole (in the peripheral circuit section 3). The metal films 14a to 14c remaining in the 13b and 13c are the lower contact plugs 15b and 15c.

여기에서, 티타늄막(14a)은 그 아래에 형성된 하부 콘택트 플러그(11a), 불순물 확산층(7a, 7b)과의 양호한 전기적 접촉을 얻기 위해서 설치되고, 또한 질화티타늄막(14b)은 텅스텐막(14c)과 티타늄막(14a)의 반응을 억제하기 위한 배리어층으로서 설치되어 있다.Here, the titanium film 14a is provided to obtain good electrical contact with the lower contact plug 11a and the impurity diffusion layers 7a and 7b formed thereunder, and the titanium nitride film 14b is a tungsten film 14c. ) And a titanium film 14a are provided as a barrier layer for suppressing the reaction.

다음으로 도 7의 (a)에 나타나 있는 바와 같은 구조로 될 때까지의 공정을 설명한다.Next, the process until it becomes a structure as shown to Fig.7 (a) is demonstrated.

우선, 콘택트 플러그(15a∼15c)와 제 2 층간 절연막(12)의 위에, 두께 50nm의 티타늄막(16a)과, 두께 50nm의 질화 티타늄막(16b)과, 두께 100nm의 텅스텐막(16c)을 각각 CVD법에 의해 형성하고, 또한 텅스텐막(16c) 위에 막두께 100nm의 질화 실리콘으로 되는 제 2 보호 절연막(17)을 CVD법에 의해 형성한다. 여기에서, 티타늄막(16a), 질화 티타늄막(16b) 및 텅스텐막(16c)을 CVD법에 의해 형성하고 있지만, 그 하지(下地)가 평탄하기 때문에, 스퍼터법을 이용해서 형성할 수도 있다.First, a titanium film 16a having a thickness of 50 nm, a titanium nitride film 16b having a thickness of 50 nm, and a tungsten film 16c having a thickness of 100 nm are placed on the contact plugs 15a to 15c and the second interlayer insulating film 12. The second protective insulating films 17 each formed by CVD and made of silicon nitride having a thickness of 100 nm on the tungsten film 16c are formed by the CVD method. Here, although the titanium film 16a, the titanium nitride film 16b, and the tungsten film 16c are formed by CVD method, since the base is flat, it can also be formed using a sputtering method.

계속해서, Ti막(16a), TiN막(16b), W막(16c) 및 제 2 보호 절연막(17)을 포토 리소그래피법으로 패터닝하고, 메모리 셀부(2)에서 비트선의 형상으로 한다. 이것에 의해 티타늄막(16a), 질화 티타늄막(16b) 및 텅스텐막(16c)으로 구성되는 비트선(16)은, 그 아래의 상부 콘택트 플러그(15a) 및 하부 콘택트 플러그(11a)를 통해서 불순물 확산층(6a)에 전기적으로 접속되게 된다.Subsequently, the Ti film 16a, the TiN film 16b, the W film 16c, and the second protective insulating film 17 are patterned by the photolithography method to form a bit line in the memory cell portion 2. As a result, the bit line 16 composed of the titanium film 16a, the titanium nitride film 16b, and the tungsten film 16c is impurity through the upper contact plug 15a and the lower contact plug 11a thereunder. It is electrically connected to the diffusion layer 6a.

제 2 보호 절연막(17)은 뒤에 형성되는 스토리지 콘택트용 콘택트 플러그와 비트선(16)의 단락을 방지하기 위해서 사용된다.The second protective insulating film 17 is used to prevent a short between the contact plug for storage contact and the bit line 16 formed later.

또한, 주변 회로부(3)에서, 티타늄막(16a), 질화 티타늄막(16b) 및 텅스텐막(16c)을 패터닝해서 배선으로서 남겨도 좋다.In the peripheral circuit section 3, the titanium film 16a, the titanium nitride film 16b, and the tungsten film 16c may be patterned and left as wiring.

다음으로 도 7의 (b)에 나타내는 상태가 될 때까지의 공정을 설명한다.Next, the process until it turns to the state shown in FIG.7 (b) is demonstrated.

우선, 막두께 20∼100nm의 질화 실리콘(에칭 스톱)막(18)을 감압(LP) CVD법에 의해 전면(全面)에 형성한다. 질화 실리콘막(18)의 성장 조건으로서, SiH2Cl2, SiH4중 어느 하나와 NH3와의 혼합 가스를 사용하고, 성장 온도를 600℃∼800℃, 바람직하게는 750 ℃ 로 설정하고, 그 성장 분위기의 압력을 0.1∼1.0 Torr로 한다.First, a silicon nitride (etch stop) film 18 having a film thickness of 20 to 100 nm is formed on the entire surface by a reduced pressure (LP) CVD method. As the growth conditions of the silicon nitride film 18, a mixed gas of any one of SiH 2 Cl 2 and SiH 4 and NH 3 was used, and the growth temperature was set to 600 ° C to 800 ° C, preferably 750 ° C. The pressure of the growth atmosphere is set to 0.1 to 1.0 Torr.

그 후에, 질화 실리콘막(18) 위에 포토 레지스트(19)를 도포하고, 이것을 노광, 현상해서 주변 회로부(3)에만 남긴다.Thereafter, the photoresist 19 is applied onto the silicon nitride film 18, and the photoresist 19 is exposed and developed to remain only in the peripheral circuit portion 3.

그리고, 메모리 셀부(2)에 존재하는 질화 실리콘막(18)을 대략 수직 방향으로 이방성 에칭하고, 도 8의 (a)에 나타나 있는 바와 같이 비트선(16)의 측면에 남기고, 이것을 사이드 월(18s)로서 남긴다. 그 에칭시에, 주변 회로부(3)의 질화 실리콘막(18)은, 포토 레지스트(19)에 의해 덮어져 있으므로, 하부 콘택트 플러그(15b, 15c) 및 제 2 층간 절연막(12)을 덮은 상태를 유지하고 있다.Then, the silicon nitride film 18 present in the memory cell portion 2 is anisotropically etched in a substantially vertical direction and left on the side of the bit line 16 as shown in FIG. 18s). At the time of etching, since the silicon nitride film 18 of the peripheral circuit portion 3 is covered by the photoresist 19, the state in which the lower contact plugs 15b and 15c and the second interlayer insulating film 12 are covered is shown. Keeping up.

질화 실리콘막(18)은 주변 회로부(3)의 전체에 남겨도 좋지만, 일반적으로 질화 실리콘막은 MOSFET 등의 디바이스 특성을 열화시키는 원인이 되므로, 뒤의 공정에서 형성되는 상부 콘택트홀이 내려오는 개소에, 위치 편이 마진(margin)을 기대한 크기에서 최소의 범위로 남기도록 해도 좋다. 예를 들면, 하부 콘택트 플러그(15b, 15c) 위와 그 주변에 질화 실리콘막(18)을 남겨도 좋다.The silicon nitride film 18 may be left in the entire peripheral circuit portion 3, but in general, since the silicon nitride film causes deterioration of device characteristics such as MOSFETs, the silicon nitride film 18 may be disposed at a location where the upper contact hole formed in a later step comes down. For example, the position side may leave a margin in the minimum range from the expected size. For example, the silicon nitride film 18 may be left over and around the lower contact plugs 15b and 15c.

주변 회로부(3)의 포토 레지스트(19)는 질화 실리콘막(18)의 패터닝이 끝난 후에 제거된다.The photoresist 19 of the peripheral circuit portion 3 is removed after the silicon nitride film 18 is patterned.

다음으로 도 8의 (b)에 나타내는 구조를 형성할 때까지의 공정을 설명한다.Next, the process until forming the structure shown to FIG. 8 (b) is demonstrated.

우선, 제 3 층간 절연막(20)으로서, 예를 들면 막두께 800nm의 실리콘 산화막을 플라즈마 CVD법에 의해 전면(全面)에 형성한다. 제 3 층간 절연막(20)은 질화 실리콘막(18)에 대하여 선택적으로 에칭 가능한 재료로 된다.First, as the third interlayer insulating film 20, a silicon oxide film having a film thickness of 800 nm, for example, is formed on the entire surface by the plasma CVD method. The third interlayer insulating film 20 is made of a material which can be selectively etched with respect to the silicon nitride film 18.

계속해서, 제 3 층간 절연막(20)을 CMP법에 의해 연마해서 그 표면을 평탄하게 한다. 제 3 층간 절연막(20)의 연마는 비트선(16)을 보호하는 제 2 보호 절연막(17)의 윗면으로부터 150nm 정도의 두께로 남을 때까지 이루어진다.Subsequently, the third interlayer insulating film 20 is polished by the CMP method to smooth the surface thereof. Polishing of the third interlayer insulating film 20 is performed until the thickness remains about 150 nm from the top surface of the second protective insulating film 17 that protects the bit line 16.

그 후에, 메모리 셀부(2)에서, 제 2 및 제 3 층간 절연막(12, 20)을 포토 리소그래피법에 의해 패터닝함으로써, 상부 콘택트홀(20b)을 스토리지 콘택트용의 하부 콘택트 플러그(11b) 위에 형성한다.Thereafter, in the memory cell portion 2, the second and third interlayer insulating films 12 and 20 are patterned by the photolithography method, thereby forming the upper contact hole 20b on the lower contact plug 11b for storage contact. do.

이 경우, 제 2 및 제 3 층간 절연막(12, 20)을 구성하는 BPSG, 실리콘 산화막에 대하여 질화 실리콘막의 에칭 레이트(rate)가 느린 조건으로 한다. 이것에 의해, 상부 콘택트홀(20b)은 비트선(16)을 덮고 있는 제 2 보호 절연막(17)과 사이드 월(18s)에는 형성되지 않고, 비트선(16)에 접속되는 것은 없다. 따라서, 도 13의 (a)에 나타나 있는 바와 같이 스토리지 콘택트용의 상부 콘택트홀(20b)은 셀프 얼라인으로 형성되게 된다. 또한, 도 13의 (a)는 도 8의 (b)의 V-Ⅴ선의 단면도이다.In this case, the etching rate of the silicon nitride film is set to be slow with respect to the BPSG and silicon oxide films constituting the second and third interlayer insulating films 12 and 20. As a result, the upper contact hole 20b is not formed in the second protective insulating film 17 and the side wall 18s covering the bit line 16 and is not connected to the bit line 16. Accordingly, as shown in FIG. 13A, the upper contact hole 20b for the storage contact is self-aligned. 13A is a cross sectional view taken along the line VV of FIG. 8B.

그 후에, 인이 도핑된 비정질 실리콘막을 제 3 층간 절연막(20) 위와 상부 콘택트홀(20b) 내에 형성한다. 이 비정질 실리콘막은 CVD법에 의해, 제 3 층간 절연막(20) 위에서 300nm로 되는 막두께로 성장된다. 계속해서, 제 3 층간절연막(20) 위의 비정질 실리콘막을 CMP법에 의해 제거한다. 그리고, 스토리지 콘택트용의 상부 콘택트홀(20b) 내에 남은 비정질 실리콘을 스토리지 콘택트용의 상부 콘택트 플러그(21)로서 남긴다.Thereafter, an amorphous silicon film doped with phosphorus is formed over the third interlayer insulating film 20 and in the upper contact hole 20b. This amorphous silicon film is grown to a film thickness of 300 nm on the third interlayer insulating film 20 by the CVD method. Subsequently, the amorphous silicon film on the third interlayer insulating film 20 is removed by the CMP method. The amorphous silicon remaining in the upper contact hole 20b for the storage contact is left as the upper contact plug 21 for the storage contact.

상부 콘택트 플러그(21)는 하부 콘택트 플러그(11b)를 통해서, MOSFET의 한쪽의 불순물 확산층(6b)에 전기적으로 접속된다.The upper contact plug 21 is electrically connected to one impurity diffusion layer 6b of the MOSFET through the lower contact plug 11b.

다음으로 도 9에 나타내는 구조를 형성할 때까지의 공정에 대하여 설명한다.Next, the process until forming the structure shown in FIG. 9 is demonstrated.

우선, 제 3 절연막(20)과 상부 콘택트 플러그(21) 위에, 막두께 50nm의 질화 실리콘막(22)을 감압 CVD법에 의해 예를 들면 성장 온도 750℃에서 형성한다. 막두께 50nm의 질화 실리콘막(22)은 뒤의 공정에서 사용되는 불산을 투과시키지 않는 막종(膜種), 막두께의 하나로서 형성되었다.First, a silicon nitride film 22 having a film thickness of 50 nm is formed on the third insulating film 20 and the upper contact plug 21 at a growth temperature of 750 ° C. by, for example, a reduced pressure CVD method. The silicon nitride film 22 having a film thickness of 50 nm was formed as one of a film species and a film thickness that do not transmit hydrofluoric acid used in the subsequent steps.

또한, 질화 실리콘막(22) 위에, 막두께 1000nm의 BPSG막(23)을 CVD법에 의해 형성한다.On the silicon nitride film 22, a BPSG film 23 having a film thickness of 1000 nm is formed by the CVD method.

그 후, 포토 리소그래피법을 이용하는 패터닝에 의해, BPSG막(23) 및 질화 실리콘막(22) 내에 스토리지(축적) 전극 형상의 개구(23a, 23b)를 형성한다.Thereafter, the openings 23a and 23b in the shape of storage (accumulation) electrodes are formed in the BPSG film 23 and the silicon nitride film 22 by patterning using a photolithography method.

그리고, 인이 도핑된 비정질 실리콘막을 BPSG막(23)의 윗면과 개구(23a, 23b)의 내주면(內周面)의 위를 따라 CVD법에 의해 형성한다. 이 경우, BPSG막(23) 상에서의 비정질 실리콘막의 막두께를 50nm로 한다.An amorphous silicon film doped with phosphorus is formed by CVD along the upper surface of the BPSG film 23 and the inner circumferential surfaces of the openings 23a and 23b. In this case, the film thickness of the amorphous silicon film on the BPSG film 23 is set to 50 nm.

계속해서, BPSG막(23) 상의 비정질 실리콘막을 CMP법에 의해서 선택적으로 제거하고, 개구(23a, 23b) 내에만 남은 비정질 실리콘막을 스토리지 전극(24)으로서 남긴다. 이 스토리지 전극(24)은 실린더 형상을 갖고, 그 아래의 상부 콘택트플러그(21)에 접속된다.Subsequently, the amorphous silicon film on the BPSG film 23 is selectively removed by the CMP method, and the amorphous silicon film remaining only in the openings 23a and 23b is left as the storage electrode 24. The storage electrode 24 has a cylindrical shape and is connected to the upper contact plug 21 below it.

또한, 비정질 실리콘막을 연마할 때에 사용하는 슬러리가 개구(23a, 23b) 내에 들어가는 것을 방지하기 위해서, 비정질 실리콘막을 형성한 후에, 포토 레지스트(R)를 개구(23a, 23b) 내에 매입하고 나서 CMP법에 의한 연마를 해도 좋다. 이 경우, 포토 레지스트(R)는 비정질 실리콘막을 연마한 후에, 통상의 레지스트 박리 처리에 의해 제거된다.In addition, in order to prevent the slurry used when polishing the amorphous silicon film from entering the openings 23a and 23b, after forming the amorphous silicon film, the CMP method after embedding the photoresist R into the openings 23a and 23b. You may grind by. In this case, the photoresist R is removed by a normal resist stripping process after polishing the amorphous silicon film.

다음으로 도 10에 나타내는 구조를 형성할 때까지의 공정을 설명한다.Next, the process until forming the structure shown in FIG. 10 is demonstrated.

우선, 불산에 의해 BPSG막(23)을 선택적으로 제거한다. 이 때, BPSG막(23) 아래의 질화 실리콘막(22)은 장시간의 불산 처리로부터 제 3 층간 절연막(20)을 지키는 역할을 하는 성질과 막두께를 갖고 있다. 이 BPSG막(23)의 제거에 의해 스토리지 전극(24)의 외주면(外周面)이 노출된다.First, the BPSG film 23 is selectively removed by hydrofluoric acid. At this time, the silicon nitride film 22 under the BPSG film 23 has a property and a film thickness that serve to protect the third interlayer insulating film 20 from prolonged hydrofluoric acid treatment. By removing this BPSG film 23, the outer circumferential surface of the storage electrode 24 is exposed.

계속해서, 스토리지 전극(24) 표면과 질화 실리콘막(22) 윗면의 위에, CVD법에 의해 막두께 5nm의 질화 실리콘막을 형성한 후에, 그 질화 실리콘막의 표면을 산화하고, 이것을 커패시터 유전체막(25)으로서 이용한다.Subsequently, a silicon nitride film having a thickness of 5 nm is formed on the surface of the storage electrode 24 and the upper surface of the silicon nitride film 22 by the CVD method, and then the surface of the silicon nitride film is oxidized, and the capacitor dielectric film 25 It is used as).

그 후에, CVD법에 의해, 인이 도핑된 막두께 50nm의 비정질 실리콘막을 커패시터 유전체막(25) 위에 형성한다. 계속해서, 리소그래피 공정에 의해 그 비정질 실리콘막을 패터닝해서 스토리지 전극(24) 위에 남기고, 이것을 셀 플레이트 전극(26)으로서 사용한다. 이 경우, 질화 실리콘막(22)도 동일한 형상으로 패터닝하고, 셀 플레이트 전극(26), 유전체막(25) 및 질화 실리콘막(22)을 주변 회로부(3)로부터 제거한다.Thereafter, an amorphous silicon film having a thickness of 50 nm phosphorus-doped is formed on the capacitor dielectric film 25 by CVD. Subsequently, the amorphous silicon film is patterned and left on the storage electrode 24 by the lithography process, and this is used as the cell plate electrode 26. In this case, the silicon nitride film 22 is also patterned in the same shape, and the cell plate electrode 26, the dielectric film 25, and the silicon nitride film 22 are removed from the peripheral circuit portion 3.

DRAM 셀의 커패시터(27a, 27b)는 스토리지 전극(24)과 커패시터 절연막(25)과 셀 플레이트 전극(26)에 의해 구성된다.The capacitors 27a and 27b of the DRAM cell are constituted by the storage electrode 24, the capacitor insulating film 25, and the cell plate electrode 26.

다음으로 도 11에 나타내는 구조로 될 때까지의 공정을 설명한다.Next, the process until it becomes the structure shown in FIG. 11 is demonstrated.

우선, 커패시터(27a, 27b)를 덮는 제 4 층간 절연막(28)을 제 3 층간 절연막(20) 위에 형성한다. 그 제 4 층간 절연막(28)으로서, 플라즈마 CVD법에 의해 형성된 막두께 2000nm의 실리콘 산화막을 사용한다.First, a fourth interlayer insulating film 28 covering the capacitors 27a and 27b is formed on the third interlayer insulating film 20. As the fourth interlayer insulating film 28, a silicon oxide film having a film thickness of 2000 nm formed by plasma CVD is used.

계속해서, 제 4 층간 절연막(28)의 표면을 CMP법에 의해 연마해서 평탄하게 한다. 연마는 제 4 층간 절연막(28)이 실리콘 기판으로부터 2.0∼2.5㎛정도의 두께로 될 때까지 이루어진다.Subsequently, the surface of the fourth interlayer insulating film 28 is polished and flattened by the CMP method. Polishing is performed until the fourth interlayer insulating film 28 has a thickness of about 2.0 to 2.5 mu m from the silicon substrate.

또한, 제 4 층간 절연막(28) 위에 포토 레지스트(29)를 도포하고, 이것을 노광, 현상해서 주변 회로부(3)의 하부 콘택트 플러그(15b, 15c)의 위쪽에 창(29b, 29c)을 형성한다. 그 후, 창(29b, 29c)을 통해서 제 4 층간 절연막(28)과 그 아래의 제 3 층간 절연막(20)을 이방성 에칭해서 상부 콘택트홀(28b, 28c)을 형성한다.In addition, a photoresist 29 is coated on the fourth interlayer insulating film 28, and the photoresist 29 is exposed and developed to form windows 29b and 29c above the lower contact plugs 15b and 15c of the peripheral circuit portion 3. . Thereafter, the fourth interlayer insulating film 28 and the third interlayer insulating film 20 thereunder are anisotropically etched through the windows 29b and 29c to form the upper contact holes 28b and 28c.

이 경우, 에칭 가스로서는 C4F8계를 사용한다. 이것에 의해, 제 3 및 제 4 층간 절연막(20, 28)을 에칭할 때에 그 아래의 질화 실리콘막(18)의 에칭 속도가 늦어진다.In this case, a C 4 F 8 system is used as the etching gas. This slows down the etching rate of the silicon nitride film 18 below when the third and fourth interlayer insulating films 20 and 28 are etched.

따라서, 질화 실리콘막(18)은 에칭 스토퍼막으로서 기능하므로, 상부 콘택트홀(28b, 28c)이 제 2 층간 절연막(12)에 형성되지 않는다.Therefore, since the silicon nitride film 18 functions as an etching stopper film, the upper contact holes 28b and 28c are not formed in the second interlayer insulating film 12.

또한, 도 11에서는 도 5와의 비교를 위해서, 콘택트홀(28b, 28c)은 하부 콘택트 플러그(15b, 15c)로부터 튀어나온 위치에 형성되어 있지만, 보통은 하부 콘택트 플러그(15b, 15c)의 윗면과 일치하는 위치를 목표로 해서 형성된다.In FIG. 11, for the purpose of comparison with FIG. 5, the contact holes 28b and 28c are formed at positions protruding from the lower contact plugs 15b and 15c. It is formed aiming at a matching position.

그 후에, 콘택트홀(28b, 28c)을 통해서, 질화 실리콘막(18)을 에칭해서 콘택트 플러그(15b, 15c)를 노출시킨다. 이 경우, 제 2 층간 절연막(12)이 거의 에칭 되지 않는 조건, 즉 에칭 가스로서 CHF3계를 사용한다. 이것에 의해, 콘택트홀(28b, 28c)의 종단부는 콘택트 플러그(15b, 15c)의 윗면 근방에 존재하게 된다.Thereafter, the silicon nitride film 18 is etched through the contact holes 28b and 28c to expose the contact plugs 15b and 15c. In this case, the CHF 3 system is used as the etching gas under the condition that the second interlayer insulating film 12 is hardly etched. As a result, the end portions of the contact holes 28b and 28c are present in the vicinity of the upper surfaces of the contact plugs 15b and 15c.

그 후에, 포토 레지스트(29)를 박리한다. 여기에서, 포토 레지스트(29)의 박리는 제 3 및 제 4 층간 절연막(20, 28)의 에칭 후에 해도 좋다.Thereafter, the photoresist 29 is peeled off. The photoresist 29 may be peeled off after the etching of the third and fourth interlayer insulating films 20 and 28.

또한, 상부 콘택트홀(28b, 28c)이 하부 콘택트 플러그(15b, 15c)로부터 튀어 나와서 형성된 경우에는, 하부 콘택트 플러그(15b, 15c)의 윗면의 일부는 질화 실리콘막(18)에 의해 덮어지게 된다.In addition, when the upper contact holes 28b and 28c are formed by protruding from the lower contact plugs 15b and 15c, a part of the upper surface of the lower contact plugs 15b and 15c is covered by the silicon nitride film 18. .

다음으로, 도 12에 나타나는 구조를 형성할 때까지의 공정을 설명한다.Next, the process until forming the structure shown in FIG. 12 is demonstrated.

우선, 상부 콘택트홀(28b, 28c) 안과 제 4 층간 절연막(28) 위에, 막두께 20nm의 Ti막(30a), 막두께 20nm의 TiN막(30b), 및 막두께 300nm의 W막(30c)을 CVD법에 의해 순차적으로 형성한다. 계속해서, 제 4 층간 절연막(28) 위의 Ti막(30a), TiN막(30b) 및 W막(30c)을 CMP법에 의해 선택적으로 제거한다. 그리고, 콘택트홀(28a, 28b) 내에 남은 Ti막(30a), TiN막(30b) 및 W막(30c)을 상부 콘택트 플러그(31a, 31b)로서 사용한다.First, a Ti film 30a having a film thickness of 20 nm, a TiN film 30b having a film thickness of 20 nm, and a W film 30c having a film thickness of 300 nm are formed on the upper contact holes 28b and 28c and on the fourth interlayer insulating film 28. Are sequentially formed by the CVD method. Subsequently, the Ti film 30a, the TiN film 30b, and the W film 30c on the fourth interlayer insulating film 28 are selectively removed by the CMP method. The Ti film 30a, the TiN film 30b, and the W film 30c remaining in the contact holes 28a and 28b are used as the upper contact plugs 31a and 31b.

그 후에, 주변 회로부(3)에서는, 제 4 층간 절연막(28) 위에 배선(32a, 32b)이 형성되고, 배선(32a, 32b)은 상부 콘택트 플러그(31a, 31b)와 하부 콘택트 플러그(15b, 15c)를 통해서 불순물 확산층(7a, 7b)에 접속되게 된다.Thereafter, in the peripheral circuit portion 3, the wirings 32a and 32b are formed on the fourth interlayer insulating film 28, and the wirings 32a and 32b are formed of the upper contact plugs 31a and 31b and the lower contact plugs 15b, respectively. It is connected to the impurity diffusion layers 7a and 7b through 15c.

또한, 도 12의 메모리 셀부(2)에서의 ⅤI-ⅤI선으로부터 본 단면을 나타내면, 도 13의 (b)와 같아진다.In addition, when the cross section seen from the VI-VI line in the memory cell part 2 of FIG. 12 is shown, it becomes like FIG.13 (b).

상기한 실시예에 의하면, 메모리 셀부(2)의 비트선(16) 측면에 형성되는 질화 실리콘으로 되는 사이드 월(18s)과 주변 회로부(3)의 에칭 스토퍼막(18)을 동시에 성막하고 있으므로, 그 후에 주변 회로부(3)의 제 3 및 제 4 층간 절연막(20, 28)에 형성되는 상부 콘택트홀(28b, 28c)이 하부 콘택트 플러그(15b, 15c)로부터 튀어 나와도, 상부 콘택트홀(28b, 28c)이 하부 콘택트 플러그(15b, 15c)의 윗면으로부터 아래로 크게 떨어지는 것이 방지된다.According to the above embodiment, since the sidewall 18s made of silicon nitride formed on the side of the bit line 16 of the memory cell portion 2 and the etching stopper film 18 of the peripheral circuit portion 3 are simultaneously formed, Thereafter, even if the upper contact holes 28b, 28c formed in the third and fourth interlayer insulating films 20, 28 of the peripheral circuit portion 3 protrude from the lower contact plugs 15b, 15c, the upper contact holes 28b, 28c) is prevented from falling down greatly from the top of the bottom contact plugs 15b and 15c.

또한, 보통 질화 실리콘막의 형성에는, 어떤 일정한 열처리가 필요하기 때문에, 사이드 월과 에칭 스톱막을 2회로 나누어 형성하면 MOSFET의 특성에 악영향을 줄 가능성이 있지만, 그들을 동시에 형성함으로써 열처리의 증가도 최소한으로 억제할 수 있게 된다.In addition, since a certain heat treatment is usually required for the formation of the silicon nitride film, it is possible to adversely affect the characteristics of the MOSFET if two sidewalls and an etching stop film are formed, but by simultaneously forming them, the increase in heat treatment is minimized. You can do it.

여기에서, 질화 실리콘막(18)의 막두께의 상한은, 도 13의 (a)에 나타내는 것처럼 비트선(16)의 상호의 간격이 필요 이상으로 좁아지지 않는다고 하는 요구로부터 결정되고, 그 하한은 주변 회로부(3)의 상부 콘택트홀(28a, 28b)의 형성을 위한 스토퍼막으로서의 요구로부터 결정되어야 한다. 스토퍼막의 막두께는 윗쪽의 층간 절연막의 막두께에도 의존하지만, 적어도 20∼30nm의 막두께가 요구된다.Here, the upper limit of the film thickness of the silicon nitride film 18 is determined from the request that the space between the bit lines 16 is not narrowed more than necessary as shown in Fig. 13A, and the lower limit is It should be determined from the request as a stopper film for the formation of the upper contact holes 28a and 28b of the peripheral circuit portion 3. The film thickness of the stopper film also depends on the film thickness of the upper interlayer insulating film, but a film thickness of at least 20 to 30 nm is required.

또한, 메모리 셀부(2)의 비트선(16), 게이트 전극(6), 콘택트부의 평면으로부터 본 위치 관계를 나타내면 도 14와 같이 된다. 도 14에서 VII-VII선으로부터본 단면은 도 7의 (a)이고, VIII-VIII선으로부터 본 단면은 도 13의 (a)이다.The positional relationship seen from the plane of the bit line 16, the gate electrode 6, and the contact portion of the memory cell portion 2 is as shown in FIG. In FIG. 14, the cross section seen from the line VII-VII is (a) of FIG. 7, and the cross section seen from the VIII-VIII line is (a) of FIG.

또한, 주변 회로부(3)의 제 2 층간 절연막(12) 위에 형성한 질화 실리콘막(18)의 대신에, 제 3 층간 절연막(20)의 에칭 시에 에칭 스토퍼로 되는 그 밖의 재료막, 예를 들면 산질화(酸窒化) 실리콘막(SiON), 알루미나(Al2O3)막을 형성해도 좋다.In addition, instead of the silicon nitride film 18 formed on the second interlayer insulating film 12 of the peripheral circuit portion 3, another material film serving as an etching stopper at the time of etching the third interlayer insulating film 20, for example, For example, an oxynitride silicon film (SiON) or an alumina (Al 2 O 3 ) film may be formed.

그런데, 도 5에서 나타낸 종래예의 문제를 해결하는 대책으로서, 상부 플러그(122b, 122c)와 하부 플러그(112b, 112c)의 사이에, 도전층으로 되는 에칭 스톱막을 형성해도 좋다. 예를 들면, 비트선(115)의 가공시, 상부 콘택트홀(121b, 121c)의 위치 편이 여유와 지름 편차를 예측한 크기의 도전층의 패터닝을 상부 플러그(122b, 122c)와 하부 플러그(112b, 112c)의 사이에 배치한다. 이것에 의해, 상부 플러그(122b, 122c)가 하부 플러그(112b, 112c)로부터 떨어지는 것을 회피할 수 있게 된다. 다만, 이 경우의 도전층의 패터닝은 위치 편이 여유와 지름 편차를 예측한 크기로 할 필요가 있기 때문에, 하부 콘택트홀(111b, 111c)보다도 예를 들면 0.2㎛정도 사이즈가 커져 버린다.By the way, as a countermeasure for solving the problem of the conventional example shown in Fig. 5, an etching stop film serving as a conductive layer may be formed between the upper plugs 122b and 122c and the lower plugs 112b and 112c. For example, when the bit line 115 is processed, the patterning of the conductive layer having a size predicting the positional deviation of the upper contact holes 121b and 121c and the deviation of the diameter is performed by the upper plugs 122b and 122c and the lower plug 112b. And 112c). This makes it possible to avoid the upper plugs 122b and 122c from falling from the lower plugs 112b and 112c. However, in this case, the patterning of the conductive layer needs to be the size predicted by the deviation of the positional deviation and the diameter deviation, so that, for example, the size of the conductive layer becomes larger than the lower contact holes 111b and 111c by about 0.2 µm, for example.

다른 전위가 인가되는 복수의 하부 플러그(112b, 112c)가 인접될 경우에, 그들의 하부 플러그(112b, 112c) 위에 형성되는 도전층의 패터닝끼리 단락되지 않도록 배치하는 제한이 붙기 때문에, 하부 플러그(112b, 112c)가 매입되는 하부 콘택트홀(111b, 111c)의 상호 간격이 커지고, 더 나아가서는 칩 사이즈를 크게 해버린다고 하는 결점이 있다.In the case where the plurality of lower plugs 112b and 112c to which different potentials are applied are adjacent to each other, the lower plug 112b is provided because a restriction is placed so that the patterning of the conductive layers formed on the lower plugs 112b and 112c is not shorted. , The gap between the lower contact holes 111b and 111c into which 112c is embedded is increased, and further, the chip size is increased.

혼재 DRAM의 주변 회로부에서는 고집적화, 미세화가 요구되기 때문에, 그러한 도전층의 패턴을 배치할 여유는 그다지 없다.Since high integration and miniaturization are required in the peripheral circuit portion of the mixed DRAM, there is little room for arranging the pattern of the conductive layer.

(제 2 실시예)(Second embodiment)

도 15는 본 발명의 제 2 실시예를 나타내는 단면도이다. 또한 도 16은 도 15의 Ⅹ-Ⅹ단면도이다. 또한, 도 15, 16에서, 도 12와 동일한 부호는 같은 요소를 나타내고 있다.15 is a sectional view showing a second embodiment of the present invention. 16 is a VIII-VIII cross-sectional view of FIG. In addition, in FIG.15, 16, the code | symbol same as FIG.12 has shown the same element.

본 실시예에서는 제 1 실시예의 도 7의 (b)에서 나타낸 질화 실리콘막(18)을 패터닝하지 않고 전면(全面)에 남기고, 메모리 셀부(2)에서 비트선(16)과 스토리지 콘택트용의 상부 콘택트 플러그(21)의 단락 방지막으로서 사용함과 동시에, 주변 회로부(3)에서 에칭 스토퍼막으로서 사용하는 것이다.In this embodiment, the silicon nitride film 18 shown in Fig. 7B of the first embodiment is left on the entire surface without patterning, and the upper portion of the bit line 16 and the storage contact in the memory cell portion 2 is left. It is used as a short circuit prevention film of the contact plug 21 and used as an etching stopper film in the peripheral circuit part 3.

이 경우, 스토리지 콘택트용의 상부 콘택트홀(20b)을 형성하기 위한 에칭은 제 3 층간 절연막(20)과 제 2 층간 절연막(12)의 에칭에 질화 실리콘막(18)의 에칭을 더한 3 스텝이 필요하게 된다.In this case, the etching for forming the upper contact hole 20b for the storage contact is performed in three steps in which the silicon nitride film 18 is added to the etching of the third interlayer insulating film 20 and the second interlayer insulating film 12. It is necessary.

그런데, 도 16에 나타나 있는 바와 같이 스토리지 콘택트용의 홀(20b)을 형성할 때에 비트선(16) 사이에서 위치 편이가 발생했다고 해도, 질화 실리콘막(18)은 그 에칭 후에 비트선(16)의 측면에 남으므로 비트선(16)이 노출하는 경우는 없다.By the way, even when the position shift occurs between the bit lines 16 when forming the holes 20b for the storage contacts as shown in FIG. 16, the silicon nitride film 18 is subjected to the bit lines 16 after the etching. Since it remains on the side of the bit line 16, the bit line 16 is not exposed.

본 실시예의 경우에는, 제 1 실시예에 비하여, 마스크로 되는 포토 레지스트(19)의 형성을 1 공정 생략할 수 있어, 비용면에서는 유리하다.In the case of this embodiment, compared with the first embodiment, the formation of the photoresist 19 serving as a mask can be omitted in one step, which is advantageous in terms of cost.

(제 3 실시예)(Third embodiment)

제 1 실시예의 도 10에서는 셀 플레이트 전극(26)을 패터닝한 후에, 그 아래의 질화 실리콘막(22)도 연속해서 패터닝했다.In FIG. 10 of the first embodiment, after the cell plate electrode 26 is patterned, the silicon nitride film 22 below it is also patterned continuously.

그러나, 도 17에 나타나 있는 바와 같이 질화 실리콘막(22)을 패터닝하지 않고 전면에 남기도록 해도 좋다.However, as shown in FIG. 17, the silicon nitride film 22 may be left over without patterning.

이 경우, 커패시터의 유전체막(25)이 질화 실리콘으로 구성되어 있는 경우에는, 유전체막(25)도 남겨도 좋다.In this case, when the dielectric film 25 of the capacitor is made of silicon nitride, the dielectric film 25 may also be left.

그와 같이 커패시터(27a, 27b)의 하지로 되는 질화 실리콘막(22)을 에칭하지 않을 경우에, 주변 회로부(3)에서 상부 콘택트홀(28b, 28c)을 형성하는 공정은, 다음과 같아진다.In such a case, when the silicon nitride film 22 serving as the base of the capacitors 27a and 27b is not etched, the process of forming the upper contact holes 28b and 28c in the peripheral circuit portion 3 is as follows. .

즉 제 4 층간 절연막(28)을 에칭할 때에, 그 아래의 질화 실리콘막(22)을 일시적으로 에칭 스토퍼로 하고, 그 후에, 질화 실리콘막(22)을 에칭하고, 또한 제 3 층간 절연막(20)을 에칭하고, 계속해서 질화 실리콘막(18)을 에칭함으로써 상부 콘택트홀(28b, 28c)이 형성된다.That is, when etching the fourth interlayer insulating film 28, the silicon nitride film 22 below is temporarily made an etching stopper, after which the silicon nitride film 22 is etched, and the third interlayer insulating film 20 ) And the silicon nitride film 18 is subsequently etched to form upper contact holes 28b and 28c.

이러한 공정에 의하면, 표면을 연마한 후의 제 4 층간 절연막(28)의 웨이퍼면내(面內)나 칩내의 막두께의 편차를, 질화 실리콘막(22)에서 일단 상쇄할 수 있게 되고, 이것에 의해 제조 마진이 향상된다. 또한, 셀 플레이트 전극(26)을 패터닝할 때에 질화 실리콘막(22)을 남기고 있으므로, 제 1 실시예에 비해 에칭 공정이 증가하는 경우는 없다.According to such a process, the silicon nitride film 22 can cancel the dispersion | variation in the film thickness in the wafer surface and the chip | tip of the 4th interlayer insulation film 28 after grinding | polishing the surface once. Manufacturing margins are improved. In addition, since the silicon nitride film 22 is left when the cell plate electrode 26 is patterned, the etching process is not increased in comparison with the first embodiment.

본 실시예에서는 주변 회로부(3)에서 도 7의 (b)에 나타낸 포토 레지스트(19)를 형성하지 않고 질화 실리콘막(18)을 주변 회로부(3)로부터 제거해도 좋다.In this embodiment, the silicon nitride film 18 may be removed from the peripheral circuit portion 3 without forming the photoresist 19 shown in FIG. 7B in the peripheral circuit portion 3.

또한, 도 17에서, 도 12와 동일한 부호는 같은 요소를 나타내고 있다.In addition, in FIG. 17, the same code | symbol as FIG. 12 has shown the same element.

(제 4 실시예)(Example 4)

도 18은 본 발명의 제 4 실시예의 반도체 장치를 나타내는 단면도이다. 또한, 도 18에서, 도 12와 동일한 부호는 같은 요소를 나타내고 있다.18 is a cross-sectional view showing a semiconductor device of a fourth embodiment of the present invention. In addition, in FIG. 18, the same code | symbol as FIG. 12 has shown the same element.

본 실시예에서는 주변 회로부(3)에서 불순물 확산층(7a, 7b)에 접속되는 하부 콘택트 플러그(15d, 15e)를 제 3 층간 절연막(20)과 그 아래로 형성하고, 상부 콘택트 플러그(31c, 31d)를 제 4 층간 절연막(28) 및 질화 실리콘막(22)에 형성한 구조를 갖고 있다.In the present embodiment, the lower contact plugs 15d and 15e connected to the impurity diffusion layers 7a and 7b in the peripheral circuit portion 3 are formed below the third interlayer insulating film 20 and the upper contact plugs 31c and 31d. ) Is formed on the fourth interlayer insulating film 28 and the silicon nitride film 22.

즉 제 1 실시예의 도 6의 (b)에 나타나 있는 바와 같이 메모리 셀부의 제 2 층간 절연막(12)에 상부 콘택트홀(13a)을 형성함과 동시에 주변 회로부(3)의 하측의 콘택트홀(13b, 13c)을 형성하지 않고, 스토리지 콘택트용의 상부 콘택트 플러그(21)를 형성하기 전이나 후에 주변 회로부(3)에서 제 1, 제 2 및 제 3 층간 절연막(9, 12, 20)을 패터닝해서 콘택트홀(13d, 13e)을 형성한 구조를 갖고 있다.That is, as shown in FIG. 6B of the first embodiment, the upper contact hole 13a is formed in the second interlayer insulating film 12 of the memory cell portion, and at the same time, the contact hole 13b below the peripheral circuit portion 3 is formed. The first, second and third interlayer insulating films 9, 12, and 20 in the peripheral circuit portion 3 before or after forming the upper contact plug 21 for the storage contact without forming the first, second, and 13c. It has a structure in which contact holes 13d and 13e are formed.

또한, 콘택트홀(13d, 13e) 내에 형성되는 콘택트 플러그(15d, 15e)는 제 1 실시예와 같이, Ti막, TiN막, W막의 3층 구조로 구성한다. 즉, Ti막, TiN막, W막을 콘택트홀(13d, 13e) 내와 제 3 층간 절연막(20) 위에 형성한 후에, 제 3 층간 절연막(20) 상의 금속막을 CMP법에 의해 제거하고, 이것에 의해 하측의 콘택트홀(13d, 13e) 내에 남은 금속막을 콘택트 플러그(15d, 15e)로서 사용한다.In addition, the contact plugs 15d and 15e formed in the contact holes 13d and 13e have a three-layer structure of a Ti film, a TiN film, and a W film as in the first embodiment. That is, after the Ti film, the TiN film, and the W film are formed in the contact holes 13d and 13e and over the third interlayer insulating film 20, the metal film on the third interlayer insulating film 20 is removed by the CMP method. As a result, the metal film remaining in the lower contact holes 13d and 13e is used as the contact plugs 15d and 15e.

본 실시예에서는 주변 회로부(3)에서, 도 7의 (b)에 나타낸 포토 레지스트(19)를 형성하지 않고 질화 실리콘막(18)을 주변 회로부(3)로부터 제거해도 좋다.In this embodiment, the silicon nitride film 18 may be removed from the peripheral circuit portion 3 in the peripheral circuit portion 3 without forming the photoresist 19 shown in FIG.

그 대신에, 커패시터(27a, 27b)의 아래에 형성되는 질화 실리콘막(22)을 주변 회로부(3)로부터 제거하지 않고 남긴다. 이것에 의하면, 주변 회로부(3)에서 제 4 층간 절연막(28)을 패터닝해서 상측 콘택트홀(28d, 28e)을 형성할 때에, 그 아래의 질화 실리콘막(22)이 에칭 스토퍼막으로 되고, 막두께가 두꺼운 제 4 층간 절연막(28)의 편차를 상쇄할 수 있다.Instead, the silicon nitride film 22 formed under the capacitors 27a and 27b is left without being removed from the peripheral circuit portion 3. According to this, when the fourth interlayer insulating film 28 is patterned in the peripheral circuit section 3 to form the upper contact holes 28d and 28e, the silicon nitride film 22 below the film becomes an etching stopper film. The deviation of the thick fourth interlayer insulating film 28 can be offset.

이상과 같이, 제 1, 제 2 및 제 3 층간 절연막(9, 12, 20)에 콘택트홀(13d, 13e)을 형성하고, 또한 제 3 층간 절연막(28)에 상부 콘택트홀(28d, 28e)을 형성할 경우에는, 제 1 실시예보다도 상부 콘택트홀(28d, 28e)이 얕아져 있으므로, 가공이 용이하다.As described above, the contact holes 13d and 13e are formed in the first, second and third interlayer insulating films 9, 12 and 20, and the upper contact holes 28d and 28e are formed in the third interlayer insulating film 28. The upper contact holes 28d and 28e are shallower than those of the first embodiment in the case of forming the upper surface, so that processing is easy.

(제 5 실시예)(Example 5)

제 4 실시예에서는 주변 회로부(3)에서 형성되는 하측의 콘택트홀(13d, 13e)은 메모리 셀부(2)의 스토리지 콘택트용의 상부 콘택트홀(20b)을 형성하기 전이나 뒤에 형성하고 있지만, 그들을 동시에 형성해도 좋다.In the fourth embodiment, the lower contact holes 13d and 13e formed in the peripheral circuit portion 3 are formed before or after the upper contact hole 20b for the storage contact of the memory cell portion 2, but they are formed. You may form simultaneously.

이 경우, 도 19에 나타나 있는 바와 같이 콘택트홀(13d, 13e) 내에 순차적으로 형성되는 Ti막, TiN막, W막은 스토리지 콘택트용의 홀(20b) 내에도 형성된다.In this case, as shown in FIG. 19, the Ti film, the TiN film, and the W film which are sequentially formed in the contact holes 13d and 13e are also formed in the hole 20b for storage contact.

따라서 콘택트홀(13d, 13e)과 스토리지 콘택트용의 홀(20b)을 동시에 형성할 경우에는, 스토리지 콘택트용의 홀(20b) 내에는 도핑된 실리콘을 형성하지 않고, 3층 구조의 금속막을 형성해서 이것을 상부 콘택트 플러그(21a)로서 사용하게 된다.Therefore, when forming the contact holes 13d and 13e and the hole 20b for a storage contact simultaneously, doped silicon is not formed in the hole 20b for a storage contact, and the metal film of a three-layer structure is formed, This will be used as the upper contact plug 21a.

이 경우에는, 상부 콘택트 플러그(21a)에 접속되는 스토리지 전극(24a)은 실리콘으로 구성할 필요는 없게 되고, 플래티넘, 루테늄, 산화 루테늄, 루테늄산 스트론튬, 기타의 금속으로 구성할 수 있게 된다. 산화 루테늄을 스토리지 전극(24a)으로서 사용할 경우에는, 커패시터 유전체막(25a)으로서 예를 들면 티타늄산 스트론튬 바륨(BST), 티타늄산 스트론튬(STO), 산화 탄탈룸, PZT 등의 산화물 유전체막을 사용한다. 또한 플레이트 전극(26a)으로서 스토리지 전극(24a)과 같은 재료를 사용해도 좋다.In this case, the storage electrode 24a connected to the upper contact plug 21a does not need to be made of silicon, but can be made of platinum, ruthenium, ruthenium oxide, strontium ruthenate, or other metals. When ruthenium oxide is used as the storage electrode 24a, an oxide dielectric film such as barium strontium titanate (BST), strontium titanate (STO), tantalum oxide, or PZT is used as the capacitor dielectric film 25a. As the plate electrode 26a, the same material as that of the storage electrode 24a may be used.

또한, 도 19에서, 도 18과 동일한 부호는 같은 요소를 나타내고 있다.In addition, in FIG. 19, the same code | symbol as FIG. 18 has shown the same element.

(제 6 실시예)(Example 6)

본 실시예의 반도체 장치는 도 20에 나타나 있는 바와 같이 주변 회로부(30)의 불순물 확산층(7a, 7b)에 접속되는 콘택트 플러그를 3단으로 구성하고 있다.As shown in FIG. 20, the semiconductor device of this embodiment has three stages of contact plugs connected to the impurity diffusion layers 7a and 7b of the peripheral circuit section 30. As shown in FIG.

본 실시예에서는 제 1 실시예의 도 8의 (b)에서, 메모리 셀부(2)의 제 2 및 제 3 층간 절연막(12, 20)을 패터닝해서 스토리지 콘택트용의 상부 콘택트홀(20b)을 형성할 때에, 동시에, 주변 회로부(3)의 제 2 층간 절연막(20)을 패터닝해서 하부 콘택트 플러그(15b, 15c)의 윗쪽에 중간의 콘택트홀(20c)을 형성한다.In this embodiment, in FIG. 8B of the first embodiment, the second and third interlayer insulating films 12 and 20 of the memory cell portion 2 are patterned to form the upper contact hole 20b for storage contact. At the same time, at the same time, the second interlayer insulating film 20 of the peripheral circuit portion 3 is patterned to form an intermediate contact hole 20c above the lower contact plugs 15b and 15c.

이 경우, 제 2 층간 절연막(20)의 에칭은 그 아래의 질화 실리콘막(18)에서 정지하는 조건으로 하고, 이것에 의해 중간의 콘택트홀(20c)이 하부 콘택트 플러그(15b, 15c)로부터 크게 떨어지지 않게 된다. 그 후에, 중간 콘택트홀(20c)을 통해서 질화 실리콘막(18)을 선택적으로 에칭함으로써, 중간의 콘택트홀(20c)을 콘택트 플러그(15b, 15c)에 접속한다.In this case, etching of the second interlayer insulating film 20 is stopped under the silicon nitride film 18 below, whereby the intermediate contact hole 20c is made larger from the lower contact plugs 15b and 15c. Will not fall. Thereafter, the silicon nitride film 18 is selectively etched through the intermediate contact hole 20c, thereby connecting the intermediate contact hole 20c to the contact plugs 15b and 15c.

이 후에, 메모리 셀부(2)의 스토리지 콘택트용의 상부 콘택트홀(20b)과, 주변 회로 영역(3)의 중간의 콘택트홀(20c) 내에, 각각 티타늄, 질화 티타늄, 텅스텐으로 되는 3층 구조의 금속막을 형성한다. 이것에 의해, 상부 콘택트홀(20b) 내에는 금속막으로 되는 상부 콘택트 플러그(21b)가 형성되고, 중간의 콘택트홀(20c) 내에는 금속막으로 되는 중간의 콘택트 플러그(33b, 33c)가 형성된다.Thereafter, in the upper contact hole 20b for the storage contact of the memory cell unit 2 and the contact hole 20c in the middle of the peripheral circuit region 3, a three-layer structure of titanium, titanium nitride, and tungsten, respectively, A metal film is formed. As a result, an upper contact plug 21b made of a metal film is formed in the upper contact hole 20b, and intermediate contact plugs 33b, 33c made of a metal film are formed in the intermediate contact hole 20c. do.

또한, 제 3 층간 절연막(20) 위에 형성된 금속막은 CMP법에 의해 제거된다.The metal film formed on the third interlayer insulating film 20 is removed by the CMP method.

그와 같이, 메모리 셀부(2)의 스토리지 콘택트용의 상부 콘택트홀(20c) 내의 콘택트 플러그(21b)를 금속으로 구성할 경우에는, 커패시터(27a, 27b)를 제 5 실시예에서 나타낸 것과 마찬가지의 구조로 해도 좋다.As described above, when the contact plug 21b in the upper contact hole 20c for the storage contact of the memory cell portion 2 is made of metal, the capacitors 27a and 27b are similar to those shown in the fifth embodiment. It is good also as a structure.

주변 회로부(3)에 형성된 중간의 콘택트 플러그(33b, 33c) 위에는, 제 5 실시예와 같은 공정에 의해 형성된 상부 콘택트 플러그(31c, 31d)가 접속된다.Upper contact plugs 31c and 31d formed by the same process as in the fifth embodiment are connected to the intermediate contact plugs 33b and 33c formed in the peripheral circuit portion 3.

상부 콘택트 플러그(31c, 31d)가 매입되는 상부 콘택트홀(28d, 28e)은 제 4 층간 절연막(28) 아래에 형성된 질화 실리콘막(22)을 에칭 스토퍼로 이용해서 형성되는 것은 제 4 실시예와 같다.The upper contact holes 28d and 28e into which the upper contact plugs 31c and 31d are embedded are formed by using the silicon nitride film 22 formed under the fourth interlayer insulating film 28 as an etching stopper. same.

이상과 같이,주변 회로부(3)에서 층간 절연막에 형성되는 콘택트 플러그의 단수를 늘릴 경우에는, 콘택트 플러그를 매입하기 위한 각 홀이 얕아지므로 가공이 용이해진다. 이 경우, 중간과 상부의 콘택트홀(20c, 28d, 28e)의 형성을 위한 에칭 시에는, 질화 실리콘막(18, 22)이 에칭 스토퍼로 된다.As described above, when the number of stages of the contact plugs formed in the interlayer insulating film is increased in the peripheral circuit section 3, the holes for embedding the contact plugs become shallow, so that the processing becomes easy. In this case, at the time of etching for forming the intermediate and upper contact holes 20c, 28d, and 28e, the silicon nitride films 18 and 22 serve as etching stoppers.

또한, 각 콘택트홀(20c, 28d, 28e) 깊이가 얕아져 있으므로, 질화 실리콘막(18, 22) 중 어느 하나 또는 양쪽을 주변 회로부(3)로부터 제거해도 좋다.In addition, since the depths of the contact holes 20c, 28d, and 28e are shallow, either or both of the silicon nitride films 18 and 22 may be removed from the peripheral circuit portion 3.

또한, 도 20에서, 도 19와 동일한 부호는 같은 요소를 나타내고 있다.In addition, in FIG. 20, the same code | symbol as FIG. 19 has shown the same element.

(제 7 실시예)(Example 7)

제 1 실시예에서는 도 6의 (b), 도 7의 (a)에 나타나 있는 바와 같이, 제 2 층간 절연막(12)에 상부 콘택트홀(13a)을 형성하고, 그 안에 상부 콘택트 플러그(15a)를 형성한 후에, 비트선(16)을 구성하는 금속막을 제 2 층간 절연막(12) 위에 형성하고 있다.In the first embodiment, as shown in Figs. 6B and 7A, an upper contact hole 13a is formed in the second interlayer insulating film 12, and the upper contact plug 15a is formed therein. After forming the metal film, the metal film constituting the bit line 16 is formed on the second interlayer insulating film 12.

그러나, 비트선(16)과 그 아래의 콘택트 플러그(15a)를 한번에 형성하는 것도 가능하고, 그 공정을 도 21에 기초하여 이하에 설명한다. 또한, 도 21에서, 도 6의 (b)와 동일한 부호는 같은 요소를 나타내고 있다.However, it is also possible to form the bit line 16 and the contact plug 15a below it at once, and the process is demonstrated below based on FIG. In addition, in FIG. 21, the same code | symbol as FIG.6 (b) has shown the same element.

우선, 도 6의 (b)에 나타낸 상태로부터, 메모리 셀부(2)의 비트선 콘택트용의 상부 콘택트홀(13a) 내와 주변 회로부(3)의 콘택트홀(13b, 13c) 내와 제 2 층간 절연막(12) 위에, CVD법에 의해 막두께 50nm의 티타늄막(41a), 막두께 50nm의 질화 티타늄막(41b), 막두께 150nm의 텅스텐막(41c)을 순차적으로 형성하고, 계속해서, 텅스텐막(41c) 위에 막두께 100nm의 질화 티타늄으로 되는 보호 절연막(42)을 CVD법에 의해 형성한다.First, from the state shown in Fig. 6B, the upper contact hole 13a for the bit line contact of the memory cell unit 2 and the contact holes 13b and 13c of the peripheral circuit unit 3 and the second layer are interposed. On the insulating film 12, a titanium film 41a having a film thickness of 50 nm, a titanium nitride film 41b having a film thickness of 50 nm, and a tungsten film 41c having a film thickness of 150 nm are sequentially formed by the CVD method, followed by tungsten. On the film 41c, a protective insulating film 42 made of titanium nitride having a film thickness of 100 nm is formed by the CVD method.

다음으로, 도 21의 (b)에 나타나 있는 바와 같이 통상의 포토 리소그래피법에 의해 티타늄막(41a), 질화 티타늄막(41b), 텅스텐막(41c)을 패터닝함으로써, 메모리 셀부(2)의 비트선(43)을 형성함과 동시에, 주변 회로부(3)의 하부 콘택트 플러그(44b, 44c)를 형성한다. 이 경우, 비트선(43)은 하부 콘택트 플러그(11a)에 직접 접속된다.Next, as shown in Fig. 21B, the titanium film 41a, the titanium nitride film 41b, and the tungsten film 41c are patterned by the normal photolithography method, so that the bits of the memory cell portion 2 are patterned. At the same time as forming the line 43, the lower contact plugs 44b and 44c of the peripheral circuit portion 3 are formed. In this case, the bit line 43 is directly connected to the lower contact plug 11a.

그런데, 텅스텐막(41c)의 막두께는 주변 회로부(3)의 하부 콘택트플러그(44b, 44c) 내에 보호 절연막(42)이 들어가지 않도록 충분한 두께로 하는 것이 바람직하고, 또한 비트선(43)의 가공이 하기 쉬운 정도로 얇은 것이 바람직하다.By the way, the film thickness of the tungsten film 41c is preferably set to a sufficient thickness so that the protective insulating film 42 does not enter the lower contact plugs 44b and 44c of the peripheral circuit portion 3, and the bit line 43 It is preferable to be thin to the extent that processing is easy.

본 실시예의 경우, 제 2 층간 절연막(12) 위의 금속막을 CMP법에 의해 제거해서 콘택트홀(13b, 13c) 내에 남긴다고 하는 방법이 아니라, 비트선(43) 가공시의 에칭과 동시에 행해지기 때문에, 대폭 공정수가 줄게 된다. 또한, 콘택트 플러그(44b, 44c)를 구성하는 금속막과 비트선(43)을 구성하는 금속막을 동일 성막 공정에서 행하기 때문에, 티타늄, 질화 티타늄, 텅스텐의 성막이 각각 1회 생략할 수 있다. 여기에서, 질화 실리콘으로 되는 보호 절연막(42)은 제 1 실시예의 보호 절연막(17)과 마찬가지로, 스토리지 콘택트용의 상부 콘택트 플러그(21)와 비트선(43)과의 단락을 방지하기 위해서 사용된다.In the present embodiment, the metal film on the second interlayer insulating film 12 is removed by the CMP method and left in the contact holes 13b and 13c. As a result, the number of process steps is greatly reduced. Further, since the metal film constituting the contact plugs 44b and 44c and the metal film constituting the bit line 43 are performed in the same film forming process, the film formation of titanium, titanium nitride and tungsten can be omitted once. Here, the protective insulating film 42 made of silicon nitride is used to prevent a short circuit between the upper contact plug 21 for the storage contact and the bit line 43, similarly to the protective insulating film 17 of the first embodiment. .

다음으로 도 21의 (c)에 나타나 있는 바와 같이 비트선(43), 플러그(44b, 44c) 및 제 2 층간 절연막(12) 위에, 막두께 50nm의 질화 실리콘막(18)을 감압 CVD법에 의해 형성하고, 계속해서, 주변 회로부(3)를 덮는 포토 레지스트(19)를 형성한다. 그 후의 공정은 제 1 실시예와 같다.Next, as shown in Fig. 21C, on the bit lines 43, the plugs 44b and 44c and the second interlayer insulating film 12, a silicon nitride film 18 having a film thickness of 50 nm is subjected to the reduced pressure CVD method. And the photoresist 19 covering the peripheral circuit portion 3 is subsequently formed. The subsequent process is the same as in the first embodiment.

Claims (21)

반도체 기판의 제 1 영역 내에 형성된 제 1 불순물 확산층과,A first impurity diffusion layer formed in the first region of the semiconductor substrate, 상기 반도체 기판의 제 2 영역 내에 형성된 제 2 불순물 확산층과,A second impurity diffusion layer formed in a second region of the semiconductor substrate, 상기 반도체 기판 위에 형성된 제 1 절연막과,A first insulating film formed on the semiconductor substrate; 상기 제 1 영역에서, 상기 제 1 절연막 내이며 상기 제 1 불순물 확산층 위에 형성된 제 1 홀과,A first hole in the first region and formed on the first impurity diffusion layer; 상기 제 1 홀 내에 형성된 제 1 도전성 플러그와,A first conductive plug formed in the first hole, 상기 제 1 영역에서의 상기 제 1 절연막 위에 형성된 배선과,A wiring formed on the first insulating film in the first region, 상기 제 1 및 제 2 영역에서, 상기 배선 및 상기 제 1 절연막 위에 형성된 제 2 절연막과,A second insulating film formed on the wiring and the first insulating film in the first and second regions; 상기 제 1 영역에서 상기 제 2 절연막 내이며 상기 배선으로부터 떨어져 형성되고, 또 상기 제 1 홀에 접속되는 제 2 홀과,A second hole formed in said second insulating film in said first region and away from said wiring and connected to said first hole, 상기 제 1 영역에서, 상기 제 2 절연막 위에 형성되어서 제 2 홀을 통해서 상기 제 1 도전성 플러그에 전기적으로 접속되는 전극과,An electrode formed on said second insulating film in said first region and electrically connected to said first conductive plug through a second hole; 상기 제 2 영역의 상기 제 1 절연막 내에서 상기 제 2 불순물 확산층의 위에 형성된 제 3 홀과,A third hole formed over the second impurity diffusion layer in the first insulating film of the second region, 상기 제 2 영역의 제 2 절연막 내에서 상기 제 3 홀 위에 형성된 제 4 홀과,A fourth hole formed on the third hole in the second insulating film of the second region, 상기 제 3 홀 내에 형성된 제 2 도전성 플러그와,A second conductive plug formed in the third hole, 상기 제 4 홀 내에 형성된 제 3 도전성 플러그와,A third conductive plug formed in the fourth hole; 상기 제 2 절연막과는 다른 재료로 구성되고, 상기 배선의 측면에 형성되며, 또한 상기 제 2 도전성 플러그와 상기 제 3 도전성 플러그의 접속부의 주위에 형성된 제 3 절연막을 갖는 것을 특징으로 하는 반도체 장치.And a third insulating film formed of a material different from the second insulating film, formed on the side surface of the wiring, and formed around the connecting portion of the second conductive plug and the third conductive plug. 제 1 항에 있어서,The method of claim 1, 상기 제 3 절연막은 상기 제 2 도전성 플러그의 윗면의 일부에 접하거나 그 윗면보다도 위의 위치에 존재하는 것을 특징으로 하는 반도체 장치.And the third insulating film is in contact with a portion of or above the upper surface of the second conductive plug. 제 1 항에 있어서,The method of claim 1, 상기 제 3 절연막은 상기 제 1 절연막과 상기 제 2 절연막의 사이에 있고, 상기 제 1 영역과 제 2 영역으로 연장하는 것을 특징으로 하는 반도체 장치.And the third insulating film is between the first insulating film and the second insulating film, and extends into the first region and the second region. 제 1 항에 있어서,The method of claim 1, 상기 제 1 영역의 상기 반도체 기판에 형성된 제 3 불순물 확산층과,A third impurity diffusion layer formed on the semiconductor substrate in the first region, 상기 제 1 절연막 내에서 상기 제 3 불순물 확산층 위에 형성된 제 5 홀과,A fifth hole formed on the third impurity diffusion layer in the first insulating film, 상기 제 5 홀 내에 형성되고 또한 상기 배선에 전기적으로 접속되는 제 4 도전성 플러그를 더 갖는 것을 특징으로 하는 반도체 장치.And a fourth conductive plug formed in said fifth hole and electrically connected to said wiring. 제 1 항에 있어서,The method of claim 1, 상기 제 2 절연막과 상기 전극 위에 형성된 제 4 절연막과,A fourth insulating film formed on the second insulating film and the electrode; 상기 제 2 영역에서 상기 제 4 절연막 내에 형성되고 상기 제 4 홀에 연결되는 제 6 홀을 더 갖는 것을 특징으로 하는 반도체 장치.And a sixth hole formed in the fourth insulating film in the second region and connected to the fourth hole. 제 5 항에 있어서,The method of claim 5, 상기 제 4 홀은 상기 제 6 홀의 연장이고, 상기 제 3 도전성 플러그는 상기 제 4 홀과 상기 제 6 홀 내에 형성되어 있는 것을 특징으로 하는 반도체 장치.And the fourth hole is an extension of the sixth hole, and the third conductive plug is formed in the fourth hole and the sixth hole. 제 1 항에 있어서,The method of claim 1, 상기 제 1 영역에서의 상기 전극과 상기 제 2 절연막의 사이에 형성되고, 또한 상기 제 2 영역에서의 제 2 절연막 위에 형성된 제 5 절연막과,A fifth insulating film formed between the electrode in the first region and the second insulating film and formed on the second insulating film in the second region; 상기 제 5 절연막과는 다른 재료로 구성되고, 또한 상기 제 5 절연막 위에 형성된 제 4 절연막과,A fourth insulating film made of a material different from the fifth insulating film and formed on the fifth insulating film; 상기 제 4 절연막과 상기 제 5 절연막에 형성되어서 상기 제 4 홀에 연결되는 제 7 홀을 더 갖는 것을 특징으로 하는 반도체 장치.And a seventh hole formed in the fourth insulating film and the fifth insulating film and connected to the fourth hole. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 4 홀은 상기 제 7 홀의 연장상에 있고, 상기 제 3 도전성 플러그는 상기 제 7 홀 내에도 형성되어 있는 것을 특징으로 하는 반도체 장치.And the fourth hole is on an extension of the seventh hole, and the third conductive plug is also formed in the seventh hole. 제 1 항에 있어서,The method of claim 1, 상기 제 1 영역은 메모리 셀이 형성되는 영역이고, 상기 제 2 영역은 주변 회로가 형성되는 영역인 것을 특징으로 하는 반도체 장치.And the first region is a region where a memory cell is formed, and the second region is a region where a peripheral circuit is formed. 반도체 기판의 제 1 영역 내에 형성된 제 1 불순물 확산층과 제 2 불순물 확산층과,A first impurity diffusion layer and a second impurity diffusion layer formed in the first region of the semiconductor substrate, 상기 반도체 기판의 제 2 영역 내에 형성된 제 3 불순물 확산층과,A third impurity diffusion layer formed in a second region of the semiconductor substrate, 상기 제 1, 제 2 및 제 3 불순물 확산층을 덮는 제 1 절연막과,A first insulating film covering the first, second and third impurity diffusion layers; 상기 제 1 영역에서, 상기 제 1 절연막에 형성된 제 1 홀과,A first hole formed in the first insulating layer in the first region, 상기 제 1 홀 내에 형성된 제 1 도전성 플러그와,A first conductive plug formed in the first hole, 상기 제 1 도전성 플러그 및 상기 제 1 절연막 위에 형성된 제 2 절연막과,A second insulating film formed on the first conductive plug and the first insulating film; 상기 제 1 영역에서, 상기 제 2 절연막에 형성되고, 상기 제 1 도전성 플러그를 노출하는 제 2 홀과,A second hole formed in the second insulating film in the first region and exposing the first conductive plug; 상기 제 2 영역에서, 상기 제 1 및 제 2 절연막에 형성되고 상기 제 3 불순물 확산층에 도달하는 깊이의 제 3 홀과,A third hole formed in said first region and said second insulating film and having a depth reaching said third impurity diffusion layer; 상기 제 3 홀 내에 형성된 제 2 도전성 플러그와,A second conductive plug formed in the third hole, 상기 제 2 절연막 위에 형성되고, 상기 제 2 홀을 통해서 상기 제 1 도전성 플러그에 전기적으로 접속되는 배선과,A wiring formed on the second insulating film and electrically connected to the first conductive plug through the second hole; 상기 제 2 도전성 플러그, 상기 배선 측면 및 상기 제 2 절연막의 위에 형성된 제 3 절연막과,A third insulating film formed on the second conductive plug, the wiring side surface, and the second insulating film; 상기 제 3 절연막 위에 형성되고, 상기 제 3 절연막과는 다른 재료로 이루어진 제 4 절연막과,A fourth insulating film formed over the third insulating film and made of a material different from the third insulating film; 상기 제 2 영역에서, 상기 제 3 및 상기 제 4 절연막에 형성되고, 상기 제 2 도전성 플러그에 적어도 일부가 겹치는 제 4 홀을 갖는 것을 특징으로 하는 반도체 장치.And a fourth hole formed in said third and said fourth insulating films in said second region, wherein at least a portion of said second conductive plug overlaps with said second conductive plug. 반도체 기판의 제 1 영역에 제 1 불순물 확산층과 제 2 불순물 확산층을 형성하는 공정과,Forming a first impurity diffusion layer and a second impurity diffusion layer in a first region of the semiconductor substrate, 상기 반도체 기판의 제 2 영역에 제 3 불순물 확산층을 형성하는 공정과,Forming a third impurity diffusion layer in a second region of the semiconductor substrate; 상기 제 1, 제 2 및 제 3 불순물 확산층을 덮는 제 1 절연막을 상기 반도체 기판 위에 형성하는 공정과,Forming a first insulating film on the semiconductor substrate, the first insulating film covering the first, second and third impurity diffusion layers; 상기 제 1 영역에서, 상기 제 1 절연막을 패터닝해서 상기 제 1 불순물 확산층과 상기 제 2 불순물 확산층의 위에 제 1 홀과 제 2 홀을 각각 형성하는 공정과,Patterning the first insulating film in the first region to form first holes and second holes on the first impurity diffusion layer and the second impurity diffusion layer, respectively; 상기 제 1 및 제 2 홀 내에 각각 제 1 도전성 플러그와 제 2 도전성 플러그를 형성하는 공정과,Forming a first conductive plug and a second conductive plug in the first and second holes, respectively; 상기 제 1 도전성 플러그에 전기적으로 접속되는 배선을 상기 제 1 절연막 위에 형성하는 공정과,Forming a wiring electrically connected to the first conductive plug on the first insulating film; 상기 제 2 영역에서, 상기 제 1 절연막을 패터닝해서 상기 제 3 불순물 확산층에 도달하는 깊이의 제 3 홀을 형성하는 공정과,Patterning the first insulating film in the second region to form a third hole having a depth reaching the third impurity diffusion layer; 상기 제 3 홀 내에 제 3 도전성 플러그를 형성하는 공정과,Forming a third conductive plug in the third hole; 상기 제 3 도전성 플러그, 상기 배선 및 상기 제 1 절연막 위에 제 2 절연막을 형성하는 공정과,Forming a second insulating film on the third conductive plug, the wiring, and the first insulating film; 상기 제 2 절연막 위에, 상기 제 2 절연막과는 다른 재료로 이루어진 제 3 절연막을 형성하는 공정과,Forming a third insulating film formed of a material different from the second insulating film on the second insulating film; 상기 제 2 영역에서, 상기 제 2 절연막을 에칭 스톱층으로서 사용하고, 상기 제 3 절연막을 패터닝해서 상기 제 3 도전성 플러그에 적어도 일부가 겹치는 제 4 홀을 형성하는 공정과,In the second region, using the second insulating film as an etch stop layer, and patterning the third insulating film to form a fourth hole at least partially overlapping the third conductive plug; 상기 제 4 홀을 통해서 상기 제 2 절연막을 선택적으로 에칭해서 상기 제 3 도전성 플러그의 윗면을 노출시키는 공정과,Selectively etching the second insulating film through the fourth hole to expose an upper surface of the third conductive plug; 상기 제 3 도전성 플러그의 윗면에 접속되는 제 4 도전성 플러그를 상기 제 4 홀 내에 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And forming a fourth conductive plug connected to the upper surface of the third conductive plug in the fourth hole. 제 11 항에 있어서,The method of claim 11, 상기 배선과 상기 제 1 절연막의 사이에서, 상기 제 1 도전성 플러그, 상기 제 2 도전성 플러그 및 상기 제 1 절연막을 덮는 제 4 절연막을 형성하는 공정과,Forming a fourth insulating film covering the first conductive plug, the second conductive plug, and the first insulating film between the wiring and the first insulating film; 상기 제 1 영역에서, 상기 제 4 절연막을 패터닝해서 상기 제 1 도전성 플러그와 상기 배선의 사이에 제 5 홀을 형성하는 공정과,Patterning the fourth insulating film in the first region to form a fifth hole between the first conductive plug and the wiring; 상기 제 4 절연막 내에 상기 제 3 홀의 상부를 형성하는 공정을 더 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And further comprising forming an upper portion of the third hole in the fourth insulating film. 제 12 항에 있어서,The method of claim 12, 상기 제 5 홀 내에 제 5 플러그를 형성하는 공정을 더 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And a fifth step of forming a fifth plug in said fifth hole. 제 11 항에 있어서,The method of claim 11, 상기 제 2 영역에서 상기 제 2 절연막의 적어도 일부를 마스크로 덮으면서, 상기 제 1 영역에서 상기 제 2 절연막를 에칭함으로써, 상기 제 1 영역에서 상기 제 2 절연막을 상기 배선의 측면에 남기는 공정을 더 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And etching the second insulating film in the first area while covering at least a portion of the second insulating film with the mask in the second area, thereby leaving the second insulating film on the side of the wiring in the first area. The manufacturing method of the semiconductor device characterized by the above-mentioned. 제 11 항 또는 제 14 항에 있어서,The method according to claim 11 or 14, 상기 배선 위에는, 상기 제 2 절연막과 같은 재료로 이루어지는 보호 절연막이 형성되어 있는 것을 특징으로 하는 반도체 장치의 제조 방법.A protective insulating film made of the same material as that of the second insulating film is formed on the wiring. 제 11 항 또는 제 12 항에 있어서,The method according to claim 11 or 12, 상기 제 1 영역에서, 적어도 상기 제 2 및 제 3 절연막을 패터닝해서 상기 제 2 도전성 플러그의 윗면을 노출시키는 제 6 홀을 형성하는 공정과,Patterning at least the second and third insulating films in the first region to form a sixth hole exposing an upper surface of the second conductive plug; 상기 제 6 홀 내에 제 6 도전성 플러그를 형성하는 공정과,Forming a sixth conductive plug in the sixth hole; 상기 제 6 도전성 플러그에 접속되는 전극을 상기 제 3 절연막 상에 형성하는 공정과,Forming an electrode connected to the sixth conductive plug on the third insulating film; 상기 전극 및 상기 제 3 절연막 위에 제 5 절연막을 형성하는 공정과,Forming a fifth insulating film on the electrode and the third insulating film; 상기 제 2 영역에서, 상기 제 4 홀에 연결되는 제 7 홀을 상기 제 5 절연막 내에 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And forming a seventh hole connected to said fourth hole in said fifth region in said second region. 제 16 항에 있어서,The method of claim 16, 상기 제 7 홀과 상기 제 4 홀은 연속해서 형성되는 것을 특징으로 하는 반도체 장치의 제조 방법.And the seventh hole and the fourth hole are continuously formed. 제 17 항에 있어서,The method of claim 17, 상기 제 7 홀을 형성한 후에, 상기 제 7 홀 및 상기 제 4 홀 내에 동시에 상기 제 4 도전성 플러그를 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법.And after forming the seventh hole, simultaneously forming the fourth conductive plug in the seventh hole and the fourth hole. 제 16 항에 있어서,The method of claim 16, 상기 전극은 커패시터의 하부 전극으로서 형성되고,The electrode is formed as a lower electrode of the capacitor, 상기 하부 전극을 형성한 후에, 상기 전극 위에 유전체막을 형성하고, 상기 유전체막 위에 상부 전극을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And after forming the lower electrode, forming a dielectric film on the electrode, and forming an upper electrode on the dielectric film. 제 16 항에 있어서,The method of claim 16, 상기 제 3 절연막 위에, 상기 제 5 절연막의 에칭 스토퍼막이 되는 제 6 절연막을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.And forming a sixth insulating film, which is an etching stopper film of the fifth insulating film, on the third insulating film. 제 20 항에 있어서,The method of claim 20, 상기 제 6 절연막은 상기 제 5 절연막에 상기 제 7 홀을 형성한 후에, 상기 제 7 홀을 통해서 에칭되는 것을 특징으로 하는 반도체 장치의 제조 방법.And the sixth insulating film is etched through the seventh hole after forming the seventh hole in the fifth insulating film.
KR1020027012834A 2000-04-14 2000-04-14 Semiconductor device and method of manufacturing thereof KR100667660B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2000/002445 WO2001080318A1 (en) 2000-04-14 2000-04-14 Semiconductor device and method of manufacturing thereof

Publications (2)

Publication Number Publication Date
KR20020092404A true KR20020092404A (en) 2002-12-11
KR100667660B1 KR100667660B1 (en) 2007-01-12

Family

ID=11735921

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027012834A KR100667660B1 (en) 2000-04-14 2000-04-14 Semiconductor device and method of manufacturing thereof

Country Status (4)

Country Link
US (2) US7009234B2 (en)
JP (1) JP4335490B2 (en)
KR (1) KR100667660B1 (en)
WO (1) WO2001080318A1 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100363556B1 (en) * 2000-04-24 2002-12-05 삼성전자 주식회사 A method of forming a semiconductor interconnection having contact plug and upper metal line and a semiconductor device fabricated by the method
US6518671B1 (en) * 2000-10-30 2003-02-11 Samsung Electronics Co. Ltd. Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof
FR2832854B1 (en) * 2001-11-28 2004-03-12 St Microelectronics Sa MANUFACTURE OF DRAM MEMORY AND MOS TRANSISTOR
US7279431B2 (en) * 2003-06-18 2007-10-09 Semitool, Inc. Vapor phase etching MEMS devices
JP4658486B2 (en) * 2003-06-30 2011-03-23 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP2011077539A (en) * 2003-06-30 2011-04-14 Renesas Electronics Corp Semiconductor device and method of manufacturing the same
JP2005093714A (en) * 2003-09-17 2005-04-07 Nec Electronics Corp Semiconductor device and its manufacturing method
US7279379B2 (en) * 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
KR100549014B1 (en) * 2004-07-21 2006-02-02 삼성전자주식회사 Semiconductor Devices Having A Spacer Pattern And Methods Of Forming The Same
US7605033B2 (en) * 2004-09-01 2009-10-20 Micron Technology, Inc. Low resistance peripheral local interconnect contacts with selective wet strip of titanium
JP4959979B2 (en) * 2005-12-21 2012-06-27 エルピーダメモリ株式会社 Manufacturing method of semiconductor memory device
US7687343B2 (en) * 2006-12-04 2010-03-30 Qimonda Ag Storage capacitor, a memory device and a method of manufacturing the same
JP4901898B2 (en) * 2009-03-30 2012-03-21 株式会社東芝 Manufacturing method of semiconductor device
JP5863381B2 (en) * 2011-10-17 2016-02-16 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
CN103811406B (en) * 2012-11-05 2016-12-21 上海华虹宏力半导体制造有限公司 The method improving the electric leakage of SONOS device self-aligned contact hole
JP2015060918A (en) * 2013-09-18 2015-03-30 株式会社東芝 Semiconductor device
US9679812B2 (en) 2014-07-24 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned contact
US9780025B2 (en) * 2014-12-30 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US10032713B2 (en) * 2016-01-27 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20210071551A (en) * 2019-12-06 2021-06-16 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
TWI745054B (en) * 2020-08-27 2021-11-01 華邦電子股份有限公司 Semiconductor device and manufacturing method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3415462B2 (en) * 1994-07-29 2003-06-09 Necエレクトロニクス株式会社 Method for manufacturing semiconductor device
JPH08321591A (en) 1995-05-26 1996-12-03 Sony Corp Semiconductor device and fabrication thereof
JPH09260605A (en) 1996-03-26 1997-10-03 Oki Electric Ind Co Ltd Transistor and its manufacture
JPH10200067A (en) * 1996-12-29 1998-07-31 Sony Corp Manufacturing method of semiconductor device
JPH1187650A (en) * 1997-09-08 1999-03-30 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JP3599548B2 (en) * 1997-12-18 2004-12-08 株式会社日立製作所 Method for manufacturing semiconductor integrated circuit device
JPH11186522A (en) 1997-12-22 1999-07-09 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
KR100276390B1 (en) * 1998-08-10 2000-12-15 윤종용 Semiconductor memory device and method of fabricating the same
KR100343291B1 (en) * 1999-11-05 2002-07-15 윤종용 Method for forming a capacitor of a semiconductor device
JP2001196560A (en) * 2000-01-14 2001-07-19 Seiko Epson Corp Semiconductor device and method of manufacturing the same
JP3957945B2 (en) * 2000-03-31 2007-08-15 富士通株式会社 Semiconductor device and manufacturing method thereof
US6709945B2 (en) * 2001-01-16 2004-03-23 Micron Technology, Inc. Reduced aspect ratio digit line contact process flow used during the formation of a semiconductor device
JP2003007854A (en) * 2001-06-22 2003-01-10 Nec Corp Semiconductor memory device and manufacturing method thereof
US6794238B2 (en) * 2001-11-07 2004-09-21 Micron Technology, Inc. Process for forming metallized contacts to periphery transistors

Also Published As

Publication number Publication date
US7192862B2 (en) 2007-03-20
US20030049903A1 (en) 2003-03-13
WO2001080318A1 (en) 2001-10-25
JP4335490B2 (en) 2009-09-30
KR100667660B1 (en) 2007-01-12
US7009234B2 (en) 2006-03-07
US20060094186A1 (en) 2006-05-04

Similar Documents

Publication Publication Date Title
KR100667660B1 (en) Semiconductor device and method of manufacturing thereof
US6545306B2 (en) Semiconductor memory device with a connector for a lower electrode or a bit line
US7807569B2 (en) Method of manufacturing a contact structure for a semiconductor device
KR100414220B1 (en) Semiconductor device having shared contact and fabrication method thereof
EP1732124B1 (en) Method for forming word lines in a semiconductor memory device
US7342275B2 (en) Semiconductor device and method of manufacturing the same
US6278164B1 (en) Semiconductor device with gate insulator formed of high dielectric film
US20130214339A1 (en) Semiconductor device comprising capacitor and method of fabricating the same
US6384441B1 (en) Semiconductor device and method of manufacturing the same
EP1280198A2 (en) Semiconductor memory and method for fabricating the same
US20060030091A1 (en) Word line structure with single-sided partially recessed gate structure
US6232224B1 (en) Method of manufacturing semiconductor device having reliable contact structure
US6072241A (en) Semiconductor device with self-aligned contact and its manufacture
US5998249A (en) Static random access memory design and fabrication process featuring dual self-aligned contact structures
US7253463B2 (en) Semiconductor memory device and method of manufacturing the same
US7195968B2 (en) Method of fabricating semiconductor device
US7511330B2 (en) Semiconductor device and method of fabricating the same
US6207988B1 (en) Semiconductor device and method for fabricating the same
US6967367B2 (en) Ferro-electric memory device and method of manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161220

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181226

Year of fee payment: 13