KR20020045494A - METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER - Google Patents

METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER Download PDF

Info

Publication number
KR20020045494A
KR20020045494A KR1020010036933A KR20010036933A KR20020045494A KR 20020045494 A KR20020045494 A KR 20020045494A KR 1020010036933 A KR1020010036933 A KR 1020010036933A KR 20010036933 A KR20010036933 A KR 20010036933A KR 20020045494 A KR20020045494 A KR 20020045494A
Authority
KR
South Korea
Prior art keywords
silicon carbide
film
forming
oxide film
carbide oxide
Prior art date
Application number
KR1020010036933A
Other languages
Korean (ko)
Other versions
KR100391992B1 (en
Inventor
김재학
신홍재
이수근
이경우
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to US09/994,508 priority Critical patent/US6936533B2/en
Publication of KR20020045494A publication Critical patent/KR20020045494A/en
Application granted granted Critical
Publication of KR100391992B1 publication Critical patent/KR100391992B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Abstract

PURPOSE: A method for forming a semiconductor device having low permittivity interlayer dielectric is provided to exactly form a micro pattern on a SiOC layer and to restrain a parasitic capacitance between interconnections or contact plugs by using the SiOC layer having a low dielectric constant. CONSTITUTION: A low permittivity carbon oxide silicon layer made of SiOC is formed on a substrate(100) by a CVD(Chemical Vapour Deposition) using a nitrogen included gas as a source gas or a carrier gas. A plasma processing is performed on the carbon oxide silicon layer by supplying gases, such as a helium, a hydrogen, an N2O, or an Ar gas to a processing chamber. A photoresist is deposited and patterned on the plasma processed carbon oxide silicon layer(111).

Description

저유전율 층간절연막을 가지는 반도체 장치 형성 방법 {METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER}A method of forming a semiconductor device having a low dielectric constant interlayer insulating film {METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER}

본 발명은 저유전율막을 가지는 반도체 장치 형성 방법에 관한 것으로, 보다 상세하게는 탄화산화 실리콘막을 가지는 반도체 장치 형성 방법에 관한 것이다.The present invention relates to a method for forming a semiconductor device having a low dielectric constant film, and more particularly, to a method for forming a semiconductor device having a silicon carbide oxide film.

반도체 장치의 소자 고집적화에 따라 개별 소자 및 배선의 크기가 줄어든다. 이와 함께, 소자와 소자 및 배선들 사이의 거리도 줄어들게 된다. 따라서, 도전영역과 배선들 사이의 기생 용량(parastic capacitance)이 증가하고, 기생 용량이 반도체 장치의 정상적인 기능을 저해하는 문제가 커진다. 가령, 기생 캐퍼시턴스가 증가되면 저항과 함께 반도체 장치 신호 전달에 있어서 RC 지연(resistance capacitance delay)을 발생시킨다. RC 지연 현상은 반도체 장치의 특성을 저하시키고 신호를 왜곡시키므로 이를 방지하기 위한 방법이 다양하게 모색되고 있다.With the high integration of semiconductor devices, the size of individual devices and wirings is reduced. In addition, the distance between the device and the device and the wirings is also reduced. Therefore, the parasitic capacitance between the conductive region and the wirings increases, and the problem that the parasitic capacitance impairs the normal function of the semiconductor device increases. For example, an increase in parasitic capacitance causes resistance capacitance delay in the transmission of semiconductor device signals along with resistance. Since the RC delay degrades the characteristics of the semiconductor device and distorts the signal, various methods for preventing the RC delay have been sought.

RC 지연과 같은 기생 용량의 문제를 경감하는 방법으로 배선 사이의 층간 절연막 등 반도체 장치 구조막으로 저유전막을 사용하는 방법을 들 수 있다. 저유전막은 반도체 장치에서 사용되는 통상의 층간 절연막에 대한 상대적인 개념이다. 가령, 식각저지막이나 캡핑막, 스페이서막으로 많이 사용되는 실리콘 질화막은 비유전율 8 정도로 높으며, 층간 절연막으로 가장 많이 사용되는 실리콘 산화막은 비유전율 3.7 내지 4 정도이다.As a method of alleviating the problem of parasitic capacitance, such as RC delay, the method of using a low dielectric film as a semiconductor device structure film, such as an interlayer insulation film between wirings, is mentioned. Low dielectric films are a relative concept to conventional interlayer insulating films used in semiconductor devices. For example, a silicon nitride film commonly used as an etch stop film, a capping film, or a spacer film has a high dielectric constant of about 8, and a silicon oxide film most commonly used as an interlayer insulating film has a relative dielectric constant of about 3.7 to 4.

저유전막으로는 SOG(Spin On Glass) 방식으로 형성되는 HSQ(hydro silsesquioxane), MSQ(methyl silsesquoxan) 등의 실리콘 산화막, 유기 폴리머 계열의 막을 들 수 있고, 한편으로, 탄화산화 실리콘(SiOC)막을 들 수 있다. 그러나, SOG 계열의 실리콘 산화막은 통상의 열산화막, CVD(chemical vapor deposition) 산화막에 비해 비유전율 이득이 크기 않고, 막을 치밀하게 처리하기가 쉽지 않다. 따라서, 식각 특성이 떨어져 콘택홀이나 다마신 공정에 의한 배선 트렌치를 형성하기 어렵다는 문제가 있다. 또한, 큐어링(curing)이 완전하지 못하므로 후속 공정에서 막이 흡습, 오염되는 문제가 있었다.Examples of the low dielectric film include silicon oxide films such as HSQ (hydro silsesquioxane) and MSQ (methyl silsesquoxan), organic polymer-based films formed by SOG (Spin On Glass), and silicon carbide (SiOC) films. Can be. However, the SOG-based silicon oxide film has a large relative dielectric constant gain and is not easy to treat the film precisely, compared with a conventional thermal oxide film and a CVD (chemical vapor deposition) oxide film. Therefore, there is a problem that the etching characteristics are poor and it is difficult to form the wiring trench by the contact hole or the damascene process. In addition, since the curing (curing) is not complete, there is a problem that the film is absorbed, contaminated in the subsequent process.

탄화산화 실리콘막은 탄소가 도핑(doping)된 실리콘 산화막과 같은 개념으로 생각할 수 있으며, 메칠 실세스퀴옥산(MSSQ:Methyl SilSesQuioxane) 등을 SOG 방식으로 도포하여 형성하거나, 메칠기(CH3-)와 같은 탄소 함유기가 사일렌 가스(SiH4)에서 하나 이상의 수소를 치환하여 형성된 메칠 사일렌(methyl silane) 계열 기타 유기성분 및 실리콘이 함유된 가스와 N2O, O2등의 산소 원소가 함유된 소오스 가스를 N2, NH3, 헬륨(He), 아르곤(Ar) 같은 캐리어 가스와 함께 공급하여 PECVD(plasma enhanced chemical vapor deposition)법으로 통상 만들어진다. 탄화산화 실리콘막의 비유전율은 2.7 내지 2.9 정도로 다른 변수가 일정한 경우, 실리콘 산화막에 비해 기생 용량을 25 내지 30 % 줄일 수 있다.And a silicon carbide oxide film of carbon can be considered as the equivalent of a silicon oxide film doped (doping), methyl silsesquioxane (MSSQ: Methyl SilSesQuioxane) formed by coating or the like to the SOG method, or methyl groups (CH 3 -) and Methyl silane-based other organic components formed by the same carbon-containing group by substituting one or more hydrogens in a silylene gas (SiH 4 ) and gas containing silicon and oxygen elements such as N 2 O and O 2 Source gas is supplied with a carrier gas such as N 2 , NH 3 , helium (He), argon (Ar), and is usually made by plasma enhanced chemical vapor deposition (PECVD). When the relative dielectric constant of the silicon carbide oxide film is constant at about 2.7 to 2.9, parasitic capacitance can be reduced by 25 to 30% compared to the silicon oxide film.

그러나, 탄화산화 실리콘막은 층간 절연막 등으로 사용되기에 몇 가지 문제점이 있다. 우선, 패터닝과 관련하여, 탄화산화 실리콘막 위에 형성되는 포토레지스트 패턴의 풋팅(footing) 현상이 있다. 탄화산화 실리콘막은 형성과정에서 소오스 가스(source gas), 혹은 캐리어 가스(carrier gas)에 포함된 질소 원자가 막내에 일부 포함된다. 그리고, 막내에 포함된 질소 원자는 탄화산화 실리콘막을 패터닝 하기 위한 포토리소그래피 공정을 진행할 때 포토레지스트가 감광될 때 발생되는 수소 이온과 결합하여 포토레지스트 내에 레진 성분의 폴리머(polymer)가 형성되는 반응 혹은 그 반대의 반응을 방해한다. 그 결과 현상이 이루어진 뒤에도 포토레지스트 패턴이 명확하게 형성되지 못하고, 도1과 같이 포토레지스트 패턴 측하부에 포토레지스트 일부가 잔존되는 풋팅(footing) 현상이 발생할 수 있다.However, there are some problems because the silicon carbide oxide film is used as an interlayer insulating film or the like. First, in connection with the patterning, there is a footing phenomenon of the photoresist pattern formed on the silicon carbide oxide film. The silicon carbide oxide film partially contains nitrogen atoms contained in the source gas or the carrier gas during the formation. The nitrogen atoms contained in the film are combined with hydrogen ions generated when the photoresist is exposed during the photolithography process for patterning the silicon carbide oxide film to form a polymer of a resin component in the photoresist, or Interfere with the opposite reaction. As a result, the photoresist pattern may not be clearly formed even after the development, and a footing phenomenon may occur in which a portion of the photoresist remains on the lower side of the photoresist pattern as shown in FIG. 1.

이러한 풋팅 현상은 고집적 반도체 장치 형성에서 미세 패턴 형성을 위해 점차 많이 사용되는 화학 증폭형 포토레지스트에서 심각한 영향을 미치게 된다. 화학 증폭형 포토레지스트에서는 감광 반응에 의해 포토레지스트내의 센시타이져에서 발생되는 초기의 수소이온이 감광후 현상 전의 노광후 베이크(post exposure bake) 단계에서 열에 의해 주변의 포토레지스트 내에 레진 성분과 관련하여 대량의 폴리머 분해 혹은 결합 반응을 유발시킨다. 이 과정에서 더 많은 수소이온이 발생할 수도 있다. 그런데, 초기에 발생한 소수의 수소이온이 포토레지스트 하부의 탄화산화 실리콘막에 포함된 질소와 결합하면 노광 후 베이크가 진행되어도 대량의 화학반응을 촉발시킬 수 없게 된다. 따라서 포토레지스트와 탄화산화 실리콘막이 접촉하는 부분에서는 제거되어야할 포토레지스트가 반응 부족으로 현상 이후에도 잔류하게되는 것이다.This putting phenomenon has a serious effect on chemically amplified photoresists that are increasingly used for the formation of fine patterns in the formation of highly integrated semiconductor devices. In the chemically amplified photoresist, the initial hydrogen ions generated by the sensitizer in the photoresist by the photosensitive reaction are related to the resin component in the surrounding photoresist by heat in the post exposure bake step before the photosensitive development. It causes a large amount of polymer degradation or binding reaction. More hydrogen ions may be generated during this process. However, when a few hydrogen ions generated at the beginning are combined with nitrogen contained in the silicon carbide film under the photoresist, a large amount of chemical reaction may not be triggered even after baking after exposure. Therefore, in the part where the photoresist and silicon carbide oxide film are in contact with each other, the photoresist to be removed remains after development due to lack of reaction.

다음으로, 애싱에 따른 문제를 살펴보면, 식각 마스크로 사용된 포토레지스트 패턴을 제거하는 과정에서 산소 플라즈마 애싱 (ashing)을 실시한다. 이때, 층간절연막 표층의 탄화산화 실리콘이 산소 플라즈마에 의해 변성, 손상되는 문제가 있다. 산소 플라즈마와 작용할 경우 탄화산화 실리콘의 비유전율은 실리콘 산화막과 비슷한 수준까지 상승하게 된다.Next, referring to the problem caused by ashing, oxygen plasma ashing is performed in the process of removing the photoresist pattern used as the etching mask. At this time, there is a problem that the silicon carbide of the interlayer insulating film surface layer is modified and damaged by oxygen plasma. When interacting with an oxygen plasma, the dielectric constant of silicon carbide is raised to a level similar to that of silicon oxide.

그리고, 다마신 공정의 경우, 탄화산화 실리콘막을 패터닝하여 트랜치나 콘택 홀에 도전물질을 채우고 탄화산화 실리콘막이 드러나도록 CMP(chemical mechanical polishing)를 실시하는 공정이 필요하다. 탄화산화 실리콘막 자체는 막질이 기계적으로 취약하여 다마신 공정을 위한 CMP 과정에서 표면에 마이크로 스크래치(micro scratch), 박리 등의 현상이 빈번히 발생한다.In the damascene process, a silicon carbide film is patterned to fill a trench or contact hole with a conductive material, and a chemical mechanical polishing (CMP) is performed to expose the silicon carbide film. Since the silicon carbide film itself is mechanically weak in film quality, a phenomenon such as micro scratch and peeling frequently occurs on the surface of the CMP process for the damascene process.

탄화 산화 실리콘막의 다른 문제점으로 탄화산화 실리콘막 위에 다른 막을 적층할 때 다른 막과의 부착력이 떨어져 다른 막이 고르게 형성되지 않거나, 형성된 막이 쉽게 박리되는 문제가 있다.Another problem of the silicon carbide oxide film is that when the other film is laminated on the silicon carbide film, the adhesion force with the other film is low, so that the other film is not evenly formed or the formed film is easily peeled off.

이상의 탄화산화 실리콘막의 문제들과 관련하여, 먼저, 애싱에 따른 문제를 없애는 방법을 고려할 수 있다. 가령, 저유전막이 애싱용 산소 플라즈마에 노출되지 않도록 포토레지스트 패턴과 함께 하드 마스크를 사용하고 제거하는 방법, 수소/질소 분위기에서 포토레지스트 패턴을 제거하는 형성 가스 애싱(forming gas ashing)을 사용하는 방법을 고려할 수 있다. 그러나, 이들 방법은 공정 효율을 저하시키는 측면을 가진다. 또한, CMP 등에서의 기계적 손상의 문제는 여전히남는다.Regarding the above problems of the silicon carbide oxide film, first, a method of eliminating the problems caused by ashing can be considered. For example, a method of using and removing a hard mask with a photoresist pattern to prevent the low dielectric film from being exposed to ashing oxygen plasma, and a method of using forming gas ashing to remove the photoresist pattern in a hydrogen / nitrogen atmosphere. May be considered. However, these methods have the aspect of lowering the process efficiency. In addition, the problem of mechanical damage in CMP and the like still remains.

애싱에 의한 유전율 증가 및 기계적 손상과 관련하여 탄화산화 실리콘막 위에 다른 절연막을 얇게 적층하여 남기는 문제도 생각할 수 있다. 이 절연막 사용에 따라 산소 플라즈마 애싱에 따른 탄화산화 실리콘막의 변성과 손상을 막고, CMP에 따른 탄화산화 실리콘막의 직접적 손상을 방지할 수 있다. 그러나, 탄화산화 실리콘막 위에 PECVD를 통해 실레인 가스나 TEOS(tetraethyl orthosilicate) 가스를 소오스 가스로 한 실리콘 산화막을 적층할 경우 다른 문제가 발생한다. 즉, 탄화산화 실리콘막은 이들 막과의 부착력이 미약하여 이들 절연막 자체가 CMP 등의 공정에서 쉽게 박리된다.In connection with the increase in dielectric constant and mechanical damage caused by ashing, a problem of leaving a thin layer of another insulating film on the silicon carbide film may be considered. By using this insulating film, it is possible to prevent degeneration and damage of the silicon carbide film due to oxygen plasma ashing, and to prevent direct damage of the silicon carbide film due to CMP. However, another problem arises when a silicon oxide film containing silane gas or tetraethyl orthosilicate (TEOS) gas as a source gas is deposited on a silicon carbide oxide film through PECVD. That is, the silicon carbide oxide film has a weak adhesion with these films, and these insulating films themselves are easily peeled off in a process such as CMP.

탄화산화 실리콘막의 부착력과 관련된 예를 더 살펴보면, 저유전율 층간 절연막의 하나로 유기 폴리머 계열의 물질을 탄화산화 실리콘막과 함께 사용하는 경우를 고려할 수 있다. 이때, 반도체 장치에 사용되는 유기 폴리머 계열의 물질로는 저유전율 층간 절연막으로 사용하기 위해 개발된 다우캐미칼사(社)의 상품명 SiLK, Alliedsignal의 상품명 FLARE 등을 예로 들 수 있다(Mat.Res. Soc. Sym. Proc. Vol. 476, 1997 : Materials Research Society 참조). 순수하게 유기 폴리머 계열의 물질을 층간 절연막으로 사용하고 다마신 공정을 적용할 경우, 형성된 막의 열전도성 등 낮은 열적 특성과 열악한 기계적 특성을 갖는다. 이런 문제를 해결하기 위해 층간 절연막을 보완성을 가지는 두가지 막으로, 예를 들면, 콘택홀이 형성되는 하부의 층간 절연막을 산화 실리콘막 등으로, 배선 트렌치가 형성되는 상부의 층간 절연막을 유기 폴리머 계열의 막으로 형성할 수 있다. 단, 유기 폴리머 계열의 막은 도포 방식으로 형성된다.(IEEE 2000, Copper Dual Damascene Interconnects with Very Low-k Dielectrics Targeting for 130nm Node)Looking at the example related to the adhesion of the silicon carbide oxide film, it may be considered to use an organic polymer-based material together with the silicon carbide oxide film as one of the low dielectric constant interlayer insulating film. At this time, examples of the organic polymer-based material used in the semiconductor device may include the Dow Chemical company's trade name SiLK and Alliedsignal trade name FLARE developed for use as a low dielectric constant insulating film (Mat. Res. Soc). Sym.Proc.Vol. 476, 1997: Materials Research Society). When using purely organic polymer-based material as an interlayer insulating film and applying the damascene process, the film has low thermal properties such as thermal conductivity and poor mechanical properties. In order to solve this problem, the interlayer insulating film is complementary to two layers, for example, the interlayer insulating film at the bottom of the contact hole is formed of silicon oxide film, and the interlayer insulating film at the top of the wiring trench is formed of organic polymer. It can be formed into a film. However, organic polymer film is formed by coating method (IEEE 2000, Copper Dual Damascene Interconnects with Very Low-k Dielectrics Targeting for 130nm Node)

이때, 하부의 층간 절연막으로 탄화산화 실리콘막을 사용할 수 있으면 바람직할 것이다. 그러나, 이런 경우, 탄화산화 실리콘막의 부착력이 낮아 도포된 유기 폴리머 막의 형성 상태가 균일하지 못하고, 공정 불량을 유발시킨다. 특히, 웨이퍼 주변부는 도포막이 쉽게 박리되거나, 두께가 균일하지 않다는 문제가 있다.At this time, it would be preferable if a silicon carbide oxide film could be used as the lower interlayer insulating film. However, in such a case, the adhesion force of the silicon carbide oxide film is low, so that the formation state of the applied organic polymer film is not uniform, resulting in process failure. In particular, the wafer periphery has a problem that the coating film is easily peeled off or the thickness is not uniform.

본 발명은 상술한 것과 같이 저유전막으로 탄화산화 실리콘막을 사용할 경우 의 여러 가지 문제점을 개선하기 위한 것으로, 탄화산화 실리콘막 위에 광증폭형 포토레지스트를 사용하는 경우에도 풋팅 현상 없이 명료한 포토레지스트 패턴을 형성할 수 있는 반도체 장치 형성 방법을 제공하는 것을 목적으로 한다.The present invention is to improve the various problems when using a silicon carbide film as a low dielectric film as described above, even when using an optical amplification type photoresist on the silicon carbide film, a clear photoresist pattern without putting It is an object to provide a method of forming a semiconductor device that can be formed.

또한, 본 발명은 탄화산화 실리콘막을 패터닝하기 위해 사용된 포토레지스트 패턴을 애싱으로 제거하는 경우에도 탄화산화 실리콘막의 유전율 증가가 없는 반도체 장치 형성 방법을 제공하는 것을 목적으로 한다.Further, an object of the present invention is to provide a method for forming a semiconductor device without increasing the dielectric constant of the silicon carbide oxide film even when the photoresist pattern used for patterning the silicon carbide oxide film is removed by ashing.

본 발명의 또 다른 목적은, 다마신 공정을 통해 탄화산화 실리콘막에 콘택 및 배선을 형성하는 경우에 CMP 실시 단계에서 마이크로 스크래치나 박리가 이루어지고 공정 불량이 야기되는 것을 방지할 수 있는 반도체 장치 형성 방법을 제공하는 것이다.It is still another object of the present invention to form a semiconductor device capable of preventing micro scratches and peeling and process defects from occurring during the CMP step when contacts and wirings are formed on the silicon carbide oxide film through a damascene process. To provide a way.

본 발명은 또한, 탄화산화 실리콘막 위에 CVD 절연막이나 유기 폴리머 계열의 도포 절연막을 형성하고 다마신을 실시할 때, 도포 불량이나 형성막 박리로 인한 공정 불량이 야기되는 것을 방지할 수 있는 반도체 장치 형성 방법을 제공하는 것을 목적으로 한다.The present invention also provides a semiconductor device that can prevent a coating defect or a process defect due to peeling of a formed film when a CVD insulating film or an organic polymer coating insulating film is formed on a silicon carbide oxide film and subjected to damascene. It is an object to provide a method.

도1은 종래에 탄화산화 실리콘막을 패터닝하는 과정 중에 포토레지스트 패턴 측하부에 제거되어야 할 포토레지스트 일부가 잔존되는 풋팅(footing) 현상이 발생함을 나타내는 전자현미경 사진이다.FIG. 1 is an electron micrograph showing that in the conventional process of patterning a silicon carbide oxide film, a footing phenomenon occurs in which a part of the photoresist to be removed is left under the photoresist pattern.

도2 내지 도4는 본 발명의 일 예에서 각 단계의 기판 측단면 일부를 개략적으로 나타내는 공정 단면도들이다.2 to 4 are process cross-sectional views schematically showing a part of the side surface of the substrate in each step in an example of the present invention.

도5 내지 도9는 본 발명의 다른 실시예에서의 공정 중요 단계들을 나타내는 단면도들이다.5 to 9 are cross-sectional views showing process critical steps in another embodiment of the present invention.

도10 및 도13은 SOG 방식으로 탄화산화 실리콘 층간 절연막을 형성하는 실시예의 몇 가지 중요 단계를 나타내는 단면도들이다.10 and 13 are cross-sectional views showing some important steps of an embodiment of forming a silicon carbide interlayer insulating film in an SOG manner.

도14는 본 발명의 실시예들과 비교례들에서 기생 정전용량의 누산 분포를 나타내는 그래프이다.14 is a graph showing the accumulation distribution of parasitic capacitance in the embodiments and comparative examples of the present invention.

※ 도면의 주요 부분에 대한 부호의 설명※ Explanation of codes for main parts of drawing

100: 기판110,111,210,211: 탄화산화 실리콘막100: substrate 110, 111, 210, 211: silicon carbide oxide film

113,213: 탄화산화 실리콘막 패턴120: 포토레지스트막113,213: silicon carbide oxide film pattern 120: photoresist film

123: 포토레지스트막 패턴125: 포토 마스크123: photoresist film pattern 125: photo mask

130: 캡핑막131: 캡핑막 패턴130: capping film 131: capping film pattern

140: 콘택홀150,250: 그루브140: contact hole 150, 250: groove

160,260: 베리어 메탈 패턴170: 콘택 플러그160,260: barrier metal pattern 170: contact plug

180,280:배선180,280: Wiring

상기 목적을 달성하기 위한 본 발명의 방법은, 기판에 탄화산화 실리콘막을 형성하는 단계, 탄화산화 실리콘막에 대한 플라즈마 처리를 실시하는 단계, 탄화산화 실리콘막을 패터닝하는 단계를 구비하여 이루어진다.A method of the present invention for achieving the above object comprises the steps of forming a silicon carbide film on a substrate, performing a plasma treatment on the silicon carbide film, and patterning the silicon carbide film.

본 발명에서, 탄화산화 실리콘막은 통상적으로 PECVD 같은 CVD 방법으로 형성되며 소오스 가스나 캐리어 가스로 질소 원자가 공급되는 환경에서 이루어진다.In the present invention, a silicon carbide oxide film is typically formed by a CVD method such as PECVD and is made in an environment where nitrogen atoms are supplied to a source gas or a carrier gas.

그리고, 탄화산화 실리콘막에 대한 플라즈마 처리 단계는 기판이 적치된 공정 챔버에 헬륨, 수소, 산화 질소(N20), 산소, 질소, 암모니아, 아르곤 가스 가운데 하나 이상을 조합하여 공급하는 방법으로 이루어질 수 있다. 이때, 이 조합 가스를 플라즈마화한 플라즈마 환경을 형성함으로써 플라즈마 처리가 이루어진다.The plasma treatment step of the silicon carbide film is performed by supplying a combination of one or more of helium, hydrogen, nitrogen oxide (N 2 0), oxygen, nitrogen, ammonia, and argon gas to a process chamber in which a substrate is placed. Can be. At this time, the plasma treatment is performed by forming a plasma environment in which the combination gas is converted into plasma.

특히, 본 발명에서 플라즈마 처리를 위해 수소 플라즈마를 이용하는 것이 바람직하다. 수소 플라즈마 처리 단계는 PECVD 조건에서 물질막 적층을 위한 소오스 가스 없이 수소 가스를 공급하여 플라즈마를 형성시키고 수소 플라즈마를 웨이퍼 표면에 작용시킴으로써 이루어질 수 있다.In particular, in the present invention, it is preferable to use hydrogen plasma for plasma treatment. The hydrogen plasma treatment step may be accomplished by supplying hydrogen gas without source gas for stacking material films under PECVD conditions to form a plasma and acting a hydrogen plasma on the wafer surface.

수소 플라즈마를 포함하여 플라즈마 인가는 PECVD 장비에서 탄화산화 실리콘막을 형성한 뒤 바로 인시튜(insitu) 방식으로 동일한 챔버에 수소 기타 플라즈마 소오스 가스를 주입하면서 실시하는 것이 공정 부담을 줄일 수 있으므로 바람직하다.Plasma application, including hydrogen plasma, is preferably performed by injecting hydrogen or other plasma source gas into the same chamber in situ immediately after forming a silicon carbide film in a PECVD apparatus, thereby reducing the process burden.

본 발명에서 플라즈마 처리가 이루어진 후 유기 폴리머 계열의 도포막이나, CVD로 형성된 산화막 혹은 질화막으로 이루어진 캡핑막을 형성하는 단계가 더 구비될 수 있다.After the plasma treatment is performed in the present invention, a step of forming an organic polymer-based coating film, or a capping film made of an oxide film or a nitride film formed by CVD may be further provided.

본 발명에서 플라즈마 처리가 이루어진 후 이루어지는 탄화산화 실리콘막을 패터닝 하는 단계에서 사용하는 포토리소그래피 공정은 포토레지스트 적층, 마스크 노광, 현상과 같은 통상의 포토리소그래피 공정이다. 패터닝을 통해 이루어지는 것은 단순히 콘택 홀인 경우와, 다마신 공정 등과 같이 배선 트랜치를 포함하는 경우를 생각할 수 있다.In the present invention, the photolithography process used in the step of patterning the silicon carbide oxide film formed after the plasma treatment is a conventional photolithography process such as photoresist lamination, mask exposure, and development. It is conceivable that what is done through patterning is simply a contact hole and a case including a wiring trench, such as a damascene process.

또한, 본 발명에서 플라즈마 처리 후 유기 폴리머 계열의 도포막이나 CVD 산화막이 더 적층되고 패터닝이 실시되는 경우는, 도전막의 적층 및 CMP가 후속적으로 더 이루어질 수 경우에 특히 유용하다.In addition, in the present invention, in the case where an organic polymer-based coating film or CVD oxide film is further laminated and patterned after the plasma treatment, the conductive film may be further laminated and CMP subsequently.

이하 도면을 참조하면서 실시예를 통해 볼 발명을 상세히 설명하기로 한다.Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

(실시예1)Example 1

도2 내지 도4는 본 발명의 일 예에서 각 단계의 기판 측단면 일부를 개략적으로 나타내는 공정 단면도이다.2 to 4 are cross-sectional views schematically showing a part of the side surface of the substrate in each step in an example of the present invention.

도2를 참조하면, 전 단계의 공정이 이루어진 기판(100) 상에 탄화산화 실리콘막(110)을 형성한다. 탄화산화 실리콘막(110)은 주로 층간 절연막으로 적층되며, PECVD 방법으로 형성하는 데,1 내지 10 Torr 정도의 압력과 300 내지 400℃의 온도 하에서 이루어진다. 소오스 가스로는 실리콘과 탄소를 공급하기 위한 트리 메칠 사일렌(trimethyl silane) 가스와 산소를 공급하기 위한 N2O, O2가스가 사용되고, 캐리어 가스로 질소나 아르곤 가스가 사용되었다. 이때, 트리 메칠 사일렌을 대신하여 모노(mono) 메칠 사일렌, 디(di) 메칠 사일렌, 테트라(tetra) 메칠 사일렌 등의 메칠 사일렌 계열이 사용되거나, 기타 유기 실리콘 가스가 사용될 수 있다.Referring to FIG. 2, a silicon carbide oxide film 110 is formed on a substrate 100 having a previous step. The silicon carbide oxide film 110 is mainly laminated with an interlayer insulating film, which is formed by a PECVD method, and is made under a pressure of about 1 to 10 Torr and a temperature of about 300 to 400 ° C. As the source gas, trimethyl silane gas for supplying silicon and carbon and N 2 O and O 2 gas for supplying oxygen are used, and nitrogen or argon gas is used as a carrier gas. At this time, instead of the tri-methyl silylene, a methyl xylene series such as mono-methyl silen, di-methyl silene, tetra-methyl silene may be used, or other organic silicon gas may be used. .

그리고, 탄화산화 실리콘막(110)이 형성된 기판(100)에 플라즈마 처리를 실시하여 플라즈마 처리된 탄화산화 실리콘막(111)을 형성한다. 플라즈마 처리 단계는 전단계의 탄화산화 실리콘막(110)이 형성된 PECVD 챔버에서 인 시튜로 이루어질 수 있다. 이때, 온도와 압력은 탄화산화 실리콘막(110)의 형성 조건과 유사한 1 내지 10 Torr 정도의 압력과 300 내지 400℃의 온도를 적용할 수 있으며, 플라즈마를 형성할 가스로는 헬륨, 수소, 산화 질소, 암모니아, 질소, 산소, 아르곤을 각각 혹은 조합하여 공급할 수 있다.Then, plasma treatment is performed on the substrate 100 on which the silicon carbide oxide film 110 is formed to form a plasma-treated silicon carbide oxide film 111. The plasma treatment step may be performed in situ in the PECVD chamber in which the silicon carbide oxide film 110 of the previous step is formed. At this time, the temperature and pressure may be applied to a pressure of about 1 to 10 Torr and a temperature of 300 to 400 ℃ similar to the conditions for forming the silicon carbide oxide film 110, the gas to form a plasma helium, hydrogen, nitrogen oxides , Ammonia, nitrogen, oxygen, argon may be supplied individually or in combination.

단, 플라즈마 처리용 가스는 이들 가스에 한정되는 것은 아니며, 탄화산화 실리콘막 표층에서 질소를 수소와 결합시켜 포화시키거나 질소를 제거시키거나 표층에 안정된 질소 화합물 기타 보호막을 형성시킬 수 있는 플라즈마 소오스 가스이면 가능하다. 플라즈마 처리의 시간은 10 내지 20 초 정도로 한다.However, the plasma processing gas is not limited to these gases, and a plasma source gas capable of combining nitrogen with hydrogen in the surface of the silicon carbide oxide film to saturate or remove nitrogen, or to form a stable nitrogen compound or other protective film on the surface of the silicon carbide oxide film. Is possible. The plasma treatment time is about 10 to 20 seconds.

도3을 참조하면, 플라즈마 처리된 탄화산화 실리콘막(111) 위에 화학 증폭형 포토레지스트막(120)이 스핀 코팅(spin coating) 방식으로 도포된다. 그리고 포토 마스크(125) 하에서 포토레지스트막(120)에 대한 노광이 이루어진다. 노광이 이루어진 부위에서는 광화학 반응이 일어나면서 양성 포토레지스터인 경우 센시타이져가 광화학 반응을 일으키면서 수소이온이 발생한다.Referring to FIG. 3, a chemically amplified photoresist film 120 is coated on a plasma treated silicon carbide oxide film 111 by a spin coating method. The photoresist film 120 is exposed to light under the photo mask 125. The photochemical reaction occurs at the exposed part, and in the case of the positive photoresist, the sensitizer causes the photochemical reaction to generate hydrogen ions.

후속적으로, 노광이 이루어진 기판은 온도 80℃ 정도의 노광 후 베이크를 거치면서 이미 발생한 수소이온에 의한 산성 환경과 열에 의해 노광된 영역의 포토레지스트의 레진 폴리머의 분해 반응이 활발히 이루어진다.Subsequently, the substrate subjected to the exposure is subjected to the baking after exposure at a temperature of about 80 ° C., and the decomposition reaction of the resin polymer of the photoresist in the region exposed by the heat and the acidic environment by the hydrogen ions already generated is actively performed.

도4를 참조하면, 노광 후 베이크를 실시하고 현상을 실시하여 포토레지스트 패턴(123)을 형성한다. 현상 단계에서 분해된 포토레지스트는 현상액에 용해되어 제거되고, 노광되지 않은 부분만 남아 포토레지스트 패턴(123)을 이루게 된다. 그리고 이 포토레지스트 패턴(123)을 식각 마스크로 하부의 탄화산화 실리콘막을 식각하여 콘택 홀 등이 형성된 탄화산화 실리콘막 패턴(113)을 형성한다.Referring to FIG. 4, a post-exposure bake is performed and development is performed to form a photoresist pattern 123. The photoresist decomposed in the developing step is dissolved in and removed from the developer, and only the unexposed portion remains to form the photoresist pattern 123. The silicon carbide film below is etched using the photoresist pattern 123 as an etch mask to form a silicon carbide film pattern 113 having contact holes or the like.

이후, 포토레지스트 패턴(123)은 제거되고 기판에 도전층이 적층되면서 탄화산화 실리콘막의 콘택 홀 같은 식각된 부분을 채우게 된다.Thereafter, the photoresist pattern 123 is removed and the conductive layer is stacked on the substrate to fill the etched portions such as contact holes of the silicon carbide oxide film.

한편, 아래의 표1은 탄화산화 실리콘막 형성 후 실시예와 같은 조건으로 몇 가지 형태의 플라즈마 처리를 실시한 결과로 나타나는 비유전율의 변화를 나타낸 것이며, 표2는 탄화산화 실리콘막 형성 후 헬륨 플라즈마 처리를 하는 경우에 시간 경과에 따른 유전율 변화를 나타낸 것이다.On the other hand, Table 1 below shows the change in the relative dielectric constant resulting from several types of plasma treatment under the same conditions as in the embodiment after the silicon carbide film is formed, Table 2 is a helium plasma treatment after the silicon carbide film is formed In the case of the dielectric constant change over time is shown.

플라즈마 처리의 종류Type of plasma treatment 측정된 비유전율Measured relative dielectric constant 처리하지 않은 경우(증착된 상태 그대로)If not processed (deposited) 2.842.84 헬륨(He) 플라즈마 처리Helium (He) plasma treatment 2.912.91 수소(H2) 플라즈마 처리Hydrogen (H 2 ) plasma treatment 2.872.87 산화 질소(N2O) 플라즈마 처리Nitric oxide (N 2 O) plasma treatment 2.912.91

플라즈마 처리 시간(헬륨 플라즈마 처리)Plasma Treatment Time (Helium Plasma Treatment) 측정된 비유전율Measured relative dielectric constant 0 (증착된 상태 그대로)0 (deposited) 2.842.84 20초20 seconds 2.912.91 40초40 seconds 2.912.91 60초60 seconds 2.902.90

이상의 표들에 나타난 결과를 보면, 표1의 각 플라즈마 종류에 따른 탄화산화 실리콘막의 비유전율 변화는 증가율 2% 이하로 낮다. 즉, 플라즈마 처리가 탄화산화 실리콘막의 비유전율에 큰 영향을 미치지 않고, 따라서 탄화산화 실리콘막을 층간 절연막으로 사용하는 이점을 계속 유지할 수 있음을 나타낸다. 도5a 내지 도5c는 각 종의 플라즈마 처리에 의해 얻어진 풋팅이 없는 포토레지스트 패턴을 보여주는 전자현미경 사진들이다.In the results shown in the above tables, the relative dielectric constant change of the silicon carbide oxide film according to each plasma type of Table 1 is low as the increase rate less than 2%. In other words, the plasma treatment does not significantly affect the relative dielectric constant of the silicon carbide oxide film, and accordingly, the advantage of using the silicon carbide oxide film as an interlayer insulating film can be maintained. 5A to 5C are electron micrographs showing the no-put photoresist pattern obtained by various plasma treatments.

또한, 표2를 고려하면, 플라즈마 처리의 시간에 따른 비유전율 변화도 2% 이내로 미미하여 플라즈마 처리 공정의 마아진을 충분히 가질 수 있음을 나타낸다. 그리고, 표에는 명확히 나타나지 않으나 통상 10초 정도의 처리시간이면 비유전율의 증가는 포화(saturation) 상태를 나타낸다.In addition, considering Table 2, the change in relative dielectric constant with time of the plasma treatment is also less than 2%, indicating that the margin of the plasma treatment process can be sufficient. In addition, although not clearly shown in the table, when the treatment time is about 10 seconds, the increase in the dielectric constant indicates a saturation state.

(실시예 2)(Example 2)

도5를 참조하면, MOSFET 같은 하부 구조가 형성된 기판(100)에 층간 절연막으로 탄화산화 실리콘막(110)을 형성한다. 탄화산화 실리콘막(110)은 PECVD로 형성하며, 소오스 가스로는 트리메칠 실렌인과 같이 유기(organic) 실레인이 사용된다. 이때, 통상적 조건은 온도 250 내지 400도씨, 압력 1 내지 10 Torr이며, 플라즈마 형성을 위한 고주파 전력은 8인치 웨이퍼용 매엽식 CVD 장비에서 13,6 MHz로 200watt 정도가 인가된다. 처리 시간은 탄화산화 실리콘막(110)의 두께에 따라 조절된다.Referring to FIG. 5, a silicon carbide film 110 is formed as an interlayer insulating film on a substrate 100 on which a substructure such as a MOSFET is formed. The silicon carbide oxide film 110 is formed by PECVD, and organic silane is used as the source gas such as trimethyl silane. At this time, a typical condition is a temperature of 250 to 400 degrees Celsius, a pressure of 1 to 10 Torr, the high-frequency power for plasma formation is applied to about 200watt at 13,6 MHz in 8-inch wafer single wafer CVD equipment. The processing time is adjusted according to the thickness of the silicon carbide oxide film 110.

도5 및 도6을 참조하면, 탄화산화 실리콘막(110)의 적층에 이어서 처리 가스로 수소를 공급하면서 수소 플라즈마를 발생시켜 탄화산화 실리콘막(110)이 형성된 기판(100) 표면에 작용시킨다. 수소 플라즈마 처리의 조건은 소오스 가스를 제외하고 PECVD막 형성과 유사한 조건을 적용하는 것이 바람직하다. 가령, 온도 250 내지 400도씨, 압력 1 내지 10 Torr, 플라즈마 형성을 위한 고주파 전력은 8인치 웨이퍼용 매엽식 CVD 장비에서 13,6 MHz로 200watt 정도를 인가하여 기판을 10초 내지 200초 동안 처리한다. 처리시간은 후속 공정의 처리 조건에 따라 달라질 수 있으나, 사후적으로 형성되는 반도체 장치의 특성을 조사하면 대략 30 내지 50초 정도의 처리시간을 통해 표면 처리가 준포화 상태에 이른 탄화산화 실리콘막(111)을 형성하게 된다.Referring to FIGS. 5 and 6, following the deposition of the silicon carbide oxide film 110, hydrogen plasma is generated while supplying hydrogen to the processing gas to act on the surface of the substrate 100 on which the silicon carbide oxide film 110 is formed. As for the conditions of the hydrogen plasma treatment, it is preferable to apply the conditions similar to the PECVD film formation except for the source gas. For example, a temperature of 250 to 400 degrees Celsius, a pressure of 1 to 10 Torr, and a high frequency power for plasma formation were applied at about 200 watts at 13,6 MHz in an 8-inch wafer single wafer CVD apparatus to process the substrate for 10 to 200 seconds. do. The treatment time may vary depending on the processing conditions of the subsequent process. However, when the characteristics of the semiconductor device formed after the post-treatment are examined, the silicon carbide oxide film whose surface treatment is semi-saturated through the processing time of about 30 to 50 seconds ( 111).

탄화산화 실리콘막에 수소 플라즈마를 작용시키면 실리콘 원자와 수산기의 결합 및 실리콘의 결합 공위(dangling bond)가 제거되어 표층은 실리콘 원자와 수소 원자의 결합된 구조를 많이 가지게 된다. 결과로서, 탄화산화 실리콘막의 기계적 강도와 다른 막과의 부착력이 증가한다.When hydrogen plasma is applied to the silicon carbide film, the bond between the silicon atom and the hydroxyl group and the dangling bond of the silicon are removed, and thus the surface layer has many bonded structures of the silicon atom and the hydrogen atom. As a result, the mechanical strength of the silicon carbide oxide film and the adhesion with other films increase.

도7을 참조하면, 수소 플라즈마 처리에 이어 소오스 가스를 TEOS 혹은 사일렌 가스로 바꾸어 PETEOS(Plasma Enhanced TetraEthylOrthoSilicate) 같은 산화막을 캡핑막(130)으로서 수소 플라즈마 처리된 탄화산화 실리콘막(111) 위에 얇게 형성한다. 도5 내지 도7의 과정은 동일한 PECVD 장비에서 인시튜(insitu) 방식으로 이루어지는 것이 공정 비용과 시간을 줄일 수 있으므로 바람직하다. 그 밖에도 캡핑막으로 실리콘 질화막, 산화질화 실리콘막, 탄화 실리콘막 등을 사용할 수 있다.Referring to FIG. 7, after the hydrogen plasma treatment, the source gas is changed to TEOS or xylene gas to form an oxide film such as PETEOS (Plasma Enhanced TetraEthylOrthoSilicate) as a capping layer 130 on the hydrogen plasma-treated silicon carbide film 111. do. 5 to 7 is preferably performed in situ in the same PECVD equipment because it can reduce the process cost and time. In addition, a silicon nitride film, a silicon oxynitride film, a silicon carbide film, or the like can be used as the capping film.

도7 및 도8을 참조하면, PETEOS 산화막이 캡핑막(130)으로 덮여있는 수소 플라즈마 처리된 탄화산화 실리콘막(111) 상부에 메탈 배선을 위한 그루브(150)를 형성한다. 그루브(150)의 저면의 일부를 이루는 콘택 영역에 콘택홀(140)을 형성하는 듀얼 다마신 방식을 취한다. 혹은, 먼저 콘택 플러그를 형성하고, 층간 절연막을 덮은 후, 콘택 플러그를 노출시키는 그루브를 형성할 수 있다. 그루브(150) 및 콘택홀(140) 형성은 포토리소그래피를 통해 미도시된 포토레지스트 패턴을 형성하는 단계와 이 포토레지스트 패턴을 식각 마스크로 캡핑막(130)과 탄화산화 실리콘막(111)을 선택적으로 식각하는 식각 단계로 통상 이루어진다. 이어서, 포토레지스트 패턴을 제거하기 위해 애싱을 사용한다. 이때, 탄화산화 실리콘막 패턴(113)은 식각되는 영역을 제외하고 캡핑막 패턴(131)으로 덮여 있으며, 수소 플라즈마 처리를 받아 애싱에 따른 산소 플라즈마의 작용을 받아도 저유전율을 유지하게 된다.Referring to FIGS. 7 and 8, a groove 150 for metal wiring is formed on the hydrogen plasma-treated silicon carbide oxide film 111 covered with the PETEOS oxide film by the capping film 130. The dual damascene method of forming the contact hole 140 in the contact area forming a part of the bottom surface of the groove 150 is adopted. Alternatively, the contact plug may be formed first, the interlayer insulating film may be covered, and then a groove for exposing the contact plug may be formed. The groove 150 and the contact hole 140 may be formed by forming a photoresist pattern (not shown) through photolithography and selectively capping the film 130 and the silicon carbide film 111 using the photoresist pattern as an etching mask. It usually consists of an etching step of etching. Subsequently, ashing is used to remove the photoresist pattern. In this case, the silicon carbide oxide film pattern 113 is covered with the capping film pattern 131 except for the region to be etched, and maintains a low dielectric constant even when subjected to the hydrogen plasma treatment to the action of the oxygen plasma.

도8 및 도9를 참조하면, 그루브(150) 및 콘택홀(140)이 형성된 기판에 Ti/TiN 베리어 메탈층과 배선용 CVD 텅스텐 금속층을 차례로 적층한다. 그리고, 금속층 및 베리어 메탈층을 CMP(Chemical Mechanical Polishing)를 통해 평탄화 식각하여 캡핑막 패턴(131)이 드러나도록 한다. 따라서, 그루브(150) 및 콘택홀(140)을 채우는 금속 패턴을 제외한 베리어 메탈층과 배선용 금속층은 제거되고, 배선(180) 및 콘택 플러그(170)가 형성된다. 금속층으로 이루어지는 배선(180) 및 콘택 플러그(170)와 탄화산화 실리콘막 패턴(113) 사이에는 베리어 메탈 패턴(160)이 존재한다. 캡핑막 패턴(131)은 탄화산화 실리콘막에 대한 수소 플라즈마 처리를 통해 탄화산화 실리콘막 패턴(113)의 표면에 단단히 부착되어 있으므로 CMP 과정에서 캡핑막 패턴(131)의 박리는 억제될 수 있다. 단, 캡핑막 패턴(131) 위에 적층된 베리어 메탈층은 금속층에 대한 평탄화 식각과는 별도의 후속적 식각을 통해 제거될 수도 있으며, 이때도 캡핑막 패턴(131)이 금속층에 대한 CMP 과정에서 베리어 메탈로 덮인 채 박리되는 것을 억제할 수 있다.8 and 9, the Ti / TiN barrier metal layer and the wiring CVD tungsten metal layer are sequentially stacked on the substrate on which the groove 150 and the contact hole 140 are formed. In addition, the capping layer pattern 131 is exposed by planarization of the metal layer and the barrier metal layer through chemical mechanical polishing (CMP). Accordingly, the barrier metal layer and the wiring metal layer except for the metal pattern filling the groove 150 and the contact hole 140 are removed, and the wiring 180 and the contact plug 170 are formed. The barrier metal pattern 160 is present between the wiring 180 and the contact plug 170 formed of the metal layer and the silicon carbide oxide film pattern 113. Since the capping film pattern 131 is firmly attached to the surface of the silicon carbide film pattern 113 through hydrogen plasma treatment with respect to the silicon carbide film, peeling of the capping film pattern 131 may be suppressed in the CMP process. However, the barrier metal layer stacked on the capping layer pattern 131 may be removed through subsequent etching separate from the planarization etching of the metal layer. In this case, the capping layer pattern 131 may be removed during the CMP process on the metal layer. Peeling can be suppressed while covering with metal.

(실시예3)Example 3

도10 및 도13은 SOG 방식으로 탄화산화 실리콘 층간 절연막을 형성하는 실시예의 몇 가지 중요 단계를 나타내는 단면도이다.10 and 13 are cross-sectional views showing some important steps of an embodiment of forming a silicon carbide interlayer insulating film in an SOG manner.

도10을 참조하면, 트랜지스터 구조가 형성된 기판(100)에 메칠실세스퀴옥센 (Methyl SilSesQuioxane)을 포함하는 졸(SOL) 형 물질을 도포하여 탄화산화 실리콘막(210)을 적층한다. 이때, 통상 도포방식으로 막을 형성한 뒤 용매성분을 제거하고 고형물질을 남기는 소프트 베이크와 경화된 탄화산화 실리콘막(210)을 형성하는 하드 베이크 과정을 거치게 된다.Referring to FIG. 10, a silicon carbide oxide film 210 is laminated by applying a sol (SOL) type material including methyl silsesquioxane to the substrate 100 on which the transistor structure is formed. At this time, after forming the film by a conventional coating method, the solvent is removed and a hard bake process is performed to form a hard bake and a hardened silicon carbide oxide film 210 that leaves a solid material.

도10 및 도11을 참조하면, 탄화산화 실리콘막(210)의 형성에 이어서 처리 가스로 수소를 공급하면서 수소 플라즈마를 발생시켜 탄화산화 실리콘막(210)이 형성된 기판(100) 표면에 작용시킨다. 초기의 탄화산화 실리콘막(210)에는 메칠기와 같은 유기기가 막의 실리콘 원자와 결합될 확률이 높으나, 수소 플라즈마 처리가 이루어진 탄화산화 실리콘막(211)에는 실리콘 원자와 수소 원자가 결합되는 확률이 높아진다. 따라서, 탄화산화 실리콘막의 유전율 상승과 기계적 손상의 문제를 감소시킬 수 있다.10 and 11, following formation of the silicon carbide oxide film 210, hydrogen plasma is generated while supplying hydrogen to the processing gas to act on the surface of the substrate 100 on which the silicon carbide oxide film 210 is formed. In the initial silicon carbide film 210, organic groups such as methyl groups are more likely to be bonded to the silicon atoms of the film, but silicon oxide and hydrogen atoms are more likely to be bonded to the silicon carbide film 211 subjected to the hydrogen plasma treatment. Therefore, it is possible to reduce problems of dielectric constant increase and mechanical damage of the silicon carbide oxide film.

도11 및 도12를 참조하면, 수소 플라즈마 처리된 탄화산화 실리콘막(211) 상부에 그루브(250)를 형성함으로써 탄화산화 실리콘막 패턴(213)이 형성된다. 좀 더 상세하게는, 먼저 탄화산화 실리콘막(211)에 미도시된 포토레지스트 패턴을 형성하고, 식각하여 배선 영역에 그루브(250)를 형성한다. 포토레지스트 패턴을 애싱으로 제거한다. 이때, 애싱을 위해 산소 플라즈마가 사용되나 탄화산화 실리콘막(211)은 이미 수소 플라즈마로 처리된 것이므로 애싱에 의한 탄화산화 실리콘막의 유전율 상승은 미미하게 된다.11 and 12, the silicon carbide oxide film pattern 213 is formed by forming the groove 250 on the hydrogen plasma treated silicon carbide oxide film 211. More specifically, first, a photoresist pattern (not shown) is formed on the silicon carbide oxide film 211, and the groove 250 is formed in the wiring region by etching. The photoresist pattern is removed by ashing. At this time, the oxygen plasma is used for ashing, but since the silicon carbide film 211 is already treated with hydrogen plasma, the dielectric constant increase of the silicon carbide oxide film by ashing is insignificant.

도12 및 도13을 참조하면, 그루브(250)에 베리어 메탈과 구리 금속을 채워 배선을 형성한다. 이로써 다마신 공정을 완성한다. 좀 더 상세하게는, 탄화산화 실리콘막 패턴(213) 위로 베리어 메탈층과 구리 금속층을 적층하여 그루브(250)를 채운다. 그루브(250)를 벗어나 탄화산화 실리콘막 패턴(213) 위에 적층된 베리어 메탈층과 구리 금속층은 CMP를 이용하여 제거된다. 따라서, 탄화산화 실리콘막 패턴(213) 상면이 드러나고, 베리어 메탈 패턴(260)과 금속 패턴(280)으로 이루어진 배선이 형성 된다. 탄화산화 실리콘막 패턴(213)은 이미 표면이 수소 플라즈마 처리되어 기계적 삭박에 강하므로 CMP를 실시하는 과정에도 쉽게 손상되지 않는다. 따라서, 이 과정에서의 비정상적인 박리에 의한 파티클 발생을 방지하고, 반도체 장치의 공정 불량을 방지할 수 있다.12 and 13, the wire 250 is filled with the barrier metal and the copper metal in the groove 250. This completes the damascene process. More specifically, the barrier metal layer and the copper metal layer are stacked on the silicon carbide oxide film pattern 213 to fill the groove 250. The barrier metal layer and the copper metal layer stacked off the groove 250 and stacked on the silicon carbide oxide film pattern 213 are removed using CMP. Accordingly, the upper surface of the silicon carbide oxide film pattern 213 is exposed, and a wiring including the barrier metal pattern 260 and the metal pattern 280 is formed. Since the surface of the silicon carbide film pattern 213 is already subjected to hydrogen plasma treatment and resistant to mechanical cutting, the silicon carbide film pattern 213 is not easily damaged even during a CMP process. Therefore, it is possible to prevent the generation of particles due to abnormal peeling in this process, and to prevent the process failure of the semiconductor device.

도14는 층간 절연막으로 불소(F) 성분을 포함하는 실리콘 산화막(FSG), 막 형성 후 산소 플라즈마로 애싱 처리된 탄화산화 실리콘막 (SiOC), 막 형성 후 산소플라즈마 처리 전에 수소 플라즈마 처리된 본 발명의 탄화산화 실리콘막 (SiOC)의 예 각각을 층간 절연막으로 사용하는 경우에 대한 반도체 장치의 기생 캐퍼시터 값을 비교할 수 있도록 나타낸 그래프이다. 이 그래프에 따르면, 저유전막으로 탄화산화 실리콘막을 형성하고 산소 플라즈마 애싱에 노출되는 경우 불소 함유 실리콘 산화막과 같거나 조금 낮은 정도의 비유전율 가지게 된다. 그러나, 애싱 전에 본 발명과 같이 수소 플라즈마 처리를 하는 경우, 이후 애싱에서 산소 플라즈마에 노출되는 경우에도 불소 함유 실리콘 산화막에 비해 낮은, 최초 형성시와 동등한 수준의 비유전율을 유지함을 알 수 있다. 그래프상에서 본 발명에 따를 경우, 수소 플라즈마 처리를 하지 않은 종래의 탄화산화 실리콘막에 비해 15 내지 30% 정도의 기생 정전 용량의 감소가 있다.14 is a silicon oxide film (FSG) containing a fluorine (F) component as an interlayer insulating film, a silicon carbide oxide film (SiOC) ashed with oxygen plasma after film formation, and hydrogen plasma treatment before oxygen plasma treatment after film formation. The graph shows so that parasitic capacitor values of a semiconductor device can be compared with respect to the case where each of the examples of the silicon carbide oxide film (SiOC) is used as an interlayer insulating film. According to this graph, when a silicon carbide oxide film is formed of a low dielectric film and exposed to oxygen plasma ashing, the dielectric constant is about the same as or slightly lower than that of a fluorine-containing silicon oxide film. However, it can be seen that when the hydrogen plasma treatment is carried out like the present invention before ashing, even when exposed to the oxygen plasma in ashing, the relative dielectric constant which is lower than that of the initial formation is lower than that of the fluorine-containing silicon oxide film. According to the present invention on the graph, there is a reduction in parasitic capacitance of about 15 to 30% compared to the conventional silicon carbide oxide film not subjected to hydrogen plasma treatment.

다음 표는 실험용 웨이퍼 전면에 탄화산화 실리콘막을 5000 옹스트롬 두께로 형성하고, 캡핑막을 적층한 뒤 두 가지 종류의 슬러리로 CMP를 실시할 경우 캡핑막 박리가 이루어지는 지의 여부를 조사한 결과이다. 이때, 조사는 탄화산화 실리콘막(BD) 위에 직접 (1) 불소 함유 실리콘 산화막(FSG), (1) TEOS 가스를 사용한 PECVD 산화막 (PETEOS) 혹은 (3) 사일렌 가스를 사용한 PECVD 산화막(PEOX)을 캡핑한 경우와, (4) 암모니아 플라즈마 처리 후 TEOS 가스를 사용한 산화막 (NH3PLASMA+TEOS)을 캡핑한 경우 및 (5) 수소 플라즈마 처리 후 TEOS 가스를 사용한 산화막 (H2PLASMA+TEOS)을 캡핑한 경우에 대해 이루어진다.The following table shows the results of investigating whether the silicon carbide film was formed to a thickness of 5000 angstroms on the entire surface of the experimental wafer, and the capping film was peeled off when the CMP was carried out with two kinds of slurry after the capping film was laminated. In this case, the irradiation is carried out on (1) fluorine-containing silicon oxide film (FSG), (1) PECVD oxide film (PETEOS) using TEOS gas, or (3) PECVD oxide film (PEOX) directly using silicon carbide film BD. And (4) capped oxide film (NH 3 PLASMA + TEOS) using TEOS gas after ammonia plasma treatment and (5) capped oxide film (H 2 PLASMA + TEOS) using TEOS gas after hydrogen plasma treatment. This is done for the case of capping.

슬러리/캡핑Slurry / capping FSGFSG PETEOSPETEOS PEOXPEOX NH3PLASMA+TEOSNH 3 PLASMA + TEOS H2PLASMA+TEOSH 2 PLASMA + TEOS 슬러리1Slurry1 정상normal 전면박리Peeling 박리Peeling 박리Peeling 정상normal 슬러리2Slurry2 정상normal 박리Peeling 박리Peeling 정상normal 정상normal

인라인 셈(ILS:In Line SEM)을 이용한 검사에 따르면, 주요 공정 결함은 마이크로 스크래치(micro scratch)이다. 결과적으로, 캡핑막을 형성하기 전에 플라즈마로 표면을 처리할 경우 캡핑막의 박리를 방지할 수 있으며, 특히 본 발명과 같이 수소 플라즈마로 표면을 처리할 경우, 박리를 보다 신뢰성 있게 방지할 수 있다.According to inspection using In Line SEM (ILS), the major process defect is micro scratch. As a result, the peeling of the capping film can be prevented when the surface is treated with plasma before the capping film is formed. In particular, when the surface is treated with hydrogen plasma as in the present invention, the peeling can be more reliably prevented.

(실시예 4)(Example 4)

본 실시예에서는 먼저, 탄화산화 실리콘막을 기판에 층간 절연막으로 형성한다. 이때, 형성 방법은 도포방식 혹은 PECVD 등의 CVD 방식이 가능하나 PECVD 방식이 바람직하다. 그리고, PECVD를 실시하는 분위기와 동일한 분위기로 성막을 위한 소오스 가스를 제외한 플라즈마 처리용 가스를 투입한다. 따라서, 암모니아, 수소, 질소, 산소 등의 가스로부터 플라즈마가 발생하여 웨이퍼 표면에 작용하게 된다. 탄화산화 실리콘막의 표면은 변성된다. 변성된 표면 위로 유기 폴리머 계열의 막을 형성한다. 유기 폴리머 계열의 막은 도포 방식으로 형성하며, 도포 후에 400 내지 450도씨의 큐어링 과정을 통해 막을 경화시키게 된다. 유기 폴리머 막으로는 상품명 SiLK나 상품명 FLARE 등 반도체 층간절연막의 열적, 기계적 특성을 가지며, 저유전율을 가질 수 있는 물질을 사용한다. 이때, 탄화산화 실리콘막에 대한 플라즈마 처리에 의해 유기 폴리머 막은 균일하게 탄화산화 실리콘막 위에 도포될 수 있다.In this embodiment, first, a silicon carbide oxide film is formed on the substrate as an interlayer insulating film. At this time, the forming method may be a coating method or a CVD method such as PECVD, but PECVD is preferable. Then, the plasma processing gas is added to the same atmosphere as the PECVD process except for the source gas for film formation. Thus, plasma is generated from gases such as ammonia, hydrogen, nitrogen, and oxygen to act on the wafer surface. The surface of the silicon carbide oxide film is modified. An organic polymer-based film is formed on the modified surface. The organic polymer-based film is formed by a coating method, and the film is cured through a curing process of 400 to 450 degrees after application. As the organic polymer film, a material having the thermal and mechanical properties of the semiconductor interlayer insulating film such as the trade name SiLK and the trade name FLARE and having a low dielectric constant is used. In this case, the organic polymer film may be uniformly coated on the silicon carbide oxide film by plasma treatment of the silicon carbide oxide film.

탄화산화 실리콘막과 유기 폴리머 막이 연속 적층되어 층간 절연막이 형성되면, 드러난 유기 폴리머 막에 대해 배선용 트렌치를 형성하는 식각이 실시된다. 식각은 하부 층간절연막을 이루는 탄화산화 실리콘막이 드러날 때까지 이루어진다. 다음으로, 배선용 트렌치의 일부 영역에서 트렌치 저면에 드러난 탄화산화 실리콘막을 식각하는 패터닝 작업으로 콘택 홀이 형성된다. 두 막질의 차이에 의해 트렌치 식각의 시점을 결정하는 데 공정 마아진을 높일 수 있다. 콘택 홀과 배선용 트랜치를 채우는 금속 CVD 작업이 이루어진다. 이때 금속층으로는 저항을 낮출 수 있는 구리나 채움성이 좋은 텅스텐 등을 적층하여 사용할 수 있다. 금속층은 유기 폴리머 막 상에도 적층된다. 따라서, 배선을 완성하기 위해 CMP를 통해 유기 폴리머 막 위에 적층된 금속층을 제거하고 트렌치 및 콘택 홀에만 금속층을 남기게 된다.When the silicon carbide oxide film and the organic polymer film are successively stacked to form an interlayer insulating film, etching is performed to form wiring trenches for the exposed organic polymer film. Etching is performed until the silicon carbide oxide film forming the lower interlayer insulating film is exposed. Next, a contact hole is formed by patterning to etch the silicon carbide oxide film exposed on the bottom surface of the trench in a portion of the wiring trench. The difference between the two membranes can increase process margins in determining the timing of trench etching. Metal CVD is performed to fill the contact holes and wiring trenches. At this time, as the metal layer, copper, tungsten, or the like, which can lower the resistance, may be laminated. The metal layer is also laminated on the organic polymer film. Thus, to complete the wiring, the metal layer deposited on the organic polymer film is removed through the CMP, and the metal layer is left only in the trenches and contact holes.

이상의 예와 같이 콘택이 형성될 경우, 탄화산화 실리콘막의 낮은 비유전율로 인하여 콘택 형성 밀도가 높은 경우에도 콘택 플러그 사이의 기생 캐퍼시턴스 증가를 억제할 수 있다. 또한, 층간 절연막의 두께가 얇은 경우에도 상하층 배선 사이의 기생 캐퍼시턴스를 줄일 수 있다.As described above, when the contact is formed, the parasitic capacitance between the contact plugs can be suppressed even when the contact formation density is high due to the low dielectric constant of the silicon carbide oxide film. In addition, even when the thickness of the interlayer insulating film is thin, parasitic capacitance between the upper and lower layer wirings can be reduced.

본 발명에 따르면, 반도체 장치에서 탄화산화 실리콘막을 패터닝 하면서 종래의 풋팅 현상을 방지하여 탄화산화 실리콘막 위에 명확한 포토레지스트 패턴을 형성할 수 있다. 결국 탄화산화 실리콘막에 미세한 패턴을 정확하게 형성할 수 있으며, 층간 배선 사이의 혹은 콘택 플러그 사이의 기생 캐퍼시턴스를 효과적으로 억제할 수 있다.According to the present invention, it is possible to form a clear photoresist pattern on the silicon carbide oxide film by preventing the conventional putting phenomenon while patterning the silicon carbide film in the semiconductor device. As a result, fine patterns can be accurately formed in the silicon carbide oxide film, and parasitic capacitance between interlayer wiring or between contact plugs can be effectively suppressed.

Claims (20)

기판에 탄화산화 실리콘막을 형성하는 단계,Forming a silicon carbide oxide film on the substrate, 상기 탄화산화 실리콘막에 대한 플라즈마 처리를 실시하는 단계,Performing a plasma treatment on the silicon carbide oxide film; 플라즈마 처리된 상기 탄화산화 실리콘막 위에 포토레지스트를 적층하고 패턴닝하는 단계를 구비하여 이루어지는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And depositing and patterning photoresist on the plasma-treated silicon carbide oxide film. 제 1 항에 있어서,The method of claim 1, 상기 탄화산화 실리콘막은 CVD 방법으로 형성되며,The silicon carbide oxide film is formed by a CVD method, 상기 탄화산화 실리콘막 형성 과정에서 소오스 가스 또는 캐리어 가스로 질소 원자를 포함하는 가스가 공급됨을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.A method of forming a semiconductor device having a low dielectric constant interlayer insulating film, wherein a gas containing nitrogen atoms is supplied to a source gas or a carrier gas in the process of forming the silicon carbide oxide film. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 플라즈마 처리 단계는 상기 기판이 적치된 공정 챔버에 헬륨, 수소, 산화 질소(N20), 산소, 아르곤 가스 가운데 적어도 하나를 공급하면서 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.Wherein the plasma processing step is a semiconductor device forming method having a low dielectric constant interlayer insulating film characterized in that the supply of at least one of helium, hydrogen, nitrogen oxides (N 2 O), oxygen, argon gas to the process chamber on which the substrate is stacked . 제 1 항에 있어서,The method of claim 1, 상기 탄화산화 실리콘막을 형성하는 단계와 상기 플라즈마 처리 단계는 PECVD용 공정 챔버에서 인 시튜(in situ)로 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming said silicon carbide oxide film and said plasma treatment step are in situ in a process chamber for PECVD. 제 1 항 또는 제 4 항에 있어서,The method according to claim 1 or 4, 상기 탄화산화 실리콘막 형성 단계 및 상기 플라즈마 처리 단계는 압력 1 내지 10 Torr, 온도 300 내지 400 ℃인 환경에서 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming the silicon carbide oxide film and performing the plasma treatment step under a pressure of 1 to 10 Torr and a temperature of 300 to 400 ° C. 제 1 항에 있어서,The method of claim 1, 상기 탄화산화 실리콘막 형성 단계에서 탄소 및 실리콘의 소오스 가스로 사일렌(silane)에서 하나 이상의 수소기가 메칠기(CH3-)로 치환된 메칠 사일렌 계열의 가스를 사용하고, 산소의 소오스 가스로 산화 질소(N2O) 또는 산소를 사용함을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.In the forming of the silicon carbide film, a gas of carbon and silicon is used as a gas of oxygen and a gas of silicon xylene-based in which at least one hydrogen group is substituted with a methyl group (CH 3 −) in xylene. A method of forming a semiconductor device having a low dielectric constant interlayer insulating film, characterized by using nitrogen oxide (N 2 O) or oxygen. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트는 노광(light exposure)시 수소이온(H+)을 발생시키는 화학 증폭형 포토레지스트인 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And the photoresist is a chemically amplified photoresist that generates hydrogen ions (H +) during light exposure. 제 1 항 또는 제 7 항에 있어서,The method according to claim 1 or 7, 적층된 상기 포토레지스트를 패터닝하는 단계는Patterning the stacked photoresist is 포토 마스크하에서 광원에 노출시키는 노광 단계,An exposure step of exposing to a light source under a photo mask, 노광 후 베이크(post exposure bake) 단계,Post exposure bake step, 현상 단계를 구비하여 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.A semiconductor device forming method having a low dielectric constant interlayer insulating film, characterized by comprising a developing step. 기판에 탄화산화 실리콘막(SiOC)을 적층하는 단계,Stacking a silicon carbide oxide film (SiOC) on a substrate; 상기 탄화산화 실리콘막에 플라즈마 처리를 하는 단계 및Performing plasma treatment on the silicon carbide oxide film; and 상기 탄화산화 실리콘막에 다마신 공정을 통해 배선을 형성하는 단계를 구비하여 이루어지는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming a wiring through the damascene process in the silicon carbide oxide film. 제 9 항에 있어서,The method of claim 9, 상기 플라즈마 처리 단계에서 수소 플라즈마를 사용하고,Using hydrogen plasma in the plasma treatment step, 상기 플라즈마 처리 단계와;The plasma processing step; 상기 배선을 형성하는 단계 사이에;Between the steps of forming the wiring; 상기 탄화산화 실리콘막 위에 캡핑용 절연막을 형성하는 단계가 더 구비되는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming a capping insulating film on the silicon carbide oxide film. 제 10 항에 있어서,The method of claim 10, 상기 절연막은 사일렌 혹은 TEOS(TetraEthylOrthoSilicate) 가스를 소오스 가스로 하는 PECVD막으로 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.The insulating film is a semiconductor device forming method having a low dielectric constant interlayer insulating film, characterized in that made of a PECVD film made of a source gas of xylene or TEE (TetraEthylOrthoSilicate) gas. 제 10 항에 있어서,The method of claim 10, 상기 수소 플라즈마 처리 단계는 온도 250 내지 400도씨, 압력 1 내지 10 Torr, 수소 분위기에서 고주파 전계를 인가시키면서 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.The hydrogen plasma processing step is a semiconductor device forming method having a low dielectric constant interlayer insulating film, characterized in that while applying a high-frequency electric field in a temperature of 250 to 400 degrees Celsius, pressure 1 to 10 Torr, hydrogen atmosphere. 제 10 항에 있어서,The method of claim 10, 상기 다마신 공정을 통해 배선을 형성하는 단계는;Forming a wiring through the damascene process is; 상기 탄화산화 실리콘막 위로 포토레지스트 패턴을 형성하는 단계,Forming a photoresist pattern on the silicon carbide film; 상기 포토레지스트 패턴을 식각 마스크로 상기 탄화산화 실리콘막 상부에 그루브를 형성하는 단계,Forming a groove on the silicon carbide oxide film using the photoresist pattern as an etching mask; 상기 그루브가 형성된 기판에 상기 포토레지스트 패턴을 산소 플라즈마를 이용한 애싱(ashing)으로 제거하는 단계,Removing the photoresist pattern on the substrate on which the groove is formed by ashing using oxygen plasma; 상기 그루브가 형성된 기판에 베리어 메탈과 배선 금속층을 차례로 적층하여 상기 그루브를 채우는 단계,Filling the groove by sequentially laminating a barrier metal and a wiring metal layer on the substrate on which the groove is formed; CMP(Chemical Mechnical Polishing)를 이용하여 상기 탄화산화 실리콘 상면에 적층된 배선 금속층을 제거하는 단계를 구비하여 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And removing the wiring metal layer laminated on the silicon carbide oxide upper surface by using chemical mechanical polishing (CMP). 제 13 항에 있어서,The method of claim 13, 상기 배선 금속층은 구리로 형성하는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And the wiring metal layer is formed of copper. 제 10 항에 있어서,The method of claim 10, 상기 다마신 공정은 상기 그루브를 먼저 형성하고,The damascene process first forms the grooves, 상기 그루브의 특정 영역에 콘택 홀을 형성하는 듀얼 다마신 방식으로 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming a contact hole in a specific region of said groove. 제 10 항에 있어서,The method of claim 10, 상기 탄화산화 실리콘막은 SOG(Spin On Glass) 방식으로 형성되는 것임을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And the silicon carbide oxide film is formed using a spin on glass (SOG) method. 제 9 항에 있어서,The method of claim 9, 상기 플라즈마 처리 단계와;The plasma processing step; 상기 배선을 형성하는 단계 사이에;Between the steps of forming the wiring; 상기 탄화산화 실리콘막 위에 도포 방식을 이용하는 유기 폴리머 막을 형성하는 단계가 더 구비되어 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.A method of forming a semiconductor device having a low dielectric constant interlayer insulating film, further comprising the step of forming an organic polymer film using a coating method on the silicon carbide film. 제 17 항에 있어서,The method of claim 17, 상기 기판에 탄화산화 실리콘막을 적층하는 단계는 SOG 방식 (도포 방식) 이나 PECVD 방식으로 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And depositing a silicon carbide oxide film on the substrate using an SOG method (coating method) or a PECVD method. 제 17 항에 있어서,The method of claim 17, 상기 유기 폴리머 막을 형성하는 단계는 도포 방식으로 기판에 막을 형성하고 400 내지 450℃의 고온에서 큐어링을 실시하여 형성하는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.The forming of the organic polymer film is a method of forming a semiconductor device having a low dielectric constant interlayer insulating film, characterized in that formed by forming a film on the substrate by a coating method and curing at a high temperature of 400 to 450 ℃. 제 17 항에 있어서,The method of claim 17, 상기 다마신 공정은 상기 유기 폴리머 막에 패터닝 공정을 통해 그루브를 형성하는 단계와The damascene process may include forming a groove on the organic polymer film through a patterning process; 상기 그루브의 특정 영역에서 패터닝 공정을 통해 상기 탄화산화 실리콘막에 콘택 홀을 형성하는 단계를 가지는 듀얼 다마신 방식으로 이루어지는 것을 특징으로 하는 저유전율 층간 절연막을 가지는 반도체 장치 형성 방법.And forming a contact hole in the silicon carbide oxide film through a patterning process in a specific region of the groove, the semiconductor device forming method having a low dielectric constant interlayer insulating film.
KR10-2001-0036933A 2000-12-08 2001-06-27 METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER KR100391992B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/994,508 US6936533B2 (en) 2000-12-08 2001-11-27 Method of fabricating semiconductor devices having low dielectric interlayer insulation layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020000074836 2000-12-08
KR20000074836 2000-12-08

Publications (2)

Publication Number Publication Date
KR20020045494A true KR20020045494A (en) 2002-06-19
KR100391992B1 KR100391992B1 (en) 2003-07-22

Family

ID=27680675

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0036933A KR100391992B1 (en) 2000-12-08 2001-06-27 METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER

Country Status (1)

Country Link
KR (1) KR100391992B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202160B2 (en) 2003-07-28 2007-04-10 Samsung Electronics, Co., Ltd. Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
KR100772699B1 (en) * 2005-12-27 2007-11-02 주식회사 하이닉스반도체 Method for forming semiconductor device
KR100854809B1 (en) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 Method for processing substrate
US7867867B2 (en) 2005-11-07 2011-01-11 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US7972941B2 (en) 2007-07-02 2011-07-05 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101138075B1 (en) * 2004-12-29 2012-04-24 매그나칩 반도체 유한회사 Method for Forming Dual Damascene Pattern

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2906489B2 (en) * 1989-11-13 1999-06-21 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JP3303788B2 (en) * 1998-08-31 2002-07-22 日本電気株式会社 Method for manufacturing electrode structure of semiconductor integrated circuit
KR100312985B1 (en) * 1998-12-30 2002-01-17 박종섭 method for fabricating semiconductor device
KR20010063857A (en) * 1999-12-24 2001-07-09 박종섭 A method for forming a fine pattern of a semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202160B2 (en) 2003-07-28 2007-04-10 Samsung Electronics, Co., Ltd. Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
KR100854809B1 (en) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 Method for processing substrate
KR100900587B1 (en) * 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 Method for processing substrate
US7867867B2 (en) 2005-11-07 2011-01-11 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US8237202B2 (en) 2005-11-07 2012-08-07 Samsung Electronics Co., Ltd. Semiconductor devices including dehydrogenated interlayer dielectric layers
KR100772699B1 (en) * 2005-12-27 2007-11-02 주식회사 하이닉스반도체 Method for forming semiconductor device
US7972941B2 (en) 2007-07-02 2011-07-05 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
KR100391992B1 (en) 2003-07-22

Similar Documents

Publication Publication Date Title
US6936533B2 (en) Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US6573175B1 (en) Dry low k film application for interlevel dielectric and method of cleaning etched features
KR100518700B1 (en) Electronic device manufacturing method
US8092703B2 (en) Manufacturing method of semiconductor device
JP5382990B2 (en) Method for forming an interconnect structure
US6939797B2 (en) Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
EP1445797B1 (en) Chemical treatment of low-k dielectric films
US7960826B2 (en) Dielectric layer structure
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
JP2001077196A (en) Manufacture of semiconductor device
US20040152296A1 (en) Hexamethyldisilazane treatment of low-k dielectric films
US7064060B2 (en) Method for manufacturing semiconductor device
US10453700B2 (en) Low damage low-k dielectric etch
JP2006128543A (en) Method for manufacturing electronic device
WO2004090974A1 (en) Electronic device and its manufacturing method
US20070249164A1 (en) Method of fabricating an interconnect structure
TWI767096B (en) Method for manufacturing semiconductor device
KR100391992B1 (en) METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER
US20030186529A1 (en) Method of manufacturing semiconductor device having opening
JP4698813B2 (en) Semiconductor device and manufacturing method thereof
KR100773754B1 (en) Method of depositing dielectric layer with increased gap-fill ability

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110705

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee