KR20020035737A - Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device - Google Patents

Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device Download PDF

Info

Publication number
KR20020035737A
KR20020035737A KR1020010042688A KR20010042688A KR20020035737A KR 20020035737 A KR20020035737 A KR 20020035737A KR 1020010042688 A KR1020010042688 A KR 1020010042688A KR 20010042688 A KR20010042688 A KR 20010042688A KR 20020035737 A KR20020035737 A KR 20020035737A
Authority
KR
South Korea
Prior art keywords
door
carrier
load port
substrate processing
wafer
Prior art date
Application number
KR1020010042688A
Other languages
Korean (ko)
Inventor
도꾸나가겐지
기모또신요
Original Assignee
고미야 히로요시
가부시끼가이샤 한도따이 센단 테크놀로지스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 고미야 히로요시, 가부시끼가이샤 한도따이 센단 테크놀로지스 filed Critical 고미야 히로요시
Publication of KR20020035737A publication Critical patent/KR20020035737A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

PURPOSE: To obtain a highly reliable substrate processing system, by suppressing dust from being generated from its wafer carrier. CONSTITUTION: In the substrate processing system, by applying a negative pressure to the inside of a carrier door 2 of a wafer carrier through a hole 23 provided in the carrier door 2 or through latch-key holes 5, foreign matters are so sucked as to prevent them from going out from latch opening portions to the external.

Description

웨이퍼 캐리어, 기판 처리 장치, 기판 처리 시스템, 기판 처리 방법 및 반도체 장치{Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device}Wafer Carrier, Substrate Processing System, Substrate Processing System, Substrate Processing System, Substrate Processing Method and Semiconductor Device

본 발명은 기판을 수납ㆍ운반하는 웨이퍼 캐리어와 이 웨이퍼 캐리어를 조합하여 사용하는 기판 처리 장치, 및 이들을 조합한 기판 처리 시스템 및 기판 처리 방법에 관하는 것이다.This invention relates to the wafer carrier which accommodates and carries a board | substrate, the substrate processing apparatus using this wafer carrier in combination, the substrate processing system and substrate processing method which combined these.

본 발명은 전형예로서는 반도체 제조시에 웨이퍼 수납을 하기 위한 밀폐식 웨이퍼 캐리어 및 이를 개폐하는 로드 포트 기구에 관한 것이며, 이하 반도체 제조의 경우를 예로 들어 설명한다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a hermetically sealed wafer carrier for wafer storage during semiconductor manufacturing and a load port mechanism for opening and closing the same.

도10은 반도체 제조시에 이용되는 기존의 횡 도어 일체형의 웨이퍼 캐리어(기판 수납 지그)를 설명하기 위한 사시도이다. 이것은, 예를 들어 FLUOROWARE(플루오로웨어)사가 제조한 카탈로그에 기재되어 있는 것이다. 이러한 형태의 것은 SEMI 규격이며 FOUP라 부르고 있다. FOUP라 함은 프론트 오프닝 유니파이드 포드(Front Opening Unified Pod)의 약자이다. 상세한 치수 등의 정보는 SEMI 규격 E57, E1.9, E47.1 등에 기재되어 있다.Fig. 10 is a perspective view for explaining a conventional side door integrated wafer carrier (substrate storage jig) used in semiconductor manufacturing. This is described, for example, in the catalog manufactured by FLUOROWARE (Fluoroware). This type is SEMI standard and is called FOUP. FOUP stands for Front Opening Unified Pod. Detailed dimensions and other information are described in SEMI standards E57, E1.9, E47.1 and the like.

도10에 있어서, P100은 웨이퍼 캐리어, P1은 웨이퍼 캐리어의 캐리어 셸, P2는 표면의 일부를 절결한 캐리어 도어를 나타낸다. 이 웨이퍼 캐리어(P100)는 종래 사용되어 온 오픈 카세트(SEMI 규격 E1.9타 8인치 이전)와는 달리, 밀폐 공간 내에 웨이퍼를 보유 지지함으로써, 대기중의 이물질이나 화학적인 오염으로부터 웨이퍼를 방어하는 것이다.In Fig. 10, P100 represents a wafer carrier, P1 represents a carrier shell of the wafer carrier, and P2 represents a carrier door in which part of the surface is cut out. Unlike the conventionally used open cassette (SEMI standard E1.9 other 8 inches or older), the wafer carrier P100 holds the wafer in a sealed space to protect the wafer from foreign matter or chemical contamination in the air. .

캐리어 셸(1)에는 내부에 웨이퍼를 착좌시키기 위한 웨이퍼 티스(P18)를 구비하고 있다. 또, 캐리어 도어(P2)에는 이를 포착하기 위한 래치 키이 구멍(P5), 캐리어 셸(1)과의 사이의 밀폐성을 유지하기 위한 밀봉재(패킹)(P8), 캐리어 셸에 결합하기 위한 도어 클램핑 기구(스톱퍼 기구)(P9), 웨이퍼 압박용 리테이너(P10) 등을 구비하고 있다.The carrier shell 1 is provided with a wafer tooth P18 for seating the wafer therein. In addition, the carrier door P2 has a latch key hole P5 for capturing it, a sealing material (packing) P8 for maintaining hermeticity with the carrier shell 1, and a door clamping mechanism for engaging the carrier shell. (Stopper mechanism) P9, wafer pressing retainer P10, and the like.

이러한 웨이퍼 캐리어(P100)에서는 캐리어 도어(P2)를 캐리어 셸(P1)에 고정하기 위해서 도어 클램핑 기구(P9)(스톱퍼 기구)가 필요하고, 이 때문에 복잡한 구조를 갖고, 도어에 구멍을 뚫을 필요가 있었다. 또한, 캐리어 셸(P1)측에도 도어고정용의 상대하는 클램프되는 구멍이나, 두께부, 밀봉부를 필요로 하고 있었다.In such a wafer carrier P100, the door clamping mechanism P9 (stopper mechanism) is required to fix the carrier door P2 to the carrier shell P1. Therefore, the wafer carrier P100 has a complicated structure and a hole in the door needs to be drilled. there was. Moreover, the hole to be clamped, the thickness part, and the sealing part for door fixing also needed to the carrier shell P1 side.

한편, 이러한 웨이퍼 캐리어를 기판 처리 장치(반도체 제조 장치) 부분에서 정지시키고, 캐리어 도어를 개폐하여 웨이퍼의 출납을 행하기 위해서, SEMI 규격으로 규정된 FIMS 면을 갖는 로드 포트가 필요해진다. 여기서, FIMS는 프론트 오프닝 인터페이스 메카니컬 스텐다드(Front-opening Interface Mechanical Standard)의 약자이다.On the other hand, in order to stop such a wafer carrier in the part of a substrate processing apparatus (semiconductor manufacturing apparatus), open and close a carrier door, and load and unload a wafer, a load port having a FIMS surface defined in the SEMI standard is required. Here, FIMS is an abbreviation of front opening interface mechanical standard.

로드 포트는 기판 처리 장치 내의 미니 환경을 외부로부터 분리하기 위한 벽면(하우징면)과, 로드 포트 베이스 상에서 웨이퍼 캐리어를 일정 위치에 두기 위한 키네마틱핀과, 캐리어 도어와 끼워 맞추고 도어 개방 동작 후 동시에 기판 처리 장치의 미니 환경 내로 취입되는 로드 포트 도어(FIMS 도어)를 구비하고 있다. 웨이퍼 캐리어를 정지시키는 로드 포트의 기판 처리 장치의 벽면 중, 캐리어 셸의 밀봉면(FOUP 밀봉면)과 접촉하여 기밀을 유지하는 면을 FIMS 밀봉면이라고 부른다.The load port has a wall surface (housing surface) for separating the mini environment in the substrate processing apparatus from the outside, a kinematic pin for positioning the wafer carrier on the load port base, and a substrate processing at the same time after the door opening operation and the door opening operation. It has a load port door (FIMS door) that is blown into the mini environment of the device. Among the wall surfaces of the substrate processing apparatus of the load port which stops the wafer carrier, the surface which contacts with the sealing surface (FOUP sealing surface) of a carrier shell and hold | maintains airtightness is called FIMS sealing surface.

실제 제조 현장에서는 복수 종류의 기판 처리 장치(따라서, 복수 종류의 로드 포트) 및 복수 종류의 웨이퍼 캐리어를 조합하여 사용하게 된다. 따라서, 이들에게 요구되는 치수 정밀도도 높아야 되며, 미소한 변형도 웨이퍼 캐리어의 개폐 신뢰성에 큰 영향을 미친다.In actual production sites, a plurality of types of substrate processing apparatus (hence, a plurality of load ports) and a plurality of types of wafer carriers are used in combination. Therefore, the dimensional accuracy required for them must also be high, and minute deformation also has a great influence on the opening and closing reliability of the wafer carrier.

본원 발명자는 상술한 바와 같은 웨이퍼 캐리어(FOUP)를 사용하여, 웨이퍼 캐리어 및 로드 포트 시스템을 평가한 결과, 캐리어 도어(FIMS 도어)를 개폐할 때 클램핑 기구의 개구부로부터 캐리어 도어 내부의 개폐 기구의 마찰에 따른 이물질이 나오며, 이것이 웨이퍼 캐리어 내부의 웨이퍼에 부착되는 것을 명백하게 했다. 본 발명은 이러한 문제점을 해결하고자 하는 것이다.The inventor of the present invention evaluated the wafer carrier and the load port system using the wafer carrier (FOUP) as described above, and as a result, the friction of the opening / closing mechanism inside the carrier door from the opening of the clamping mechanism when opening and closing the carrier door (FIMS door) The foreign matter resulting from this was clarified to adhere to the wafer inside the wafer carrier. The present invention seeks to solve this problem.

본 발명은 상술한 바와 같은 문제점을 해소하기 위해서 이루어진 것으로, 캐리어 도어 내부에서 발생하는 이물질이 클램핑 기구의 개구부로부터 외부로 나오는 문제를 해결한 웨이퍼 캐리어, 이 웨이퍼 캐리어와 조합하여 사용하는 기판 처리 장치, 기판 처리 시스템 및 기판 처리 방법을 얻는 것을 목적으로 하고, 이에 의해 이물질의 발생이 낮은 고신뢰의 생산 방식을 실현하고자 하는 것이다.SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, a wafer carrier which solves the problem of foreign matters generated inside the carrier door coming out from the opening of the clamping mechanism, a substrate processing apparatus used in combination with the wafer carrier, An object of the present invention is to obtain a substrate processing system and a substrate processing method, thereby achieving a highly reliable production method with low generation of foreign substances.

또, 본 명세서에서는 F0UP를 포함하여 기판 수납 지그를 웨이퍼 캐리어, 그 하우징 부분을 캐리어 셸, 그 도어를 캐리어 도어라고 칭하기로 한다. 또한, 기판 처리 장치(반도체 제조 장치)측에서는 웨이퍼 캐리어를 수취하는 테이블을 로드 포트 베이스, FIMS 도어를 포함하여 기판 처리 장치 내에 웨이퍼를 도입하는 도어를 로드 포트 도어라고 칭하기로 한다. 또한, 로드 포트 베이스와 로드 포트 도어를 포함하여 로드 포트라고 칭하기로 한다. 또, 로드 포트를 갖는 기판 처리 장치와 웨이퍼 캐리어를 포함하여 기판 처리 시스템(또는 로드 포트 시스템)이라고 칭하기로 한다.In addition, in this specification, the board | substrate accommodation jig | tool including F0UP is called a wafer carrier, the housing part is a carrier shell, and the door is called a carrier door. In addition, on the substrate processing apparatus (semiconductor manufacturing apparatus) side, the table which receives a wafer carrier is called a load port door which introduces a wafer into a substrate processing apparatus including a load port base and a FIMS door. In addition, it will be referred to as a load port including a load port base and a load port door. In addition, it is called a substrate processing system (or load port system) including the substrate processing apparatus which has a load port, and a wafer carrier.

도1은 본 발명의 제1 실시 형태에 있어서, 선행 발명에 관한 웨이퍼 캐리어의 캐리어 셸부를 설명하기 위한 외관 구성도.1 is an appearance configuration diagram for explaining a carrier shell portion of a wafer carrier according to a first embodiment of the present invention.

도2는 도1에 도시한 웨이퍼 캐리어에 있어서의 캐리어 도어의 내측 구성도.Fig. 2 is a diagram showing an inside configuration of a carrier door in the wafer carrier shown in Fig. 1;

도3은 웨이퍼 캐리어에 있어서의 키네마틱핀과 V홈에 의한 위치 결정 동작을 설명하는 도면.Fig. 3 is a diagram explaining a positioning operation by kinematic pins and V grooves in a wafer carrier.

도4는 웨이퍼와 웨이퍼 티스의 위치 관계를 도시한 구성도.4 is a configuration diagram showing a positional relationship between a wafer and a wafer tooth;

도5는 기판 처리 장치의 로드 포트에 웨이퍼 캐리어를 결합한 단면도이며, 웨이퍼 캐리어의 캐리어 도어의 개폐 방법을 설명하는 도면.Fig. 5 is a cross-sectional view of the wafer carrier coupled to the load port of the substrate processing apparatus, and illustrating a method of opening and closing the carrier door of the wafer carrier.

도6은 로드 포트 도어면으로부터의 캐리어 도어의 진공 흡인을 설명하기 위한 일예의 단면도.6 is a cross-sectional view of one example for explaining vacuum suction of a carrier door from a load port door surface;

도7은 로드 포트 도어의 진공 흡인 수단의 예를 도시한 단면도.Fig. 7 is a sectional view showing an example of the vacuum suction means of the load port door.

도8은 OHT부에 의한 웨이퍼 캐리어의 자동 반송 개략도.8 is a schematic diagram of automatic transfer of a wafer carrier by an OHT unit.

도9는 로드 포트 도어면으로부터의 캐리어 도어의 진공 흡인을 설명하기 위한 다른 예의 단면도.Fig. 9 is a sectional view of another example for explaining vacuum suction of the carrier door from the load port door surface.

도10은 기존의 횡 도어 일체형 기판 수납 지그(웨이퍼 캐리어)를 설명하기 위한 사시도.Fig. 10 is a perspective view for explaining a conventional horizontal door integrated substrate storage jig (wafer carrier).

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 웨이퍼 캐리어100: wafer carrier

200 : 기판 처리 장치200: substrate processing apparatus

1 : 캐리어 셸1: carrier shell

2 : 캐리어 도어2: carrier door

3 : 머슈룸3: Mushroom

4 : 레지스트레이션 핀 구멍4: registration pin hole

5 : 래치 키이 구멍5: latch key hole

6 : 매뉴얼 핸들6: manual handle

7 : 사이드 레일7: side rail

8 : 밀봉재(패킹)8: sealing material (packing)

9 : 도어 클램핑 기구9: door clamping mechanism

9A : 결합편9A: Joining Piece

9B : 구동부9B: Drive part

10 : 리테이너10: retainer

11 : V홈11: V groove

12 : 키네마틱핀(기준핀)12: kinematic pin (reference pin)

13 : 로드 포트 베이스13: load port base

14 : 로드 포트 도어(FIMS 면)14: load port door (FIMS side)

15 : 래치 키이15: latch key

16 : 로드 포트 도어 개폐 기구16: load port door opening and closing mechanism

17 : 웨이퍼17: wafer

18 : 웨이퍼 티스18: wafer tooth

19 : OHT19: OHT

20 : 호이스트 기구20: hoist mechanism

21 : 기판 처리 장치의 미니 환경21: Mini Environment of Substrate Processing Unit

22 : 로드 포트 도어면 진공 흡인부22: vacuum port of the load port door surface

23 : 캐리어 도어면 흡인 구멍23: carrier door suction hole

24 : 벽면(FIMS 밀봉면)24: wall surface (FIMS sealing surface)

25 : 웨이퍼 캐리어 밀봉면25: wafer carrier sealing surface

청구항 1의 발명에 관한 웨이퍼 캐리어는, 캐리어 도어에 구멍부를 설치하고, 이 구멍부로부터 도어 내부의 공기를 흡인할 수 있도록 한 것이다.The wafer carrier according to the invention of claim 1 is provided with a hole in the carrier door so that air inside the door can be sucked from the hole.

청구항 2의 발명에 관한 웨이퍼 캐리어는, 일면에 개방면을 갖는 하우징과, 상기 개방면에 있어서 상기 하우징에 끼워 맞추는 캐리어 도어를 구비하고, 상기하우징은 상기 캐리어 도어와 결합하는 면에 결합 구멍을 갖고, 상기 캐리어 도어는 내부에 공동이 형성되고 상기 하우징과 끼워 맞출 때 상기 도어의 내측으로부터 외측으로 연신하여 상기 하우징의 결합 구멍에 결합할 수 있는 결합편을 갖는 것에 있어서, 상기 캐리어 도어에 상기 공동에 연통하는 구멍부를 형성하고, 이 구멍부로부터 상기 공동 내의 공기를 흡인할 수 있도록 한 것이다.A wafer carrier according to the invention of claim 2 includes a housing having an open surface on one surface, and a carrier door fitted to the housing on the open surface, and the housing has a coupling hole on a surface that engages with the carrier door. And the carrier door has a joining portion formed therein and having a coupling piece extending from the inside of the door to the outside when engaging with the housing and engaging with the engagement hole of the housing. The hole part which communicates is formed, and the air in the said cavity can be sucked from this hole part.

청구항 3의 발명에 관한 기판 처리 장치는, 로드 포트 도어를 갖는 기판 처리 장치에 있어서, 상기 로드 포트 도어에, 이 로드 포트 도어에 도킹되는 웨이퍼 캐리어의 캐리어 도어의 구멍부로부터, 이 캐리어 도어 내부의 공기를 흡인할 수 있는 흡인 수단을 설치한 것이다.A substrate processing apparatus according to a third aspect of the invention is a substrate processing apparatus having a load port door, wherein the inside of the carrier door is formed in the load port door from a hole in a carrier door of a wafer carrier docked to the load port door. The suction means which can suck air is provided.

청구항 4의 발명에 관한 기판 처리 장치는 로드 포트 도어를 갖는 기판 처리 장치로서, 상기 로드 포트 도어가, 이 로드 포트 도어에 도킹되는 웨이퍼 캐리어의 캐리어 도어를 포착하여 이동시키는 것에 있어서, 상기 로드 포트 도어에, 상기 캐리어 도어의 구멍부와 결합하여 이 캐리어 도어 내부의 공기를 흡인할 수 있는 흡인 수단을 구비한 것이다.A substrate processing apparatus according to claim 4 is a substrate processing apparatus having a load port door, wherein the load port door captures and moves a carrier door of a wafer carrier docked to the load port door. And suction means capable of sucking air in the inside of the carrier door in combination with the hole of the carrier door.

청구항 5의 발명에 관한 기판 처리 장치는 청구항 4에 기재된 것에 있어서, 상기 로드 포트 도어의 표면에 있어서, 상기 흡인 수단이 상기 캐리어 도어의 구멍부와 결합하는 부분의 주위에 흡인용 패드를 배치하여 흡인 통로를 형성하도록 한 것이다.In the substrate processing apparatus of Claim 5, the substrate processing apparatus of Claim 4 arrange | positions and suctions the suction pad around the part which the said suction means engages with the hole part of the said carrier door on the surface of the said load port door. It is to form a passage.

청구항 6의 발명에 관한 기판 처리 시스템은, 로드 포트 도어를 포함하는 로드 포트를 구비한 기판 처리 장치와, 상기 로드 포트에 적재되어 상기 기판 처리장치에 도킹되는 웨이퍼 캐리어를 포함하고, 상기 로드 포트 도어가, 상기 웨이퍼 캐리어의 캐리어 도어를 포착하여 이동시키는 것에 있어서, 상기 로드 포트 도어에, 상기 캐리어 도어의 구멍부와 결합하여 캐리어 도어 내부의 공기를 흡인할 수 있는 흡인 수단을 구비한 것이다.A substrate processing system according to claim 6 includes a substrate processing apparatus having a load port including a load port door, and a wafer carrier loaded on the load port and docked with the substrate processing apparatus. In the capturing and moving of the carrier door of the wafer carrier, the load port door is provided with suction means capable of sucking air in the carrier door by engaging with the hole of the carrier door.

청구항 7의 발명에 관한 기판 처리 방법은, 캐리어 도어를 갖는 웨이퍼 캐리어와 로드 포트 도어를 갖는 기판 처리 장치를 상기 캐리어 도어와 상기 로드 포트 도어를 대향시켜 도킹시키고, 상기 로드 포트 도어에 설치한 흡인 수단에 의해, 상기 캐리어 도어의 구멍부로부터 캐리어 도어 내부의 공기를 흡인하면서, 상기 로드 포트 도어에 의해 상기 캐리어 도어를 포착하여 상기 캐리어 도어의 개폐를 행하는 것이다.A substrate processing method according to the invention of claim 7 includes a suction means provided with a wafer carrier having a carrier door and a substrate processing apparatus having a load port door so as to face the carrier door and the load port door so as to be disposed on the load port door. In this way, the carrier door is captured by the load port door and the opening and closing of the carrier door is performed while sucking air in the carrier door from the hole of the carrier door.

청구항 8의 발명에 관한 기판 처리 방법은 청구항 7에 기재된 방법에 있어서, 상기 구멍부로서, 상기 캐리어 도어에 설치한 독립된 구멍부 또는 상기 캐리어 도어의 포착용 구멍부를 이용하는 것이다.The substrate processing method according to the invention of claim 8 uses the independent hole portion provided in the carrier door or the hole for capturing the carrier door in the method of claim 7 as the hole portion.

청구항 9의 발명에 관한 반도체 장치는 청구항 6에 기재된 기판 처리 시스템을 이용하여 제조된 것이다.The semiconductor device according to the invention of claim 9 is manufactured using the substrate processing system according to claim 6.

청구항 10의 발명에 관한 반도체 장치는 청구항 7 또는 8에 기재된 기판 처리 방법을 이용하여 제조된 것이다.The semiconductor device according to the invention of claim 10 is manufactured using the substrate processing method of claim 7 or 8.

이하에, 본 발명의 실시 형태에 대하여 도면을 참조하여 설명한다. 또, 도면중 동일 또는 상당하는 부분에는 동일 부호를 부여하고 그 설명을 적절하게 간략화하거나 또는 생략한다.EMBODIMENT OF THE INVENTION Below, embodiment of this invention is described with reference to drawings. In addition, the same code | symbol is attached | subjected to the same or equivalent part in drawing, and the description is abbreviate | omitted suitably or abbreviate | omitted.

<제1 실시 형태><First Embodiment>

우선, 이 제1 실시 형태의 개요를 설명하면, 이 제1 실시 형태에서는 캐리어 도어면에 구멍을 설치하고, 이 구멍을 통하여 로드 포트 도어면 측으로부터 진공 흡인함으로써 캐리어 도어 내부를 음압으로 한다. 이에 의해, 캐리어 도어 내부에서 발생한 이물질을 진공 흡인에 의해 제거하므로, 클램핑 기구의 개구부 부근에서 발생한 이물질은 공기 흐름의 영향으로 개구부로부터 외부로 나오지 않는다.First, the outline of this first embodiment will be described. In this first embodiment, a hole is provided in the carrier door surface, and the inside of the carrier door is made into negative pressure by vacuum suction from the load port door surface side through this hole. As a result, since foreign matters generated inside the carrier door are removed by vacuum suction, foreign matters generated near the opening of the clamping mechanism do not come out from the opening due to the influence of air flow.

이하, 본 발명의 제1 실시 형태를 도1 내지 도8을 참조하여 상세하게 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, 1st Embodiment of this invention is described in detail with reference to FIGS.

도1은 본 발명에 관한 웨이퍼 캐리어의 외관 사시도이며, 도면 부호 1은 캐리어 셸, 2는 캐리어 셸(1)의 개방면을 폐색한 상태의 캐리어 도어(2)로, 양자에 의해 웨이퍼 캐리어(100)를 구성하고 있다.1 is an external perspective view of a wafer carrier according to the present invention, wherein 1 is a carrier shell, 2 is a carrier door 2 in a state where the open surface of the carrier shell 1 is closed, and a wafer carrier 100 is formed by both. ).

이 캐리어 셸(1)의 구조는 종래의 것과 특별히 다르지 않다. 3은 머슈룸, 6은 매뉴얼 핸들, 7은 사이드 레일을 나타내고 있다.The structure of this carrier shell 1 is not particularly different from the conventional one. 3 represents a mushroom, 6 a manual handle, and 7 a side rail.

캐리어 도어(2)에 있어서, 4는 레지스트레이션 핀 구멍, 5는 래치 키이 구멍으로 기존의 것과 동일하지만, 23은 이 실시 형태에서 신설한 캐리어 도어면의 흡인 구멍을 도시한다.In the carrier door 2, 4 is a registration pin hole and 5 is a latch key hole, which is the same as the conventional one, but 23 shows a suction hole on the carrier door surface newly established in this embodiment.

도2는 캐리어 셸(1)로부터 분리된 캐리어 도어(2)를 이면으로부터 본 사시도이다. 도2에 있어서, 8은 밀봉재(패킹), 9A는 도어 클램핑 기구의 결합편, 10은 리테이너를 도시하고 있다.Fig. 2 is a perspective view of the carrier door 2 separated from the carrier shell 1, seen from the back side. In Fig. 2, 8 is a sealing material (packing), 9A is a joining piece of the door clamping mechanism, and 10 is a retainer.

캐리어 셸(1)의 머슈룸(3)은 도8에서 후술하는 OHT(19)로 파지하여 매달아올리기 위한 것이다. 또, OHT는 오버헤드 호이스트 트랜스퍼(Overhead Hoist Transfer)를 의미한다.The mushroom 3 of the carrier shell 1 is for gripping and hanging by the OHT 19 described later in FIG. In addition, OHT means overhead hoist transfer.

캐리어 도어(2)의 레지스트레이션 핀 구멍(4)은 도5에서 후술하는 로드 포트 베이스(13)에 돌출 설치된 레지스트레이션 핀(12)이 삽입된 상태로 웨이퍼 캐리어(100)의 위치 결정을 행하기 위한 것이고, 래치 키이 구멍(5)은 도5에서 후술하는 래치 키이(15)(기계적 개폐 기구)가 삽입된 상태로 캐리어 도어(2)의 개폐를 행하기 위한 것이며, 사이드 레일(7)은 웨이퍼 캐리어(100)의 반송을 행하기 위한 것이다.The registration pin hole 4 of the carrier door 2 is for positioning the wafer carrier 100 with the registration pin 12 protruding from the load port base 13 described later in FIG. 5 being inserted. The latch key hole 5 is for opening and closing the carrier door 2 with the latch key 15 (mechanical opening and closing mechanism) described later in FIG. 5 being inserted, and the side rail 7 is a wafer carrier ( It is for carrying out 100).

또, 캐리어 도어(2)의 밀봉재(8)(패킹)는 캐리어 도어(2)가 캐리어 셸(1)에 접촉하는 면에 설치되고, 캐리어 셸(1)과의 사이의 밀폐성을 유지하기 위한 것이며, 도어 클램핑 기구의 결합편(9A)은 캐리어 셸(1)의 결합 구멍(도시하지 않음)에 결합하여 캐리어 도어(2)를 셸(1)에 끼워 맞춤 상태로 고정하기 위한 것이며, 리테이너(10)는 내부에 수납된 웨이퍼를 보유 지지하기 위한 것이다.Moreover, the sealing material 8 (packing) of the carrier door 2 is provided in the surface which the carrier door 2 contacts the carrier shell 1, and is for maintaining the sealing property with the carrier shell 1, The engaging piece 9A of the door clamping mechanism is for engaging the engaging hole (not shown) of the carrier shell 1 to fix the carrier door 2 to the shell 1 in a fitted state, and retainer 10 ) Is for holding a wafer housed therein.

도3은 후술하는 도5에 도시한 로드 포트 베이스(13) 상에 웨이퍼 캐리어(100)를 위치 결정하기 위한 원리를 설명하기 위한 도면이다.3 is a view for explaining the principle for positioning the wafer carrier 100 on the load port base 13 shown in FIG.

도3의 (a)에 도시한 바와 같이, 로드 포트 베이스(13)의 상부면에 설치되어 있는 키네마틱핀(12)(기준핀)이, 웨이퍼 캐리어(100)의 바닥면에 설치된 V홈(11)(V그룹부)(도1에서는 보이지 않음)에 끼워짐으로써 웨이퍼 캐리어(100)의 위치가 결정된다. 도3의 (b)는 3세트의 키네마틱핀(12)과 V홈(11)에 의한 위치 결정 상태를 도시한 도면이다.As shown in Fig. 3A, the V-groove 11 in which the kinematic pin 12 (reference pin) provided on the upper surface of the load port base 13 is provided on the bottom surface of the wafer carrier 100. ), The position of the wafer carrier 100 is determined by being fitted into the (V group portion) (not shown in FIG. 1). Fig. 3B is a diagram showing a positioning state by three sets of kinematic pins 12 and V grooves 11.

도4는 캐리어 셸(1)의 내부에 수납되는 웨이퍼의 상태를 설명하기 위한 도면이다. 캐리어 셸(1) 내부에는 웨이퍼 티스(18)가 설치되고, 웨이퍼 티스(18)는 벽면에 일정 간격으로 설치된 볼록부를 이용한 선반 구조를 갖고 있으며, 이 볼록부의 상부면에 웨이퍼(17)를 적재한다. 벽면에는 볼록부가 일정 간격으로 설치되어 있으므로, 웨이퍼(17)를 복수장 일정 간격만큼 이격시켜서 수납할 수 있다.4 is a view for explaining a state of the wafer accommodated in the carrier shell 1. The wafer tooth 18 is provided inside the carrier shell 1, and the wafer tooth 18 has a shelf structure using convex portions provided on the wall at regular intervals, and the wafer 17 is loaded on the upper surface of the convex portion. . Since the convex portions are provided at regular intervals on the wall surface, the wafers 17 can be stored spaced apart by a plurality of constant intervals.

도5는 기판 처리 장치의 로드 포트에 웨이퍼 캐리어를 도킹시킨 상태를 도시한 단면도이다. 도6은 그 로드 포트 도어와 캐리어 도어의 부분을 확대하여 도시한 단면도이다. 또, 도5에 있어서, 후에 도6에서 설명하는 캐리어 도어 내부의 진공 흡인 수단의 상세함 및 도어 클램핑 기구의 상세함은 간략화를 위해 도시 생략하고 있다.Fig. 5 is a sectional view showing a state where the wafer carrier is docked at the load port of the substrate processing apparatus. Fig. 6 is an enlarged cross-sectional view showing portions of the load port door and the carrier door. In Fig. 5, the details of the vacuum suction means and the door clamping mechanism inside the carrier door described later in Fig. 6 are omitted for simplicity.

도5에 있어서, 100은 웨이퍼 캐리어, 1은 캐리어 셸, 2는 캐리어 도어, 5는 래치 키이 구멍, 9A는 도어 클램핑 기구의 결합편, 11은 V홈, 17은 웨이퍼를 도시하고 있다. 또, 25는 웨이퍼 캐리어 밀봉면을 도시하고 있다.In Fig. 5, 100 is a wafer carrier, 1 is a carrier shell, 2 is a carrier door, 5 is a latch key hole, 9A is an engagement piece of a door clamping mechanism, 11 is a V groove, and 17 is a wafer. 25 shows a wafer carrier sealing surface.

또, 200은 로드 포트를 갖는 기판 처리 장치, 12는 키네마틱핀, 13은 로드 포트 베이스, 14는 로드 포트 도어(로드 포트의 FIMS 면의 일부를 구성함), 15는 래치 키이, 16은 로드 포트 도어 개폐 기구를 도시하고 있다. 또, 21은 기판 처리 장치의 미니 환경, 24는 기판 처리 장치의 벽면(FIMS 밀봉면)을 도시하고 있다.In addition, 200 is a substrate processing apparatus having a load port, 12 is a kinematic pin, 13 is a load port base, 14 is a load port door (a part of the FIMS side of the load port), 15 is a latch key, and 16 is a load port. The door opening and closing mechanism is shown. In addition, 21 shows the mini environment of a substrate processing apparatus, 24 has shown the wall surface (FIMS sealing surface) of a substrate processing apparatus.

로드 포트 베이스(13)의 상부면에는 키네마틱핀(기준핀)(12)이 설치되고, 캐리어 셸(1)의 바닥면에는 V홈(V그룹부)(11)이 설치되며, 키네마틱핀(12)과 V홈부(11)가 서로 끼워 맞춰져서 웨이퍼 캐리어(100)의 위치 결정이 행해진다.A kinematic pin (reference pin) 12 is installed on an upper surface of the load port base 13, and a V groove (V group part) 11 is installed on a bottom surface of the carrier shell 1, and a kinematic pin 12 ) And the V-groove 11 are fitted together to position the wafer carrier 100.

래치 키이(15)는 로드 포트 도어(14)의 표면 상에 설치되고, 캐리어 도어(2)의 개폐를 행하기 위한 래치 키이 구멍(5)(도1 참조)에 삽입된 상태로 캐리어 도어(2)를 개폐하기 위해서 이용된다.The latch key 15 is installed on the surface of the load port door 14 and the carrier door 2 is inserted into the latch key hole 5 (see Fig. 1) for opening and closing the carrier door 2. It is used to open and close).

로드 포트 도어(14)는 기판 처리 장치(21)의 내측으로 캐리어 도어(2) 및 웨이퍼(17)를 이동시키기 위한 입구로서, 기판 처리 장치의 벽면(24)과 웨이퍼 캐리어 밀봉면(25)이 접촉한 상태에서, 로드 포트 도어 개폐 기구(16)에 의해서 로드 포트 도어(14)를 이동시키고, 이 로드 포트 도어(14)를 거쳐서 캐리어 도어(2)를 포착하여 이동시킨다.The load port door 14 is an inlet for moving the carrier door 2 and the wafer 17 into the substrate processing apparatus 21. The wall surface 24 and the wafer carrier sealing surface 25 of the substrate processing apparatus are provided. In the contacted state, the load port door 14 is moved by the load port door opening and closing mechanism 16, and the carrier door 2 is captured and moved through the load port door 14.

도6은 도5의 로드 포트 주변을 확대하여 상세하게 도시한 단면도이다. 도6에 있어서, 캐리어 도어(2)의 내부에는 공동부가 형성되어 있고, 9는 도어 클램핑 기구, 9A는 그 결합편, 9B는 그 구동부를 도시한다. 로드 포트 도어(14) 측으로부터의 래치 키이(15)에 의해 도어 클램핑 기구(9)의 구동부(9B)가 구동되고, 이것이 결합편(9A)을 캐리어 도어(2)의 내측으로부터 외측으로 연신시키거나, 또는 후퇴시켜 결합편(9A)과 하우징의 결합 구멍(1B)의 결합을 실행 또는 해제한다.FIG. 6 is an enlarged cross-sectional view of the surrounding of the load port of FIG. In Fig. 6, a cavity is formed inside the carrier door 2, 9 is a door clamping mechanism, 9A is a fitting piece thereof, and 9B is a driving part thereof. The drive part 9B of the door clamping mechanism 9 is driven by the latch key 15 from the load port door 14 side, which causes the engaging piece 9A to extend from the inside to the outside of the carrier door 2. Or retract to engage or release engagement of the engagement piece 9A and the engagement hole 1B of the housing.

또, 22는 로드 포트 도어(14)에 설치한 진공 흡인부, 23은 캐리어 도어(2)에 설치한 흡인 구멍을 도시한다.22 shows the vacuum suction part provided in the load port door 14, and 23 shows the suction hole provided in the carrier door 2. As shown in FIG.

로드 포트 도어(14)의 진공 흡인부(22)와 캐리어 도어(2)의 흡인 구멍(23)을 결합하여 진공 흡인하면, 캐리어 도어(2)의 내부는 음압으로 되고, 캐리어 도어(2)와 도어 클램핑 기구(9)의 간극으로부터 공기가 유입되어, 로드 포트 도어(14)의 진공 흡인부(22)의 방향으로 유출된다.When the vacuum suction portion 22 of the load port door 14 and the suction hole 23 of the carrier door 2 are combined and vacuum sucked, the inside of the carrier door 2 becomes negative pressure, and the carrier door 2 and Air flows in from the gap of the door clamping mechanism 9 and flows out in the direction of the vacuum suction portion 22 of the load port door 14.

로드 포트 도어(14)에는 진공 흡인부(22)를 구성 부분으로 하고, 이에 결합된 진공 흡인 수단이 구비되어 있다.The load port door 14 has a vacuum suction unit 22 as a component and is provided with vacuum suction means coupled thereto.

도7은 그 진공 흡인 수단의 예를 도시한 단면도이다. 도7에 있어서, 로드 포트 도어(14)는 로드 포트 도어 개폐 기구(16)와 함께 이동하는데, 로드 포트 도어(14)에는 흡인구(16A)가 설치되고, 흡인된 공기는 로드 포트 도어 개폐 기구(16)의 내부에 배치된 흡인관(16B)을 거쳐서 개폐 밸브(16C)에 이르며, 다시 진공 펌프 또는 공장의 배기 설비에 흡인되도록 되어 있다.7 is a sectional view showing an example of the vacuum suction means. In Fig. 7, the load port door 14 moves together with the load port door opening and closing mechanism 16. A suction port 16A is provided in the load port door 14, and the sucked air is loaded into the load port door opening and closing mechanism. It passes through the suction pipe 16B arrange | positioned inside 16, and it reaches | attains the opening-closing valve 16C, and is made to suck | suck to a vacuum pump or a factory exhaust facility again.

도8은 복수의 기판 처리 장치가 설치된 생산 현장에 있어서의 웨이퍼 캐리어(100)의 자동 반송 방법을 설명하기 위한 개략도이며, OHT(오버헤드 호이스트 트랜스퍼, Overhead Hoist Transfer)에 의한 웨이퍼 캐리어(100)의 자동 반송 기구를 도시한다.Fig. 8 is a schematic view for explaining an automatic conveyance method of the wafer carrier 100 at a production site in which a plurality of substrate processing apparatuses are installed, and the wafer carrier 100 by OHT (Overhead Hoist Transfer) is shown. An automatic conveying mechanism is shown.

도8에 있어서, 13은 로드 포트 베이스, 19는 OHT, 20은 호이스트 기구, 21은 기판 처리 장치, 100은 웨이퍼 캐리어를 도시하고 있다.In Fig. 8, reference numeral 13 denotes a load port base, 19 denotes an OHT, 20 denotes a hoist mechanism, 21 denotes a substrate processing apparatus, and 100 denotes a wafer carrier.

도8을 참조하면, OHT(19)는 반도체 공장의 베이 내에서의 웨이퍼 캐리어(100)의 대표적인 자동 반송 기기이다. 나란히 설치된 복수의 기판 처리 장치(21)의 각각에는 로드 포트 베이스(13)가 설치되어 있고, 호이스트 기구(20)를 이용하여 반송되는 웨이퍼 캐리어(100)가 적재되도록 구성되어 있다.Referring to Fig. 8, OHT 19 is a representative automatic conveying device of wafer carrier 100 in a bay of a semiconductor factory. Each of the plurality of substrate processing apparatuses 21 arranged side by side is provided with a load port base 13, and is configured such that the wafer carrier 100 conveyed using the hoist mechanism 20 is loaded.

다음에, 웨이퍼 캐리어(100)의 반송 방법을 설명한다. 반도체 공장 내에서는 각종 처리를 받는 웨이퍼(17)는 웨이퍼 캐리어(100)에 수납된 상태로 각 기판 처리 장치(21) 사이를 이동한다. 300 mm 직경급의 웨이퍼(17)를 수납한 웨이퍼 캐리어(100)는 8 kg 이상의 중량이므로, 안전상 사람의 손에 의한 반송은 생각하기 어렵고, OHT(19) 등의 자동 반송 기기를 사용하게 된다.Next, the conveyance method of the wafer carrier 100 is demonstrated. In the semiconductor factory, the wafers 17 subjected to various processes move between the substrate processing apparatuses 21 in a state of being accommodated in the wafer carrier 100. Since the wafer carrier 100 containing the 300 mm diameter wafer 17 has a weight of 8 kg or more, conveyance by human hands is difficult to consider for safety reasons, and an automatic transfer device such as the OHT 19 is used.

도8의 예에서는 처리되는 웨이퍼(17)가 수납된 웨이퍼 캐리어(100)를, 공정 내에 설치된 스토커로부터 OHT(19)에 의해서 기판 처리 장치(21)에 반송한다.In the example of FIG. 8, the wafer carrier 100 containing the wafer 17 to be processed is conveyed to the substrate processing apparatus 21 by the OHT 19 from the stocker provided in the process.

계속해서, 웨이퍼 캐리어(100)를 호이스트 기구(20)를 이용하여 기판 처리 장치(21)의 로드 포트 베이스(13) 상으로 내려서 소정 위치에 장착한다. 이어서, 웨이퍼 캐리어(100)의 하부면에 설치되어 있는 V홈(11)을, 로드 포트 베이스(13) 상의 키네마틱핀(12) 상으로 유도하여 소정의 수용 위치에 고정한다(도3 참조).Subsequently, the wafer carrier 100 is lowered onto the load port base 13 of the substrate processing apparatus 21 using the hoist mechanism 20 and mounted at a predetermined position. Subsequently, the V-groove 11 provided on the lower surface of the wafer carrier 100 is guided onto the kinematic pin 12 on the load port base 13 and fixed at a predetermined accommodation position (see FIG. 3).

계속해서, 호이스트 기구(20)를 웨이퍼 캐리어(100)로부터 분리하여 웨이퍼 캐리어(100)를 로드 포트 베이스(13) 상에 남겨둔다. 그 후, 웨이퍼 캐리어(100)를 전진시켜서 기판 처리 장치의 벽면(24)과 로드 포트 도어(14)(도5 참조)에 도킹시킨다. 웨이퍼 캐리어(100)는 전진하여, 캐리어 도어(2)가 기판 처리 장치의 벽면(로드 포트의 FIMS 밀봉면)에 접촉된다. 여기서 진공 흡인을 개시한다.Subsequently, the hoist mechanism 20 is separated from the wafer carrier 100 to leave the wafer carrier 100 on the load port base 13. Thereafter, the wafer carrier 100 is advanced and docked on the wall surface 24 and the load port door 14 (see FIG. 5) of the substrate processing apparatus. The wafer carrier 100 is advanced so that the carrier door 2 is in contact with the wall surface (FIMS sealing surface of the load port) of the substrate processing apparatus. Here, vacuum suction is started.

계속해서, 래치 키이(15)(도5 참조)를 회전시킴으로써, 캐리어 도어(2)의 도어 클램핑 기구(9)의 결합편(9A)을 캐리어 셸(1)로부터 분리하는 동시에, 캐리어 도어(2)를 로드 포트 도어(14)에 고정한다. 이 래치 키이(15)의 회전 동작시에, 캐리어 도어(2)의 내부에서 클램핑 기구(9)의 구동부(9B)의 기구 부품끼리가 스쳐서 이물질이 발생한다. 또한, 클램핑 기구(9)의 결합편(9A)은 셸과 스쳐서 마찬가지로 이물질이 발생한다.Subsequently, by rotating the latch key 15 (see Fig. 5), the engaging piece 9A of the door clamping mechanism 9 of the carrier door 2 is separated from the carrier shell 1, and the carrier door 2 ) To the load port door 14. At the time of the rotation operation of the latch key 15, the mechanical parts of the drive part 9B of the clamping mechanism 9 rub against each other inside the carrier door 2 to generate foreign substances. In addition, the engagement piece 9A of the clamping mechanism 9 rubs against the shell to generate foreign matters in the same manner.

이 때, 로드 포트 도어(14)에 설치한 흡인 수단에 의해, 캐리어 도어(2)의내부를 진공 흡인하고 있으므로, 캐리어 도어(2)의 내부에서 발생한 이물질은 흡인 공기와 함께 제거되고, 또 클램핑 기구(9)의 결합편(9A) 부근에서 발생한 이물질은 캐리어 도어(2)의 내부에 흡입된다. 이에 의해, 도어 개폐 동작에 따른 이물질은 외부로 비산하지 않으며, 따라서 셸(1) 내의 웨이퍼(17)에 부착되는 일도 없기 때문에 웨이퍼(17)는 깨끗한 상태로 유지된다.At this time, the suction means provided in the load port door 14 vacuum sucks the inside of the carrier door 2, so that foreign matters generated in the inside of the carrier door 2 are removed together with the suction air and clamped. The foreign matter generated near the engagement piece 9A of the mechanism 9 is sucked into the carrier door 2. As a result, the foreign matter resulting from the door opening and closing operation does not scatter to the outside, and therefore, the wafer 17 is kept in a clean state because it is not attached to the wafer 17 in the shell 1.

계속해서, 로드 포트 도어 개폐 기구(16)를 구동하여 캐리어 도어(2)를 캐리어 셸(1)로부터 분리하여, 기판 처리 장치(21) 내의 하부로 이동시킨다. 캐리어 도어(2)가 분리된 상태에서 웨이퍼 캐리어(100)의 전방면으로부터 웨이퍼(17)를 취출하고, 기판 처리 장치(21) 내의 웨이퍼 반송 로봇(도시하지 않음)에 의해 웨이퍼(17)를 기판 처리 장치(21) 내부의 처리부(도시하지 않음)로 반송하여 필요한 처리를 행한다.Subsequently, the load port door opening / closing mechanism 16 is driven to separate the carrier door 2 from the carrier shell 1 and to move the lower portion in the substrate processing apparatus 21. The wafer 17 is taken out from the front surface of the wafer carrier 100 in a state in which the carrier door 2 is separated, and the wafer 17 is substrated by a wafer transfer robot (not shown) in the substrate processing apparatus 21. It transfers to the processing part (not shown) inside the processing apparatus 21, and performs a necessary process.

계속해서, 처리의 종료후, 처리가 끝난 웨이퍼(17)를 웨이퍼 반송 로봇을 이용하여 웨이퍼 캐리어(100)로 복귀시킨다. 이와 같이, 웨이퍼 캐리어(100) 내에 수납되어 있는 웨이퍼(17)의 각각에 필요한 처리를 행한 후, 로드 포트 도어 개폐 기구(16)를 구동하여 캐리어 도어(2)를 캐리어 셸(1)과 도킹시키고, 래치 키이(15)를 회전시킴으로써 도어 클램핑 기구부(9)를 작동시켜, 캐리어 도어(2)를 캐리어 셸(1)에 고정한다. 이 때에도 진공 흡인을 행함으로써 마찰에 따른 이물질을 제거할 수 있다.Subsequently, after completion of the processing, the processed wafer 17 is returned to the wafer carrier 100 by using a wafer transfer robot. In this way, after performing necessary processing on each of the wafers 17 contained in the wafer carrier 100, the load port door opening and closing mechanism 16 is driven to dock the carrier door 2 with the carrier shell 1. The door clamping mechanism 9 is operated by rotating the latch key 15 to fix the carrier door 2 to the carrier shell 1. At this time, by performing vacuum suction, foreign matters caused by friction can be removed.

그 후, 웨이퍼 캐리어(100)를 후퇴시켜 이동 적재 위치로 이동시킨다. 반송 요구에 따라서, 반송 요구의 대상으로 되어 있는 웨이퍼 캐리어(100)가 놓여져 있는 로드 포트 베이스(13) 상에 빈 OHT(19)를 정지시키고, 호이스트 기구(20)의 로봇의 손(도시하지 않음)을 이용하여 머슈룸(3)을 협지하여 인상한다.Thereafter, the wafer carrier 100 is retracted and moved to the movable loading position. According to the conveyance request, the empty OHT 19 is stopped on the load port base 13 on which the wafer carrier 100, which is the object of the conveyance request, is placed, and the hand of the robot of the hoist mechanism 20 (not shown). ), The mushroom room (3) is sandwiched and raised.

계속해서, 웨이퍼 캐리어(100)를 OHT(19)에 의해 스토커로 반송하여 일시 보관한 후에, 다음 처리 공정(예를 들어, 애싱 공정 등)으로 웨이퍼 캐리어(100)를 반송한다. 이러한 흐름을 반복함으로써 원하는 회로를 웨이퍼(17) 상에 형성한다.Then, after conveying the wafer carrier 100 to the stocker by OHT 19 and temporarily storing it, the wafer carrier 100 is conveyed to a next process process (for example, an ashing process etc.). By repeating this flow, a desired circuit is formed on the wafer 17.

이상 설명한 바와 같이, 이 실시 형태에서는 캐리어 도어면에 설치된 구멍을 통해서 로드 포트 도어면측으로부터 진공 흡인함으로써 캐리어 도어 내부를 음압으로 한다. 이에 의해, 캐리어 도어의 내부에서 발생한 이물질은 진공 흡인에 의해 제거되는 동시에, 클램핑 기구의 개구부 부근에서 발생한 이물질은 공기 흐름의 영향으로 개구부로부터 도어 내부로 취입되어 외부로 나오지 않는다. 이에 의해, 캐리어 도어의 개폐 동작에 수반하여 웨이퍼 상에 부착되는 이물질을 삭감하는 것이 가능해진다. 따라서, 웨이퍼를 고청정도로 유지하는 것이 가능하며, 고수율로 집적 회로 등의 생산을 행할 수 있다.As described above, in this embodiment, the inside of the carrier door is made into negative pressure by vacuum suction from the load port door face side through the hole provided in the carrier door face. As a result, foreign matters generated in the interior of the carrier door are removed by vacuum suction, while foreign matters generated near the opening of the clamping mechanism are blown into the door from the opening under the influence of the air flow and do not come out. Thereby, it becomes possible to reduce foreign substances adhering on the wafer with the opening / closing operation of the carrier door. Therefore, it is possible to keep the wafer at a high cleanness and to produce an integrated circuit or the like with a high yield.

또, 상기에 있어서는 자동 반송 수단으로서 OHT(19)를 이용하는 예로 설명했지만, 이것으로 특별히 한정되지 않으며, AGV(Automated Guided Vehicle)나 RGV(Rail Guided Vehicle)를 이용해도 되고, 또는 PGV(Person Guided Vehicle) 등의 수동 반송을 이용해도 된다.In the above description, an example in which the OHT 19 is used as the automatic conveying means has been described. However, the present invention is not particularly limited to this. You may use manual conveyance, such as).

<제2 실시 형태><2nd embodiment>

도9는 이 제2 실시 형태의 기판 처리 시스템을 설명하기 위한 도면이며, 제1 실시 형태의 변형예를 도시한 것이다. 또, 이 도면은 제1 실시 형태의 도6에 대응하는 것이며, 로드 포트 주변을 확대하여 상세하게 도시한 단면도이다.9 is a diagram for explaining the substrate processing system according to the second embodiment, and shows a modification of the first embodiment. In addition, this figure is corresponding to FIG. 6 of 1st Embodiment, and is sectional drawing which expanded and showed the load port periphery in detail.

도9에 있어서, 22는 로드 포트 도어(14)에 설치한 진공 흡인부이며, 캐리어 도어(2)의 래치 키이 구멍(5)에 대향하는 위치에 설치되어 있다. 로드 포트 도어(14)의 진공 흡인부(22)에는 도시되어 있지는 않지만 진공 흡인 수단이 또한 결합되어 있다.In FIG. 9, 22 is a vacuum suction part provided in the load port door 14, and the latch key of the carrier door 2 is provided in the position which opposes the hole 5. As shown in FIG. Although not shown in the vacuum suction section 22 of the load port door 14, vacuum suction means are also coupled.

로드 포트 도어(14)의 진공 흡인부(22)와 캐리어 도어(2)의 래치 키이 구멍(5)을 결합하여 진공 흡인하면, 캐리어 도어(2)의 내부는 음압으로 되고, 캐리어 도어(2)와 도어 클램핑 기구(9)의 간극으로부터 공기가 유입되어, 로드 포트 도어(14)의 진공 흡인부(22)의 반향으로 유출된다.When the vacuum suction portion 22 of the load port door 14 and the latch key hole 5 of the carrier door 2 are combined and vacuum sucked, the inside of the carrier door 2 becomes negative pressure, and the carrier door 2 Air flows in from the gap between the door clamping mechanism 9 and the outflow of the vacuum suction portion 22 of the load port door 14.

로드 포트 도어(14)의 진공 흡인부(22)와 래치 키이 구멍(5)을 진공 흡인을 위해서 결합하는 방법으로서는, 로드 포트 도어(14)의 표면에서 진공 흡인부(22)의 주위에 진공 흡인용 패드를 배치한다. 예를 들어, 탄력성이 있는 판 형상이며 환형인 부재를 부착하여, 로드 포트 도어(14)와 캐리어 도어(2) 사이의 기밀성을 유지하도록 하면 된다.As a method of joining the vacuum suction portion 22 and the latch key hole 5 of the load port door 14 for vacuum suction, vacuum suction is performed around the vacuum suction portion 22 on the surface of the load port door 14. Place the pad. For example, an elastic plate-shaped and annular member may be attached to maintain the airtightness between the load port door 14 and the carrier door 2.

이상과 같이, 캐리어 도어면에 설치하는 진공 흡인용 구멍으로서는, 제1 실시 형태와 같이 그 목적을 위해서 전용으로 설치한 구멍이든, 또는 이 제2 실시 형태와 같이 래치 키이 구멍(5)을 그 목적을 위해서 사용하든 상관없다.As described above, the vacuum suction hole provided on the carrier door surface may be a hole provided exclusively for the purpose as in the first embodiment, or the latch key hole 5 may be used as in the second embodiment. It doesn't matter if you use it for

이 실시 형태에 있어서도, 로드 포트 도어(14)에 설치한 흡인 수단에 의해 캐리어 도어(2)의 내부를 진공 흡인함으로써, 캐리어 도어(2)의 내부에서 발생한 이물질은 흡인 공기와 함께 제거되고, 또 클램핑 기구(9)의 결합편(9A) 부근에서발생한 이물질은 캐리어 도어(2)의 내부에 흡입된다. 이에 의해, 도어 개폐 동작에 따른 이물질은 외부로 비산하지 않으며, 셸(1) 내의 웨이퍼(17)에 부착되는 일도 없기 때문에 웨이퍼(17)는 깨끗한 상태로 유지된다. 따라서, 웨이퍼를 고청정도로 유지하는 것이 가능해진다. 이에 의해, 고수율로 집적 회로 등의 생산을 행할 수 있다.Also in this embodiment, by suctioning the inside of the carrier door 2 by the suction means provided in the load port door 14, the foreign substance which arose in the inside of the carrier door 2 is removed with suction air, The foreign matter generated near the engagement piece 9A of the clamping mechanism 9 is sucked into the carrier door 2. As a result, the foreign matter caused by the door opening and closing operation does not scatter to the outside and is not attached to the wafer 17 in the shell 1, so that the wafer 17 is kept in a clean state. Therefore, it becomes possible to keep a wafer high. Thereby, production of an integrated circuit etc. can be performed with high yield.

또한, 상기의 각 실시예에 있어서, 웨이퍼 캐리어라고 칭한 것은 전형적으로는 SEMI 규격으로 정해진 횡 도어 일체형 기판 수납 지그로서의 FOUP가 이에 해당한다.In each of the above embodiments, what is called a wafer carrier corresponds to a FOUP as a horizontal door-integrated substrate storage jig, which is typically defined by the SEMI standard.

또, 상기 각 실시 형태에 있어서, 웨이퍼(기판)로서 설명한 것은 반도체 장치에 이용되는 웨이퍼로 특별히 한정되지 않고, 유리 기판, 자성체 기판, 수지 기판 등의 기판을 포함하는 넓은 의미의 기판을 포함하는 것이다.In addition, in each said embodiment, what was described as a wafer (substrate) is not specifically limited to the wafer used for a semiconductor device, Comprising: It includes the board | substrate of the wide meaning containing substrates, such as a glass substrate, a magnetic substrate, a resin substrate, etc. .

또, 본 발명이 상기 각 실시 형태로 한정되지 않고, 본 발명의 기술 사상의 범위 내에 있어서 적절하게 확장 변경될 수 있음은 분명하다.In addition, it is clear that this invention is not limited to each said embodiment, It can be extended and changed suitably in the range of the technical idea of this invention.

이상 설명한 바와 같이, 본 발명에서는 웨이퍼 캐리어의 캐리어 도어면에 설치된 구멍 또는 웨이퍼 캐리어의 래치 키이 구멍을 통해서, 로드 포트 도어면측으로부터 진공 흡인함으로써 캐리어 도어 내부를 음압으로 한다. 이에 의해, 캐리어 도어 내부에서 발생한 이물질은 진공 흡인에 의해 제거되는 동시에, 클램핑 기구의 개구부 부근에서 발생한 이물질은 공기 흐름의 영향으로 개구부로부터 외부로 나오지 않는다. 이에 의해, 웨이퍼를 고청정도로 유지하는 것이 가능해지며, 고수율로집적 회로 등의 제조를 행할 수 있다.As described above, in the present invention, the inside of the carrier door is made into negative pressure by vacuum suction from the load port door face side through the hole provided on the carrier door face of the wafer carrier or the latch key hole of the wafer carrier. Thereby, foreign matters generated inside the carrier door are removed by vacuum suction, while foreign matters generated near the openings of the clamping mechanism do not come out from the openings under the influence of the air flow. As a result, the wafer can be maintained at a high cleanness, and the integrated circuit and the like can be manufactured at a high yield.

Claims (10)

웨이퍼 캐리어의 캐리어 도어에 구멍부를 설치하고, 이 구멍부로부터 도어 내부의 공기를 흡인할 수 있도록 한 것을 특징으로 하는 웨이퍼 캐리어.A wafer carrier is provided in the carrier door of the wafer carrier, and the air in the door can be sucked from this hole. 일면에 개방면을 갖는 하우징과, 상기 개방면에 있어서 상기 하우징에 끼워 맞추는 캐리어 도어를 구비하고, 상기 하우징은 상기 캐리어 도어와 결합하는 면에 결합 구멍을 갖고, 상기 캐리어 도어는 내부에 공동이 형성되고 상기 하우징과 끼워 맞출 때 상기 도어의 내측으로부터 외측으로 연신하여 상기 하우징의 결합 구멍에 결합할 수 있는 결합편을 갖는 것에 있어서, 상기 캐리어 도어에 상기 공동에 연통하는 구멍부를 형성하고, 이 구멍부로부터 상기 공동 내의 공기를 흡인할 수 있도록 한 것을 특징으로 하는 웨이퍼 캐리어.A housing having an open surface on one surface, and a carrier door fitted on the housing on the open surface, the housing having a coupling hole on a surface engaging with the carrier door, and the carrier door having a cavity formed therein; And having a joining piece capable of drawing from the inside of the door to the outside when engaging with the housing and engaging with the engaging hole of the housing, wherein the carrier door forms a hole communicating with the cavity. A wafer carrier characterized by being capable of sucking air in the cavity from the air. 로드 포트 도어를 갖는 기판 처리 장치에 있어서, 상기 로드 포트 도어에, 이 로드 포트 도어에 도킹되는 웨이퍼 캐리어의 캐리어 도어의 구멍부로부터 이 캐리어 내부의 공기를 흡인할 수 있는 흡인 수단을 설치한 것을 특징으로 하는 기판 처리 장치.A substrate processing apparatus having a load port door, wherein the load port door is provided with suction means capable of sucking air in the carrier from a hole in a carrier door of a wafer carrier docked to the load port door. The substrate processing apparatus made into it. 로드 포트 도어를 갖는 기판 처리 장치로서, 상기 로드 포트 도어가, 이 로드 포트 도어에 도킹되는 웨이퍼 캐리어의 캐리어 도어를 포착하여 이동시키는 것에 있어서, 상기 로드 포트 도어에, 상기 캐리어 도어의 구멍부와 결합하여 이 캐리어 도어 내부의 공기를 흡인할 수 있는 흡인 수단을 구비한 것을 특징으로 하는 기판 처리 장치.A substrate processing apparatus having a load port door, wherein the load port door is coupled to a hole portion of the carrier door in the load port door in capturing and moving a carrier door of a wafer carrier docked to the load port door. And suction means capable of sucking air inside the carrier door. 제4항에 있어서, 상기 로드 포트 도어의 표면에 있어서, 상기 흡인 수단이 상기 캐리어 도어의 구멍부와 결합하는 부분의 주위에 흡인용 패드를 배치하여 흡인 통로를 형성하도록 한 것을 특징으로 하는 기판 처리 장치.5. The substrate processing according to claim 4, wherein a suction pad is disposed on a surface of the load port door so as to form a suction passage around a portion where the suction means engages with a hole of the carrier door. Device. 로드 포트 도어를 포함하는 로드 포트를 구비한 기판 처리 장치와, 상기 로드 포트에 적재되어 상기 기판 처리 장치에 도킹되는 웨이퍼 캐리어를 포함하고, 상기 로드 포트 도어가, 상기 웨이퍼 캐리어의 캐리어 도어를 포착하여 이동시키는 것에 있어서, 상기 로드 포트 도어에, 상기 캐리어 도어의 구멍부와 결합하여 캐리어 도어 내부의 공기를 흡인할 수 있는 흡인 수단을 구비한 것을 특징으로 하는 기판 처리 시스템.A substrate processing apparatus having a load port including a load port door, and a wafer carrier loaded on the load port and docked to the substrate processing apparatus, wherein the load port door captures a carrier door of the wafer carrier, The substrate processing system according to claim 1, wherein the load port door is provided with suction means capable of sucking air in the carrier door in combination with a hole in the carrier door. 캐리어 도어를 갖는 웨이퍼 캐리어와 로드 포트 도어를 갖는 기판 처리 장치를 상기 캐리어 도어와 상기 로드 포트 도어를 대향시켜 도킹시키고, 상기 로드 포트 도어에 설치한 흡인 수단에 의해, 상기 캐리어 도어의 구멍부로부터 캐리어 도어 내부의 공기를 흡인하면서, 상기 로드 포트 도어에 의해 상기 캐리어 도어를 포착하여 상기 캐리어 도어의 개폐를 행하는 것을 특징으로 하는 기판 처리 방법.A wafer carrier having a carrier door and a substrate processing apparatus having a load port door are docked so that the carrier door and the load port door face each other, and are sucked from the hole of the carrier door by suction means provided in the load port door. A substrate processing method characterized in that the carrier door is captured by the load port door while sucking the air inside the door to open and close the carrier door. 제7항에 있어서, 상기 구멍부로서, 상기 캐리어 도어에 설치한 독립된 구멍부 또는 상기 캐리어 도어의 포착용 구멍부를 이용하는 것을 특징으로 하는 기판 처리 방법.8. The substrate processing method according to claim 7, wherein as the hole portion, an independent hole portion provided in the carrier door or a hole for capturing the carrier door is used. 제6항에 기재된 기판 처리 시스템을 이용하여 제조된 것을 특징으로 하는 반도체 장치.It was manufactured using the substrate processing system of Claim 6. The semiconductor device characterized by the above-mentioned. 제7항 또는 제8항에 기재된 기판 처리 방법을 이용하여 제조된 것을 특징으로 하는 반도체 장치.The semiconductor device manufactured using the substrate processing method of Claim 7 or 8.
KR1020010042688A 2000-11-08 2001-07-16 Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device KR20020035737A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00340920 2000-11-08
JP2000340920A JP2002151584A (en) 2000-11-08 2000-11-08 Wafer carrier, substrate processor, substrate processing system, substrate processing method, and semiconductor device

Publications (1)

Publication Number Publication Date
KR20020035737A true KR20020035737A (en) 2002-05-15

Family

ID=18815752

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010042688A KR20020035737A (en) 2000-11-08 2001-07-16 Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device

Country Status (2)

Country Link
JP (1) JP2002151584A (en)
KR (1) KR20020035737A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190035720A (en) * 2016-08-08 2019-04-03 신에쯔 한도타이 가부시키가이샤 Load Port and Wafer Transfer Method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5617708B2 (en) 2011-03-16 2014-11-05 東京エレクトロン株式会社 Lid opening / closing device
JP5993252B2 (en) * 2012-09-06 2016-09-14 東京エレクトロン株式会社 Lid opening / closing device, heat treatment apparatus using the same, and lid opening / closing method
JP2023018522A (en) * 2021-07-27 2023-02-08 信越半導体株式会社 Wafer transfer method and wafer transfer device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190035720A (en) * 2016-08-08 2019-04-03 신에쯔 한도타이 가부시키가이샤 Load Port and Wafer Transfer Method

Also Published As

Publication number Publication date
JP2002151584A (en) 2002-05-24

Similar Documents

Publication Publication Date Title
US6817822B2 (en) Load port, wafer processing apparatus, and method of replacing atmosphere
KR100516863B1 (en) Load Port System for Substrate Treating Apparatus and Treating Method for Substrate
US11594439B2 (en) Frame cassette for holding tape-frames
US6808352B2 (en) Method for transporting boards, load port apparatus, and board transport system
US6053688A (en) Method and apparatus for loading and unloading wafers from a wafer carrier
TWI627696B (en) Substrate transport
US5984610A (en) Pod loader interface
KR20010040518A (en) Container
JP2001248610A (en) Cylinder, load port using the same, and production system
JP7330275B2 (en) load port module
KR20020064918A (en) Wafer transport system
TWI825232B (en) Substrate loading device and method using the same
US20090142166A1 (en) Container lid opening/closing system and substrate processing method using the system
US20230253229A1 (en) Load port
KR20020035737A (en) Wafer Carrier, Substrate Processing Device, Substrate Processing System, Substrate Processing Method and Semiconductor Device
KR100368101B1 (en) Lid latch mechanism for clean box
KR100717988B1 (en) A Loader Having Function For Carrying Out Semiconductor Material
JP2016063081A (en) Lid opening and closing device and lid opening and closing method
JP4691281B2 (en) Cylinder, load port using the same, and production method
KR100292065B1 (en) Standard mechanical interface system having function for eliminating contamination particle
JP3713529B2 (en) Cylinder
TWI837228B (en) Substrate loading device and method
JP2006261502A (en) Substrate processing apparatus
KR200382386Y1 (en) A Loader Having Function For Carrying Out Semiconductor Material
JPH10310243A (en) Substrate holding container

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Withdrawal due to no request for examination