KR102590974B1 - Detection of stochastic process windows - Google Patents

Detection of stochastic process windows Download PDF

Info

Publication number
KR102590974B1
KR102590974B1 KR1020237015350A KR20237015350A KR102590974B1 KR 102590974 B1 KR102590974 B1 KR 102590974B1 KR 1020237015350 A KR1020237015350 A KR 1020237015350A KR 20237015350 A KR20237015350 A KR 20237015350A KR 102590974 B1 KR102590974 B1 KR 102590974B1
Authority
KR
South Korea
Prior art keywords
variable
psd
feature
roughness
noise
Prior art date
Application number
KR1020237015350A
Other languages
Korean (ko)
Other versions
KR20230074278A (en
Inventor
크리스 맥
조나단 야누지
Original Assignee
프랙틸리아 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/472,335 external-priority patent/US20220068594A1/en
Application filed by 프랙틸리아 엘엘씨 filed Critical 프랙틸리아 엘엘씨
Publication of KR20230074278A publication Critical patent/KR20230074278A/en
Application granted granted Critical
Publication of KR102590974B1 publication Critical patent/KR102590974B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/13Edge detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • H01J2237/221Image processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하기 위한 방법들, 시스템들, 및 컴퓨터 판독가능 매체들이 개시된다. 방법은 제1 변수를 선택하는 단계, 제2 변수를 선택하는 단계, 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하는 단계, 각각의 응답 변수에 대한 측정 불확도를 결정하는 단계, 응답 변수의 측정치 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족시키는지의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 - 복수의 확률들은 프로세스 윈도우를 나타냄 -, 및 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 포함한다.Methods, systems, and computer-readable media for constructing a lithography tool for manufacturing a semiconductor device are disclosed. The method includes selecting a first variable, selecting a second variable, selecting at least one response variable that is a function of the first variable and the second variable, and determining measurement uncertainty for each response variable. , based on the measurement of the response variable and the measurement uncertainty for the response variable, determining a plurality of probabilities representing a plurality of indications that a plurality of points associated with the lithographic process meet the specification requirements for each response variable - a plurality of probabilities. The probabilities represent a process window, and configuring a lithography tool for manufacturing a semiconductor device based on the process window.

Description

확률적 프로세스 윈도우들의 검출Detection of stochastic process windows

관련 출원에 대한 상호 참조Cross-reference to related applications

본 출원은 2021년 9월 10일에 출원되고 제목이 "Detection of Probabilistic Process Windows"인 미국 출원 번호 17/472,335에 대한 우선권 및 이익을 청구하며, 이의 전체 개시는 이하에서 완전히 재현되는 것 처럼 본원에 참고로 통합된다.This application claims priority and the benefit of U.S. Application No. 17/472,335, entitled “Detection of Probabilistic Process Windows,” filed September 10, 2021, the entire disclosure of which is hereby incorporated herein by reference as if fully reproduced hereinafter. Incorporated by reference.

본 출원은 또한 2021년 5월 10일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 17/316,154의 일부 계속 출원(continuation-in-part)이고, 이는 2019년 12월 30일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 16/730,393의 계속 출원이고, 2018년 12월 12일에 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 출원 번호 16/218,346의 계속 출원이고(현재 미국 특허 번호 10,522,322), 2018년 2월 8일에 출원되고 제목이 "Edge Detection System" 인 미국 출원 번호 15/892,080의 일부 계속 출원이고 이에 대한 우선권을 주장한다(현재 미국 특허 번호 10,176,966). 본 출원은 2018년 10월 1일 출원되고 제목이 "System and Method for Generating and Analyzing Roughness Measurements"인 미국 가출원 번호 62/739,721 및 2018년 5월 31일 출원되고 제목이 "System and Method for Removing Noise From Roughness Measurements"인 미국 가출원 번호 62/678,866에 대한 우선권을 더 주장한다. 또한, 미국 특허 출원 번호 16/218,346의 계속 출원으로, 본 특허 출원은 2017년 4월 13일 출원되고 제목이 "Edge Detection System"인 미국 가출원 일련 번호 62/602,152에 대한 우선권을 주장한다. 이들 모든 출원들은 이하에서 완전히 재현되는 것처럼 본원에 참고로 통합된다.This application is also a continuation-in-part of U.S. Application No. 17/316,154, entitled “System and Method for Generating and Analyzing Roughness Measurements,” filed May 10, 2021, and filed on Dec. 12, 2019. It is a continuation of U.S. application Ser. No. 16/730,393, filed Dec. 30 and titled “System and Method for Generating and Analyzing Roughness Measurements,” filed Dec. 12, 2018 and titled “System and Method for Generating and Analyzing It is a continuing application of U.S. Application No. 16/218,346, entitled "Roughness Measurements" (now U.S. Patent No. 10,522,322), and is a continuation-in-part of U.S. Application No. 15/892,080, entitled "Edge Detection System," filed February 8, 2018. Priority is claimed (current U.S. Patent No. 10,176,966). This application is related to U.S. Provisional Application No. 62/739,721, filed October 1, 2018 and titled “System and Method for Generating and Analyzing Roughness Measurements” and filed May 31, 2018 and titled “System and Method for Removing Noise From Priority is further claimed for U.S. Provisional Application No. 62/678,866, entitled “Roughness Measurements.” Additionally, as a continuation of U.S. Patent Application Serial No. 16/218,346, this patent application claims priority to U.S. Provisional Application Serial No. 62/602,152, entitled “Edge Detection System,” filed April 13, 2017. All of these applications are hereby incorporated by reference as if fully reproduced below.

본 개시는 전반적으로 패턴 구조들의 에지 검출(edge detection)에 관한 것이고, 보다 구체적으로는, 원하지 않는 노이즈를 포함하는 이미지들을 생성하는 주사 전자 현미경(SEM) 또는 다른 이미징 장치를 사용할 때 형성되는 이미지들에서와 같은 노이즈-프론(noise-prone) 이미지들에서의 패턴 구조들의 에지 검출에 관한 것이고, 보다 더 구체적으로는, 프로세스 변동(variation)들의 함수로서 이러한 거칠기(roughness) 측정들을 분석하고 이 분석을 사용하여 프로세스들 최적화하고 프로세스 툴들을 제어하는 것에 관한 것이다.This disclosure relates generally to edge detection of patterned structures, and more specifically, to images formed when using a scanning electron microscope (SEM) or other imaging device that produces images that contain undesirable noise. relates to edge detection of pattern structures in noise-prone images, and more specifically to analyzing these roughness measurements as a function of process variations and It is about optimizing processes and controlling process tools.

본 개시는 전반적으로, 측정 불확도(measurement uncertainty)를 설명하는 확률적 프로세스 윈도우를 생성하기 위한 방법들, 시스템들, 및 컴퓨터 판독가능 매체들을 제공한다.Generally, this disclosure provides methods, systems, and computer-readable media for generating a probabilistic process window that accounts for measurement uncertainty.

본 개시의 일 양태는 컴퓨터 구현 방법을 포함한다. 방법은 그래프의 제1 축 상에 표시된 제1 프로세스 변수를 선택하는 단계를 포함할 수 있다. 방법은 또한 그래프의 제2 축 상에 표시된 제2 프로세스 변수를 선택하는 단계를 포함할 수 있다. 방법은 또한 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수(response variable)를 선택하는 단계를 포함할 수 있다. 방법은 또한 각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정할 수 있다. 방법은 또한, 응답 변수의 측정치 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계를 포함할 수 있으며, 복수의 확률들은 프로세스 윈도우를 나타낸다. 방법은, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 더 포함한다.One aspect of the present disclosure includes a computer-implemented method. The method may include selecting a first process variable displayed on a first axis of the graph. The method may also include selecting a second process variable displayed on the second axis of the graph. The method may also include selecting at least one response variable that is a function of the first variable and the second variable. The method can also determine the measurement uncertainty for each response variable. The method may also provide a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, based on a measurement of the response variable and a measurement uncertainty for the response variable. It may include determining probabilities, and the plurality of probabilities represent a process window. The method further includes configuring a lithography tool for manufacturing a semiconductor device based on the process window.

본 개시의 다른 양태는, 일 구현예에서, 리소그래피 툴, 명령어들을 저장하는 메모리 디바이스, 및 프로세싱 디바이스를 포함하는 시스템을 포함한다. 프로세싱 디바이스는 메모리 디바이스 및 리소그래피 툴에 결합된다. 프로세싱 디바이스는 그래프의 제1 축 상에 표시될 수 있는 제1 변수를 선택하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한 그래프의 제2 축 상에 표시될 수 있는 제2 변수를 선택하기 위한 명령어를 실행할 수 있다. 프로세싱 디바이스는 또한 제1 변수 및 제2 변수의 함수로서 응답 변수를 선택하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한 응답 변수에 대한 측정 불확도를 결정하기 위한 명령어들을 실행할 수 있다. 프로세싱 디바이스는 또한, 응답 변수의 측정 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 사양 요건을 충족하는지 여부의 복수의 표시들을 나태내는 복수의 확률들을 결정하기 위한 명령어들을 실행할 수 있다. 복수의 확률들은 프로세스 윈도우를 나타낼 수 있다. 프로세싱 디바이스는 또한, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하기 위한 명령어들을 실행할 수 있다.Another aspect of the present disclosure includes, in one implementation, a system that includes a lithography tool, a memory device storing instructions, and a processing device. The processing device is coupled to a memory device and a lithography tool. The processing device can execute instructions to select a first variable that can be displayed on a first axis of the graph. The processing device may also execute instructions to select a second variable that may be displayed on a second axis of the graph. The processing device may also execute instructions to select a response variable as a function of the first variable and the second variable. The processing device may also execute instructions to determine measurement uncertainty for a response variable. The processing device also includes instructions for determining a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with the lithography process meet specification requirements, based on the measurement of the response variable and the measurement uncertainty for the response variable. It can be run. A plurality of probabilities may represent a process window. The processing device may also execute instructions to configure a lithography tool for manufacturing a semiconductor device, based on the process window.

본 개시의 추가 양태는, 명령어가 실행될 때, 프로세싱 디바이스로 하여금 그래프의 제1 축 상에 표시되는 제1 변수를 선택하게 하는 명령어들을 저장하는 유형(tangible)의 비일시적 컴퓨터 판독가능 매체를 포함한다. 명령어들은 또한 프로세싱 디바이스로 하여금 그래프의 제2 축 상에 표시되는 제2 변수를 선택하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금 제1 변수 및 제 2 변수의 함수로서 응답 변수를 선택하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금 출력 응답 변수에 대한 측정 불확도를 결정하게 할 수 있다. 명령어들은 또한 프로세싱 디바이스로 하여금, 응답 변수의 측정 및 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 사양 요건을 충족하는지 여부의 복수의 표시들을 나태내는 복수의 확률들을 결정하게 할 수 있다. 복수의 확률들은 프로세스 윈도우를 나타낸다. 명령어들은 또한 프로세싱 디바이스로 하여금, 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하게 한다.A further aspect of the disclosure includes a tangible, non-transitory computer-readable medium storing instructions that, when executed, cause a processing device to select a first variable displayed on a first axis of a graph. . The instructions may also cause the processing device to select a second variable displayed on the second axis of the graph. The instructions may also cause the processing device to select a response variable as a function of the first variable and the second variable. The instructions may also cause the processing device to determine measurement uncertainty for the output response variable. The instructions also cause the processing device to determine, based on the measurement of the response variable and the measurement uncertainty for the response variable, a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with the lithography process meet specification requirements. can do. A plurality of probabilities represent a process window. The instructions also cause the processing device to configure a lithography tool to fabricate a semiconductor device, based on the process window.

첨부된 도면들은 본 개시의 예시적인 구현예들만을 예시하며, 따라서 본 발명의 개념이 다른 동등하게 효과적인 구현에 적합하기 때문에 그 범위를 제한하지 않는다.
도 1a는 라인들 사이에 공간들을 갖는 평행한 라인 피처(line feature)들을 나타내는 패턴 구조의 표현이다.
도 1b는 컨택 홀 피처(contact hole feature)들을 포함하는 패턴 구조의 표현이다.
도 2는 모두 동일한 표준 편차를 갖는 4개의 상이한 거친 에지(rough edge)를 도시한다.
도 3은 파워 스펙트럼 밀도(PSD : power spectral density) 대 주파수의 로그-로그 스케일(log-log scale)의 표현이다.
도 4는 파워 스펙트럼 밀도(PSD) 대 주파수로 플롯팅되고 거칠기 파라미터 PSD(0), 상관 길이, 및 거칠기 지수를 도시하는 그래픽 표현이다.
도 5는 패턴 구조 상의 피처의 개개의 에지들에 대응하는 2개의 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 6은 라인 길이의 함수로서 피처-대-피처(feature-to-feature) 변동 및 피처내 변동(within-feature variation)의 트레이드오프(tradeoff)의 그래픽 표현이다.
도 7은 개시된 에지 검출 장치의 하나의 구현예를 함께 형성하는 정보 핸들링 시스템 (IHS : information handling system)에 결합된 주사 전자 현미경 (SEM)의 블록도이다.
도 8a는 피처의 중심에 충돌하는 전자 빔을 도시하는 기판 상에 배치된 피처의 표현이다.
도 8b는 그 에지 근처의 피처 상에 충돌하는 전자 빔을 도시하는 기판 상에 배치된 피처의 표현이다.
도 9는 하나의 수평 절단부(cut)를 따라 대응하는 그레이스케일 라인 스캔이 바로 아래에 그래픽적으로 플롯된 최상부 상의 그레이스케일 이미지 표현을 도시한다.
도 10은 전자 빔이 패턴 구조 상에 충돌하는 위치에 따라 패턴 구조로부터 탈출하는 전자들의 수가 변하는 기판 최상부에 위치된 피처를 포함하는 패턴 구조의 예를 도시한다.
도 11은 실리콘 웨이퍼와 같은 패턴 구조 상의 레지스트 계단(resist step)의 예측된 라인 스캔을 도시한다.
도 12는 실리콘 웨이퍼 상의 레지스트 라인들 및 공간들의 패턴의 다른 대표적인 예측된 라인 스캔을 도시한다.
도 13a는 개시된 에지 검출 장치 및 방법을 사용하지 않은 패턴 구조의 원본 SEM 이미지이다.
도 13b는 개시된 에지 검출 장치 및 방법을 사용한 것을 제외하고는 도 13a와 동일한 SEM 이미지이다.
도 14는 종래 기술의 결과(종래의 임계 에지 검출을 갖는 필터를 사용함) 및 필터를 사용하지 않고 역 라인 스캔 모델(ILM : inverse linescan model)을 사용한 결과 둘 모두를 도시하는 미가공(Raw)(편향된(Biased)) 선폭 거칠기 플롯 대 임계치 설정이다.
도 15a는 노이즈 감산(noise subtraction) 전에 도시된 피처의 우측 및 좌측 에지들의 파워 스펙트럼 밀도(PSD) 대 주파수 플롯이다.
도 15b는 노이즈 감산 후에 도시된 피처의 우측 및 좌측 에지들의 파워 스펙트럼 밀도(PSD) 대 주파수 플롯이다.
도 16은 상이한 SEM 전자 선량(dose)들에서 취해진 명목상 동일한 리소그래피 피처들의 3개의 SEM 이미지들의 부분들을 도시한다.
도 17a는 극도로 많은 수의 전자가 존재하여 픽셀 노이즈가 무시할 수 있는 경우에 대한 웨이퍼 상의 라인 피처에 대한 전형적인 라인 스캔을 도시한다.
도 17b는 3개의 상이한 X 픽셀 크기들에 대해, 그레이스케일 노이즈의 존재시 완벽하게 매끄러운 피처들에 대한 에지 검출 위치에서의 1-시그마 불확도를 도시한다.
도 17c는 우측 이미지에서는 이미지 필터링을 이용하고 좌측 이미지에서는 이미지 필터링을 이용하지 않는 단순한 임계 에지 검출 알고리즘을 이용하는 예로서 그레이스케일 이미지들을 도시한다.
도 18은 30개의 이미지들의 집합에 대한 2개의 상이한 이미지 필터들의 영향을 도시하는 선폭 거칠기(LWR : linewidth roughness) PSD 대 주파수의 플롯이다.
도 19는 개시된 에지 검출 장치 및 방법의 노이즈 감산 프로세스를 도시하는 파워 스펙트럼 밀도 플롯 대 주파수이다.
도 20은 SEM에서 상이한 통합 프레임으로 측정된, 주어진 웨이퍼 상의 특정 레지스트 피처 유형의 PSD를 도시한다.
도 21은 SEM에서의 통합 프레임들의 수의 함수로서 측정된 3σ 선폭 거칠기(LWR)의 편향된(biased) 값 및 비편향된(unbiased) 값을 도시한다.
도 22a는 SEM에 의해 채용된 상이한 픽셀 크기들 및 배율((magnification)들의 함수로서 편향된 선폭 거칠기(LWR) 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 22b는 SEM에 의해 채용된 상이한 픽셀 크기들 및 배율들의 함수로서 비편향된 선폭 거칠기(LWR) 파워 스펙트럼 밀도(PSD)들을 도시한다.
도 23은 개시된 SEM 에지 검출 시스템이 패턴 구조의 에지들을 검출하기 위해 채용하는 대표적인 전체 프로세스 흐름을 도시하는 흐름도이다.
도 24a는 개시된 계측 툴이 분석하는 수직 라인들 및 공간들의 패턴 구조의 그레이스케일 표현이다.
도 24b는 하나의 Y-픽셀 위치에서의 단일 라인 스캔을 도시한다.
도 24c는 모든 Y-픽셀들에 걸쳐 평균화함으로써 생성되는 평균화된 라인 스캔을 도시한다.
도 25a는 고주파 스파이크 아티팩트(artfact)들을 포함하는 PSD를 도시한다.
도 25B는 스파이크 아티팩트가 제거된 PSD를 도시한다.
도 26은 중간 주파수 스파이크 아티팩트들 및 고조파(harmonics)들을 포함하는 PSD를 도시한다.
도 27a는 PSD의 모델링 및 해석에 대한 중간 주파수 스파이크 아티팩트의 영향을 도시한다.
도 27b는 PSD의 모델링 및 해석에 대한 중간 주파수 스파이크 아티팩트들을 제거하는 영향을 도시한다.
도 28a는 범프 거동(bump behavior)의 유형을 나타내는 PSD 데이터세트를 도시한다.
도 28b는 범프 거동의 유형을 나타내는 추가적인 PSD 데이터세트를 도시한다.
도 29a는 유형 I의 저주파 범프의 모델링 및 분석을 도시한다.
도 29b는 유형 II의 저주파 범프의 모델링 및 분석을 도시한다.
도 30은 PSD 데이터세트에서 원하지 않는 스파이크들을 검출하고, PSD 데이터세트로부터 스파이크들을 제거하고 피처에 대한 거칠기 파라미터들을 획득하기 위한 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 31은 PSD 데이터세트에서 범프들을 모델링하고 피처에 대한 비편향된 거칠기 파라미터들을 획득하기 위한 다른 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 32는 평균값들의 가우시안 분포의 예의 플롯이다.
도 33은 사양 내에 복수의 포인트들이 존재할 확률을 나타내는 히트맵(heat-map)의 일 예를 나타내는 도면이다.
도 34는 도 33의 히트맵(heat map)의 대안의 3차원 도면이다.
도 35는 사양 피처들의 분율(fraction)들을 예시하는 히트맵의 예의 다이어그램이다.
도 36은 도 35의 히트맵의 대안의 3차원 도면이다.
도 37은 초점 에러(focus error) 및 노광 에러(exposure error)에 대한 커브의 예의 플롯이다.
도 38은 측정 불확도를 설명하는 확률적 프로세스 윈도우를 생성하기 위한 대표적인 프로세스 흐름을 도시하는 흐름도이다.
도 39a는 CD에 대한 초점 및 노광 선량(exposure dose)의 영향을 조합하는 보썽 플롯(Bossung plot)의 예의 다이어그램이다.
도 39b는 일정한 선폭 대 초점 및 노광의 윤곽들을 갖는 치수 데이터 세트를 포함하는 윤곽 플롯의 예의 도면이다.
도 39c는 초점 (x-축) 및 노광 선량 (y-축)의 함수로서, CD (공칭 +/- 10%), 80도 측벽 각도, 및 10% 레지스트 손실의 윤곽을 모두 동일한 그래프 상에 플롯팅한 예이다.
도 40a는 프로세스 윈도우 내부에 피팅된 2개의 최대 직사각형들을 도시하는 프로세스 윈도우의 예시적인 다이어그램이다.
도 40b는 프로세스 윈도우 내부에 하나의 최대 직사각형 및 하나의 최대 타원 피팅을 도시하는 프로세스 윈도우의 예시적인 다이어그램이다.
도 41은 노광 관용도(exposure latitude) 대 초점 심도(depth of focus)를 제공하기 위한 프로세스 윈도우의 예시적인 분석이다.
도 42는 2개의 상이한 피치들의 라인/공간 패턴들에 대한 중첩 프로세스 윈도우들의 예이다.
도 43은 프로세스 윈도우 크기의 기하학적 분석에 대한 측정 에러의 잠재적인 영향을 도시한다.
The attached drawings illustrate only exemplary implementations of the present disclosure and thus do not limit its scope since the inventive concept is suitable for other equally effective implementations.
1A is a representation of a pattern structure showing parallel line features with spaces between the lines.
1B is a representation of a pattern structure including contact hole features.
Figure 2 shows four different rough edges, all with the same standard deviation.
Figure 3 is a representation of power spectral density (PSD) versus frequency on a log-log scale.
Figure 4 is a graphical representation showing the roughness parameters PSD(0), correlation length, and roughness index plotted as power spectral density (PSD) versus frequency.
Figure 5 shows two power spectral densities (PSDs) corresponding to individual edges of a feature on the pattern structure.
Figure 6 is a graphical representation of the tradeoff of feature-to-feature variation and within-feature variation as a function of line length.
FIG. 7 is a block diagram of a scanning electron microscope (SEM) coupled to an information handling system (IHS), which together form one implementation of the disclosed edge detection device.
Figure 8A is a representation of a feature disposed on a substrate showing an electron beam impinging on the center of the feature.
FIG. 8B is a representation of a feature disposed on a substrate showing an electron beam impinging on the feature near its edge.
Figure 9 shows a grayscale image representation on top with the corresponding grayscale line scan along one horizontal cut graphically plotted immediately below.
Figure 10 shows an example of a patterned structure including a feature located on top of a substrate where the number of electrons escaping from the patterned structure varies depending on where the electron beam impinges on the patterned structure.
Figure 11 shows a predicted line scan of a resist step on a patterned structure, such as a silicon wafer.
Figure 12 shows another representative predicted line scan of a pattern of resist lines and spaces on a silicon wafer.
Figure 13a is an original SEM image of the pattern structure without using the disclosed edge detection device and method.
FIG. 13B is the same SEM image as FIG. 13A except that the disclosed edge detection device and method are used.
14 shows raw (biased) results both from the prior art (using a filter with conventional critical edge detection) and from using an inverse linescan model (ILM) without a filter. (Biased)) Linewidth roughness plot versus threshold settings.
Figure 15A is a plot of power spectral density (PSD) versus frequency of the right and left edges of a feature depicted before noise subtraction.
Figure 15B is a plot of power spectral density (PSD) versus frequency of the right and left edges of the feature depicted after noise subtraction.
Figure 16 shows portions of three SEM images of nominally identical lithography features taken at different SEM electron doses.
Figure 17A shows a typical line scan of a line feature on a wafer for the case where there are extremely large numbers of electrons so that pixel noise is negligible.
Figure 17b shows the 1-sigma uncertainty in edge detection location for perfectly smooth features in the presence of grayscale noise, for three different X pixel sizes.
FIG. 17C shows grayscale images as an example of using a simple critical edge detection algorithm that uses image filtering in the right image and no image filtering in the left image.
Figure 18 is a plot of linewidth roughness (LWR) PSD versus frequency showing the impact of two different image filters on a set of 30 images.
19 is a power spectral density plot versus frequency illustrating the noise subtraction process of the disclosed edge detection apparatus and method.
Figure 20 shows the PSD of a specific resist feature type on a given wafer, measured with different integrated frames in SEM.
Figure 21 shows biased and unbiased values of 3σ linewidth roughness (LWR) measured as a function of the number of integrated frames in the SEM.
Figure 22A shows biased linewidth roughness (LWR) power spectral densities (PSD) as a function of different pixel sizes and magnifications employed by the SEM.
Figure 22b shows unbiased linewidth roughness (LWR) power spectral densities (PSD) as a function of different pixel sizes and magnifications employed by the SEM.
FIG. 23 is a flow chart illustrating a representative overall process flow employed by the disclosed SEM edge detection system to detect edges of a pattern structure.
FIG. 24A is a grayscale representation of the pattern structure of vertical lines and spaces that the disclosed metrology tool analyzes.
Figure 24b shows a single line scan at one Y-pixel location.
Figure 24C shows an averaged line scan produced by averaging across all Y-pixels.
Figure 25A shows a PSD containing high frequency spike artifacts.
Figure 25B shows the PSD with spike artifacts removed.
Figure 26 shows a PSD containing mid-frequency spike artifacts and harmonics.
Figure 27A illustrates the impact of mid-frequency spike artifacts on modeling and interpretation of PSD.
Figure 27b shows the impact of removing mid-frequency spike artifacts on modeling and interpretation of PSD.
Figure 28A shows a PSD dataset showing a type of bump behavior.
Figure 28b shows an additional PSD dataset showing types of bump behavior.
Figure 29A shows modeling and analysis of a type I low frequency bump.
Figure 29b shows modeling and analysis of type II low frequency bumps.
Figure 30 is a flow diagram illustrating a representative process flow for detecting unwanted spikes in a PSD dataset, removing spikes from the PSD dataset and obtaining roughness parameters for a feature.
31 is a flow diagram illustrating another representative process flow for modeling bumps in a PSD dataset and obtaining unbiased roughness parameters for the feature.
Figure 32 is a plot of an example of a Gaussian distribution of mean values.
Figure 33 is a diagram showing an example of a heat-map indicating the probability that a plurality of points exist within a specification.
Figure 34 is an alternative three-dimensional diagram of the heat map of Figure 33.
Figure 35 is a diagram of an example heatmap illustrating fractions of specification features.
Figure 36 is an alternative three-dimensional diagram of the heatmap of Figure 35.
Figure 37 is a plot of an example curve for focus error and exposure error.
Figure 38 is a flow chart depicting a representative process flow for creating a probabilistic process window that accounts for measurement uncertainty.
Figure 39A is a diagram of an example of a Bossung plot combining the effects of focus and exposure dose on CD.
Figure 39B is an example of a contour plot containing a dimensional data set with contours of constant linewidth versus focus and exposure.
Figure 39C plots CD (nominal +/- 10%), 80 degree sidewall angle, and 10% resist loss as a function of focus (x-axis) and exposure dose (y-axis), all on the same graph. This is an example.
Figure 40A is an example diagram of a process window showing the two largest rectangles fitted inside the process window.
Figure 40B is an example diagram of a process window showing one maximum rectangle and one maximum ellipse fit inside the process window.
Figure 41 is an example analysis of a process window to provide exposure latitude versus depth of focus.
Figure 42 is an example of overlapping process windows for line/space patterns of two different pitches.
Figure 43 illustrates the potential impact of measurement error on geometric analysis of process window size.

측정 시스템의 노이즈가 측정되고 있는 거칠기(roughness)와 구별하기 어렵다는 점에서 패턴의 거칠기를 측정하는 것은 복잡하다. 현미경과 같은 이미징 툴을 사용하여 측정될 객체의 상세한 이미지를 생성한 다음 해당 이미지에 대한 정보를 분석하여 객체의 하나 이상의 피처(feature)의 거칠기를 측정하고 특성화하는 것이 일반적이다. 이 경우, 획득된 이미지의 노이즈는 이미지 내의 피처들의 거칠기(roughness)로 나타날 수 있다. 피처들의 거칠기의 보다 정확한 측정치들을 생성하기 위해, 다른 것들 중에서도, 피처들의 실제 거칠기로부터 이미지의 노이즈를 분리하는데 유용한 기법들이 이하에서 설명된다.Measuring the roughness of a pattern is complicated in that the noise in the measurement system is difficult to distinguish from the roughness being measured. It is common to use an imaging tool, such as a microscope, to create a detailed image of the object to be measured and then analyze information about that image to measure and characterize the roughness of one or more features of the object. In this case, noise in the acquired image may appear as roughness of features in the image. In order to generate more accurate measures of the roughness of features, techniques useful for separating the noise in the image from the actual roughness of the features, among others, are described below.

예로서, 주사 전자 현미경(SEM)들은 예를 들어, 반도체 디바이스들과 같은 패턴 구조들의 피처들을 연구하는데 매우 유용하다. 불행하게도, 이들 구조들의 피처 거칠기(feature roughness)를 측정하는 것은 SEM 이미지들에 내재된 노이즈 때문에 종종 도전적이다. SEM 이미지의 필터링(평활화(smoothing))은 전형적으로 정확한 에지 검출을 달성하기 위해 필요하지만, 이러한 필터링은 측정되는 피처 거칠기를 바람직하지 않게 변화시킨다. 이미지 필터링의 사용 없이(또는 적어도 측정되는 피처 거칠기를 변화시킬 임의의 필터링 없이) 매우 노이즈가 많은 SEM 이미지들에서 에지들을 신뢰성 있게 검출하는 에지 검출 접근법이 필요하다.For example, scanning electron microscopes (SEMs) are very useful for studying features of patterned structures, such as semiconductor devices. Unfortunately, measuring the feature roughness of these structures is often challenging due to the noise inherent in SEM images. Filtering (smoothing) of the SEM image is typically necessary to achieve accurate edge detection, but such filtering undesirably changes the measured feature roughness. There is a need for an edge detection approach that reliably detects edges in very noisy SEM images without the use of image filtering (or at least without any filtering that would change the feature roughness being measured).

패턴 거칠기는 많은 분야에서 주요한 문제이다. 다양한 형상의 패턴을 생성하기 위한 모든 기술은 아니지만 다수가 이들 패턴의 에지 상에, 적어도 더 큰 스케일은 아니지만 거의 분자 스케일(near molecular scale)에서 거칠기를 생성한다. 예를 들어, 반도체 제조를 위한 진보된 리소그래피, 특히 극자외선(EUV) 리소그래피를 위한 그러나 다른 리소그래피 방법들에서도, 인쇄 및 에칭된 패턴들의 거칠기는 많은 부정적인 효과들을 야기할 수 있다. 거칠기의 감소는 확률적 변동의 소스에 대한 더 나은 이해를 요구하며, 이는 결국 거친 피처의 더 나은 측정 및 특성화를 요구한다. 종래 기술의 거칠기 측정 접근법들은 이미지에서의 노이즈가 웨이퍼 상의 거칠기에 추가되기 때문에 심한 바이어스를 겪는다. 본 개시는 물리적 기반 역 라인 스캔 모델의 사용을 통해 비편향된 거칠기 측정을 행하는 실용적인 접근법을 제공한다. 이것은 광범위한 SEM 계측 조건에 걸쳐 거칠기 파라미터의 정확하고 강건한 측정을 가능하게 한다.Pattern roughness is a major problem in many fields. Many, but not all, techniques for creating patterns of various shapes create roughness on the edges of these patterns, at least at the near molecular scale, if not at the larger scale. For example, in advanced lithography for semiconductor manufacturing, especially extreme ultraviolet (EUV) lithography, but also in other lithographic methods, the roughness of printed and etched patterns can cause many negative effects. Reduction of roughness requires a better understanding of the sources of stochastic variation, which in turn requires better measurement and characterization of rough features. Prior art roughness measurement approaches suffer from severe bias because noise in the image adds to the roughness on the wafer. This disclosure provides a practical approach to making unbiased roughness measurements through the use of a physically based inverse line scan model. This enables accurate and robust measurement of roughness parameters over a wide range of SEM measurement conditions.

SEM 이미지 노이즈 문제를 다루는 개시된 기술의 구현예들을 논의하기 전에, 본 개시는 먼저 패턴 구조들의 리소그래피 및 거칠기의 주파수 의존성을 논의한다.Before discussing implementations of the disclosed technology that address the SEM image noise problem, the present disclosure first discusses the frequency dependence of the lithography and roughness of patterned structures.

1. 리소그래피에서의 확률적 영향1. Stochastic effects in lithography

리소그래피 및 패터닝 진보는 집적 회로에서 트랜지스터에 의해 소비되는 실리콘의 면적을 비용 효율적으로 감소시킴으로써 무어의 법칙(Moore's Law)을 계속 추진한다. 개선된 분해능에 대한 필요성 외에도, 이러한 리소그래피 진보들은 또한 제조되는 더 작은 피처들의 개선된 제어를 허용해야 한다. 역사적으로, 리소그래피들은 이러한 변동들의 소스들을 최소화하려고 시도함으로써 그리고 이러한 변동들에 대한 최소 감도로 프로세스들을 개발함으로써 패터닝 충실도(patterning fidelity)(예를 들어, 노광 선량 및 초점 변동들, 핫플레이트(hotplate) 온도 불균일성, 스캐너 수차(aberration)들)에 영향을 미치는 변동의 "전역적(global)" 소스들에 초점을 맞추었다. 그러나, 오늘날의 작은 피처들은 또한 분자 스케일 근처에서 패터닝하는 근본적인 확률론에 의해 야기되는 "국부적(local)" 변동들을 겪는다. Lithography and patterning advances continue to drive Moore's Law by cost-effectively reducing the area of silicon consumed by transistors in integrated circuits. In addition to the need for improved resolution, these lithographic advances should also allow for improved control of the smaller features being manufactured. Historically, lithographs have improved patterning fidelity (e.g., exposure dose and focus variations, hotplate) by attempting to minimize sources of these variations and developing processes with minimal sensitivity to these variations. The focus was on “global” sources of variation that affect temperature inhomogeneities, scanner aberrations). However, today's small features also suffer "local" fluctuations caused by the underlying stochasticity of patterning near the molecular scale.

리소그래피에서, 광은 포토레지스트라 불리는 감광성 재료를 노광시키기 위해 사용된다. 결과적인 화학 반응들(노광후 베이크(bake) 동안 발생하는 것들을 포함함)은 레지스트의 용해도(solubility)를 변화시켜, 패턴들이 현상될 수 있게 하고 원하는 임계 치수(CD : critical dimension)를 생성한다. "큰(large)" 레지스트의 체적(즉, 많은 수의 레지스트 분자를 함유하는 체적)에 대해, 해당 체적에 걸쳐 평균화된 광 에너지의 양은 패턴을 생성하기 위해 특정(평균) 양의 용해를 생성하는 특정 양의 화학적 변화(평균적으로)를 생성한다. 광 에너지, 화학 농도, 및 용해 속도(dissolution rate) 사이의 관계들은 주어진 입력들의 세트에 대한 출력들을 예측하는 결정론적 수학식들로 설명될 수 있다. 이러한 리소그래피 모델들은 극히 유용하며, 일반적으로 반도체 제조를 위한 리소그래피 프로세스들을 이해하고 제어하는 데 사용된다.In lithography, light is used to expose a photosensitive material called photoresist. The resulting chemical reactions (including those that occur during post-exposure bake) change the solubility of the resist, allowing patterns to be developed and creating the desired critical dimension (CD). For a “large” volume of resist (i.e., a volume containing a large number of resist molecules), the amount of light energy averaged over that volume will produce a certain (average) amount of dissolution to produce the pattern. Produces (on average) a certain amount of chemical change. The relationships between light energy, chemical concentration, and dissolution rate can be described by deterministic equations that predict outputs for a given set of inputs. These lithography models are extremely useful and are commonly used to understand and control lithography processes for semiconductor manufacturing.

리소그래피 프로세스의 이러한 결정론적 뷰(특정 입력들은 항상 특정 출력들을 생성함)는 단지 대략적으로는 진실(true)이다. 리소그래피의 "평균 필드 이론(mean field theory)"은 평균적으로 결정론적 모델들이 리소그래피 결과들을 정확하게 예측한다고 말한다. 우리가 많은 수의 광자(photon)에 걸쳐 평균한다면, 광 에너지에 대한 단일 수(평균)는 광 에너지를 설명하기에 충분하다. 큰 체적의 레지스트에 대해, 화학 종의 평균 농도는 이의 화학 상태를 충분히 설명한다. 그러나 매우 작은 체적의 경우, 체적의 원자 또는 분자의 수는 고정된 "평균" 농도에 대해서도 무작위적(random)이다. 작은 체적들(즉, 소량의 광자들 또는 분자들 또는 이벤트들의 수에 대한) 내의 이러한 무작위성은 일반적으로 "샷 노이즈(shot noise)"로 지칭되고, 관심 영역이 분자 스케일에 접근할 때 발생하는 리소그래피에서의 확률적 변동의 예이다.This deterministic view of the lithography process (certain inputs always produce certain outputs) is only approximately true. The "mean field theory" of lithography states that, on average, deterministic models accurately predict lithography results. If we average over a large number of photons, a single number for the light energy (average) is sufficient to describe the light energy. For large volumes of resist, the average concentration of a chemical species sufficiently describes its chemical state. However, for very small volumes, the number of atoms or molecules in the volume is random, even for a fixed "average" concentration. This randomness within small volumes (i.e., for small numbers of photons or molecules or events) is commonly referred to as “shot noise” and occurs when the region of interest approaches the molecular scale in lithography. This is an example of stochastic variation in .

확률적 프로세스는 프로세스의 결과가 무작위로 결정되는 것이다. 원자/분자 레벨에서, 본질적으로 모든 프로세스는 확률적이다. 20-nm 노드 및 그 미만(40 nm 미만의 최소 피처 크기들을 가짐)에서의 반도체 패터닝의 경우, 관심 치수들은 확률적 영향들이 중요해지고 심지어 제조되는 패턴들의 치수들, 형상들, 및 배치들에 영향을 미치는 총 변동들을 지배할 수 있도록 충분히 작다. 이러한 확률적 영향은 또한 일부 상황에서 더 큰 피처 크기에 대해서는 중요할 수 있다.A stochastic process is one in which the outcome of the process is determined randomly. At the atomic/molecular level, all processes are inherently stochastic. For semiconductor patterning at the 20-nm node and below (with minimum feature sizes of less than 40 nm), the dimensions of interest become significant and even affect the dimensions, shapes, and placement of the patterns that are fabricated. It is small enough to dominate the total fluctuations that affect it. These stochastic effects may also be important for larger feature sizes in some situations.

리소그래피에서의 확률적 변동(뿐만 아니라, 에칭 및 패터닝 프로세스의 다른 부분들)의 가장 두드러진 징후(manifestation)는 생성되는 패턴들이 매끄럽기보다는 거칠다는 것이다(도 1a). 도 1a에 도시된 패턴 구조에서, 명목상 평행한 수직 라인들은 밝은 수직 영역들로서 나타나는 반면, 공간들은 라인들 사이의 어두운 수직 영역들로서 나타난다. 피처 에지의 거칠기를 라인 에지 거칠기(LER : line-edge roughness)라 하고, 피처 폭의 거칠기를 선폭 거칠기(LWR : line width roughness)라 한다. 피처 중심선(좌측 에지와 우측 에지 사이의 중간점(midpoint))의 거칠기를 패턴 배치 거칠기(PPR : pattern placement roughness)라고 한다. 이들 확률적 변동의 다른 중요한 결과는 컨택 홀 피처에 대해 특히 명백한 피처의 크기, 형상 및 배치의 무작위 변동이다(도 1b).The most striking manifestation of stochastic fluctuations in lithography (as well as other parts of the etching and patterning process) is that the resulting patterns are rough rather than smooth (Figure 1a). In the pattern structure shown in Figure 1A, nominally parallel vertical lines appear as bright vertical areas, while spaces appear as dark vertical areas between the lines. The roughness of the feature edge is called line-edge roughness (LER), and the roughness of the feature width is called line width roughness (LWR). The roughness of the feature centerline (midpoint between the left and right edges) is called pattern placement roughness (PPR). Another important consequence of these stochastic variations is the random variation in the size, shape and placement of features, which is particularly evident for contact hole features (Figure 1b).

패터닝에서의 확률적 영향들은 여러 방식들로 반도체 디바이스들의 수율 및 성능을 감소시킬 수 있다: a) 피처내 거칠기(within-feature roughness)는 금속 라인 저항 및 트랜지스터 게이트 누설과 같은 디바이스의 전기적 속성들에 영향을 미칠 수 있다; b) 확률론들에 의해 야기되는 피처-대-피처 크기 변동(국부적 CD 균일성, LCDU로도 지칭됨)은 CD 변동의 총 버짓(budget)에 추가되어, 때때로 지배적인 소스가 된다; c) 확률론들에 의해 야기되는 피처-대-피처 패턴 배치 변동(국부적 패턴 배치 에러, LPPE로도 지칭됨)은 PPE의 총 버짓에 추가되어, 때때로 지배적인 소스가 된다; d) 에러 분포들이 팻 테일(fat tail)들을 갖는 경우 재앙적 브릿지들 또는 브레이크들의 예상된 발생보다 더 크게 야기하는 드문 이벤트들이 더 가능성이 있다; e) 계측 결과들에 기초한 결정들(프로세스 모니터링 및 제어, 뿐만 아니라 광학 근접 정정(OPC : optical proximity correction) 모델들의 캘리브레이션(calibration)을 포함함)은 이들 계측 결과들이 확률적 변동들을 적절히 고려하지 않는 경우 불량일 수 있다. 이러한 이유들로, 확률적-유도(stochastic-induced) 거칠기의 적절한 측정 및 특성화가 중요하다.Stochastic effects in patterning can reduce the yield and performance of semiconductor devices in several ways: a) within-feature roughness affects the electrical properties of the device, such as metal line resistance and transistor gate leakage; can have an impact; b) feature-to-feature size variation (also referred to as local CD uniformity, LCDU) caused by stochasticities adds to the total budget of CD variation, sometimes becoming the dominant source; c) feature-to-feature pattern placement variation (also referred to as local pattern placement error, LPPE) caused by stochasticities adds to the total budget of PPE, sometimes becoming a dominant source; d) Rare events causing larger than expected occurrences of catastrophic bridges or breaks are more likely if error distributions have fat tails; e) Decisions based on metrology results (including process monitoring and control, as well as calibration of optical proximity correction (OPC) models) ensure that these metrology results do not adequately account for stochastic variations. In this case, it may be defective. For these reasons, proper measurement and characterization of stochastic-induced roughness is important.

많은 다른 종류의 디바이스들이 또한 피처 거칠기(feature roughness)에 민감하다. 예를 들어, 광 도파관의 에지를 따라서의 거칠기는 산란으로 인한 광의 손실을 야기할 수 있다. 라디오 주파수 MEMS(microelectromechanical systems) 스위치에서의 피처 거칠기는 다른 MEMS 디바이스에 대해 사실인 바와 같이 성능 및 신뢰성에 영향을 미칠 수 있다. 피처 거칠기는 발광 다이오드들의 출력을 저하시킬 수 있다. 에지 거칠기는 또한 미세유체 디바이스(microfluidic device)에서 피처의 기계적 및 습윤 속성에 영향을 미칠 수 있다. 와이어 그리드 편광기(wire grid polarizer) 내의 피처들의 거칠기는 편광기의 효율 및 투과율에 영향을 미칠 수 있다.Many other types of devices are also sensitive to feature roughness. For example, roughness along the edges of an optical waveguide can cause loss of light due to scattering. Feature roughness in radio frequency microelectromechanical systems (MEMS) switches can affect performance and reliability, as is true for other MEMS devices. Feature roughness can reduce the output of light emitting diodes. Edge roughness can also affect the mechanical and wetting properties of features in microfluidic devices. The roughness of features within a wire grid polarizer can affect the efficiency and transmission of the polarizer.

불행히도, 종래 기술의 거칠기 측정들(예를 들어, 임계 치수 주사 전자 현미경(critical dimension scanning electron microscope, CD-SEM)을 이용한 선폭 거칠기 또는 라인 에지 거칠기의 측정)은 측정 툴에 의해 야기된 측정 노이즈에 의해 오염된다. 이는 편향된 측정치를 초래하며, 여기서 측정 노이즈는 실제 거칠기(true roughness)에 더해져 실제 거칠기를 과대 평가하는 겉보기 거칠기(apparent roughness)를 생성한다. 더욱이, 이러한 편향(bias)은 사용된 특정 측정 툴 및 그 설정에 의존한다. 이들 편향은 또한 측정되는 패턴의 함수이다. 비편향된 거칠기 추정치를 제공하려는 종래 기술의 시도들은 종종 더 작은 피처 크기들 및 더 높은 레벨들의 SEM 노이즈로 인해 오늘날의 많은 애플리케이션들에서 어려움을 겪는다.Unfortunately, prior art roughness measurements (e.g., measurement of linewidth roughness or line edge roughness using a critical dimension scanning electron microscope (CD-SEM)) are susceptible to measurement noise caused by the measurement tool. polluted by This results in biased measurements, where measurement noise is added to the true roughness, creating an apparent roughness that overestimates the true roughness. Moreover, this bias depends on the specific measurement tool used and its settings. These biases are also a function of the pattern being measured. Prior art attempts to provide unbiased roughness estimates often suffer in many today's applications due to smaller feature sizes and higher levels of SEM noise.

따라서, 종래 기술의 시도들의 문제들을 회피하고 정확하고 정밀한 피처 거칠기의 비편향된 추정치를 제공하는 비편향된 거칠기 측정들을 행하기 위한 새로운 접근법이 필요하다. 또한, 양호한 패턴 거칠기 측정 방법은 계측 툴 설정들에 대한 최소 의존성을 가져야 한다. 배율, 픽셀 크기, 평균화 프레임의 수(SEM에서의 총 전자 선량과 동등함), 전압 및 전류와 같은 CD-SEM 설정은 측정되는 편향된 거칠기에서 상당히 큰 변화를 야기할 수 있다. 이상적으로, 비편향된 거칠기 측정은 이러한 설정들에는 큰 정도로 독립적일 것이다.Accordingly, a new approach is needed to make unbiased roughness measurements that avoid the problems of prior art attempts and provide an accurate and precise unbiased estimate of feature roughness. Additionally, a good pattern roughness measurement method should have minimal dependence on metrology tool settings. CD-SEM settings such as magnification, pixel size, number of averaging frames (equivalent to the total electron dose in the SEM), voltage and current can cause quite large changes in the measured biased roughness. Ideally, unbiased roughness measurements would be independent of these settings to a large extent.

2. 라인 에지 거칠기(LER), 선폭 거칠기(LWR) 및 패턴 배치 거칠기(PPR)의 주파수 의존성2. Frequency dependence of line edge roughness (LER), linewidth roughness (LWR) and pattern placement roughness (PPR).

거친 피처는 가장 일반적으로 (LER의 경우) 에지 위치, (LWR의 경우) 선폭, 또는 (PPR의 경우) 피처 중심선(feature centerline)의 표준 편차에 의해 특성화된다. 그러나 표준 편차를 설명하는 것은 거칠기를 완전히 설명하기에 충분하지 않다. 도 2는 모두 동일한 표준 편차를 갖는 4개의 상이한 거친 에지를 도시한다. 에지들에서 보이는 현저한 차이들은 표준 편차가 거칠기를 완전히 특성화하기에 충분하지 않다는 것을 명백하게 한다. 대신에, 거칠기의 주파수 분석이 요구된다. 도 2에 도시된 4개의 무작위하게 거친 에지들은 모두 동일한 거칠기의 표준 편차를 갖지만, 상관 길이(correlation length) (ξ) 및 거칠기 지수 (H)의 주파수 파라미터들에서 상이하다. 더 구체적으로, 도 2를 참조하면, a) 경우에 ξ=0, H = 0.5; b)경우에 ξ= 10, H = 1.0; c) 경우에 ξ=100, H = 0.5; 및 d) 경우에 ξ= 0.1, H = 0.5.Coarse features are most commonly characterized by edge position (for LER), linewidth (for LWR), or standard deviation of the feature centerline (for PPR). However, accounting for the standard deviation is not sufficient to fully account for roughness. Figure 2 shows four different rough edges, all with the same standard deviation. The significant differences seen in the edges make it clear that the standard deviation is not sufficient to fully characterize the roughness. Instead, a frequency analysis of the roughness is required. The four randomly rough edges shown in Figure 2 all have the same standard deviation of roughness, but differ in the frequency parameters of the correlation length (ξ) and roughness index (H). More specifically, referring to Figure 2, in case a) ξ=0, H=0.5; b) case ξ=10, H=1.0; c) case ξ=100, H = 0.5; and d) case ξ= 0.1, H = 0.5.

거친 에지의 표준 편차는 이상적인 직선에 수직이고 그리고 그에 대한 변동을 나타낸다. 도 2에서 표준 편차는 에지의 수직 변동(variation)을 나타낸다. 그러나, 변동은 (도 2에서 수평 방향으로) 라인의 길이를 따라 상이하게 확산될 수 있다. 이 라인-길이 의존성은 자기 상관 함수 또는 높이-높이 상관 함수와 같은 상관 함수를 사용하여 설명될 수 있다. The standard deviation of the rough edge is perpendicular to the ideal straight line and represents the variation therewith. In Figure 2, the standard deviation represents the vertical variation of the edge. However, the fluctuations may spread differently along the length of the line (in the horizontal direction in Figure 2). This line-length dependence can be described using a correlation function, such as an autocorrelation function or a height-to-height correlation function.

대안적으로, 주파수 f는 라인을 따라 길이에 걸쳐 하나로서 정의될 수 있다(도 3). 주파수에 대한 거칠기의 종속성은 잘 알려진 파워 스펙트럼 밀도(PSD)를 사용하여 특성화될 수 있다. PSD는 단위 주파수당 에지의 분산(variance)이고(도 3), 에지 변동의 푸리에 변환의 계수들의 제곱으로서 계산된다. PSD 커브의 저주파수 영역은 긴 길이 스케일들에 걸쳐 발생하는 에지 변동들을 설명하는 반면, 고주파수 영역은 짧은 길이 스케일들에 걸친 에지 변동들을 설명한다. 일반적으로, PSD들은 도 3에서 사용되는 로그-로그 스케일(log-log scale) 상에 플롯팅된다.Alternatively, the frequency f can be defined as one over the length along the line (Figure 3). The dependence of roughness on frequency can be characterized using the well-known power spectral density (PSD). PSD is the variance of the edge per unit frequency (Figure 3) and is calculated as the square of the coefficients of the Fourier transform of the edge variation. The low frequency region of the PSD curve describes edge fluctuations that occur over long length scales, while the high frequency region describes edge fluctuations over short length scales. Typically, PSDs are plotted on the log-log scale used in FIG. 3.

리소그래피 방식으로 정의된 피처의 PSD는 일반적으로 도 3에 도시된 것과 유사한 형상을 갖는다. PSD의 저주파수 영역은 편평하고(소위 "백색 노이즈(white noise)" 거동), 이어서 특정 주파수 이상에서 주파수의 거듭제곱에 따라 떨어진다(통계적으로 프랙탈 거동(fractal behavior)). 이 두 영역의 차이는 피처의 길이에 따른 상관 관계와 관련이 있다. 멀리 떨어져 있는 에지를 따른 포인트들은 서로 상관되지 않고(통계적으로 독립적이고), 상관되지 않은 노이즈는 편평한 파워 스펙트럼 밀도를 갖는다. 그러나, 짧은 길이 스케일에서, 에지 편차는 상관되어, 화학적으로 증폭된 레지스트에 대한 산 반응-확산과 같은 거칠기의 생성에서의 상관 메커니즘을 반영한다. 상관되지 않은 거동과 상관된 거동 사이의 전이는 상관 길이(correlation length)라 불리는 거리에서 발생한다.The PSD of a lithographically defined feature generally has a shape similar to that shown in Figure 3. The low-frequency region of the PSD is flat (so-called "white noise" behavior) and then falls off with the power of the frequency above a certain frequency (statistically, fractal behavior). The difference between these two areas is related to the correlation along the length of the feature. Points along distant edges are uncorrelated (statistically independent), and uncorrelated noise has a flat power spectral density. However, at short length scales, edge deviations are correlated, reflecting correlated mechanisms in the generation of roughness, such as acid reaction-diffusion for chemically amplified resists. The transition between uncorrelated and correlated behavior occurs at a distance called the correlation length.

도 4는 전형적인 PSD 커브가 3개의 파라미터들로 설명될 수 있다는 것을 도시한다. PSD(0)는 PSD의 제로-주파수 값이다. PSD의 이 값은 결코 직접 측정될 수 없지만(제로 주파수는 무한히 긴 라인에 대응함), PSD(0)는 편평한 저주파수 영역에서의 PSD의 값으로서 간주될 수 있다. PSD는 1/(2πξ)의 주파수 근처에서 떨어지기 시작하며, 여기서, ξ는 상관 길이이다. 프랙탈 영역에서, 때때로 "1/f" 노이즈으로 지칭되는 것을 가지며, PSD는 1/f의 거듭제곱에 대응하는 (로그-로그 플롯 상의) 기울기를 갖는다. 기울기는 2H + 1로 정의되며, 여기서, H는 거칠기 지수(roughness exponent)(또는 허스트 지수(Hurst exponent))로 불린다. 전형적인 H 값은 0.5에서 1.0 사이이다. 예를 들어, 단순 확산 프로세스가 상관 관계를 야기할 때 H = 0.5이다. PSD 커브의 파라미터들 각각은 이하에서 더 상세히 논의되는 바와 같이 리소그래피 방식으로(lithographically) 정의된 피처에 대해 중요한 물리적 의미를 갖는다. 거칠기의 분산(variance)은 PSD 커브 아래의 영역이고, 다른 3개의 PSD 파라미터들로부터 도출될 수 있다. 분산과 다른 3개의 PSD 파라미터들 사이의 정확한 관계는 (상관 길이에 의해 정의된) 중간 주파수 영역에서의 PSD 커브의 정확한 형상에 의존하지만, 근사적 관계는 아래의 수학식 1에 따라 일반적인 경향을 나타내는데 사용될 수 있다:Figure 4 shows that a typical PSD curve can be described with three parameters. PSD(0) is the zero-frequency value of PSD. Although this value of PSD can never be measured directly (zero frequency corresponds to an infinitely long line), PSD(0) can be considered as the value of PSD in the flat low frequency region. The PSD begins to fall off near a frequency of 1/(2πξ), where ξ is the correlation length. In the fractal domain, we have what is sometimes referred to as "1/f" noise, and the PSD has a slope (on a log-log plot) corresponding to the power of 1/f. The slope is defined as 2H + 1, where H is called the roughness exponent (or Hurst exponent). Typical H values are between 0.5 and 1.0. For example, H = 0.5 when a simple diffusion process causes the correlation. Each of the parameters of the PSD curve has important physical implications for the lithographically defined feature, as discussed in more detail below. The variance of roughness is the area under the PSD curve and can be derived from the other three PSD parameters. The exact relationship between the variance and the other three PSD parameters depends on the exact shape of the PSD curve in the mid-frequency region (defined by the correlation length), but an approximate relationship represents a general trend according to equation 1 below: Can be used:

(1) (One)

도 2의 개개의 4개의 거친 에지들에서 관찰된 차이들은 이제 피처들의 PSD 거동의 차이들로서 쉽게 이해될 수 있다. 도 5는 도 2로부터의 에지 a) 및 에지 c)에 대응하는 2개의 PSD들을 도시한다. 이들 2개의 에지는 동일한 분산 (PSD 커브 아래의 동일한 면적)을 갖지만, 이들은 상이한 값의 PSD(0) 및 상관 길이(이 경우 거칠기 지수는 일정하게 유지됨)를 갖는다. 에지 a) 및 에지 c)의 거칠기의 표준 편차가 동일하지만, 이들 에지는 상이한 PSD 거동을 보인다. 이하에서 논의되는 바와 같이, 상이한 PSD 커브들은 유한 길이의 리소그래피 피처들에 대해 상이한 거칠기 거동을 초래할 것이다.The differences observed in the individual four rough edges of Figure 2 can now be easily understood as differences in the PSD behavior of the features. Figure 5 shows two PSDs corresponding to edges a) and edge c) from Figure 2. These two edges have the same variance (same area under the PSD curve), but they have different values of PSD(0) and correlation length (in this case the roughness index remains constant). Although the standard deviation of the roughness of edge a) and edge c) is the same, these edges show different PSD behavior. As discussed below, different PSD curves will result in different roughness behavior for finite length lithographic features.

3. 거칠기의 주파수 거동의 영향 3. Influence of frequency behavior of roughness

패턴 구조들의 라인들 및 공간들의 거칠기는 PSD의 평평한 영역이 명백해질 정도로 충분히 긴, 매우 긴 라인들 및 공간들을 측정하는 것을 특징으로 한다. 충분히 긴 피처에 대해, 측정된 LWR(즉, 라인을 따라 측정된 선폭들의 표준 편차 σ)은 무한히 긴 피처의 LWR, σLWR(∞)로서 생각될 수 있다. 그러나, 반도체 디바이스들과 같은 패턴 구조들은 다양한 길이들 L을 갖는 피처들로 만들어진다. 이들 더 짧은 피처들에 대해, 확률론들은 피처들의 평균 선폭들의 표준 편차, σCDU(L)에 의해 설명된 피처-대-피처 변동, 피처내 거칠기(within-feature roughness) σLWR(L)를 야기할 것이다. 이러한 피처-대-피처 변동은, 잘 알려진 "전역적" 에러 소스들(스캐너 수차들, 마스크 조명 불균일성, 핫플레이트 온도 변화 등)에 의해 야기되지 않는 CD(임계 치수) 변동을 나타내기 때문에, 국부적 임계 치수 균일성(LCDU : local critical dimension uniformity)으로 지칭된다.The roughness of the lines and spaces of the pattern structures is characterized by measuring very long lines and spaces, long enough for flat areas of the PSD to become apparent. For sufficiently long features, the measured LWR (i.e., the standard deviation σ of the linewidths measured along the line) can be thought of as the LWR of an infinitely long feature, σ LWR (∞). However, patterned structures, such as semiconductor devices, are made of features with varying lengths L. For these shorter features, the stochasticities give rise to the standard deviation of the average linewidths of the features, the feature-to-feature variation explained by σ CDU (L), and the within-feature roughness σ LWR (L). something to do. These feature-to-feature variations represent local critical dimension (CD) variations that are not caused by well-known “global” error sources (scanner aberrations, mask illumination non-uniformity, hot plate temperature variations, etc.). It is referred to as local critical dimension uniformity (LCDU).

길이 L의 라인에 대해, 피처내 변동 및 피처-대-피처 변동은 아래의 수학식 2에 주어진 거칠기의 보존 원리(Conservation of Roughness principle)에 의해 (동일한 공칭 CD 및 피치(pitch)의) 무한히 긴 라인의 LWR과 관련될 수 있다:For a line of length L, the within-feature variation and feature-to-feature variation are bound to an infinitely long (of the same nominal CD and pitch) by the Conservation of Roughness principle given in Equation 2 below: It can be related to the LWR of the line:

(2) (2)

거칠기 보존 원리는 매우 긴 라인의 분산이 더 짧은 라인에 대해 피처내(within-feature) 분산과 피처 대 피처(feature-to-feature) 분산으로 파티셔닝(partition)된다고 말한다. 이 파티션이 어떻게 발생하는지는 상관 길이에 의해, 또는 더 구체적으로는 L/ξ에 의해 결정된다. 일 예로서 PSD의 형상에 대한 기본 모델을 사용하면, 다음과 같이 인식된다:The roughness preservation principle states that the variance of very long lines is partitioned into a within-feature variance and a feature-to-feature variance for shorter lines. How this partition occurs is determined by the correlation length, or more specifically by L/ξ. Using the basic model for the shape of a PSD as an example, it is recognized as:

(3) (3)

따라서, 수학식 1-3은 긴 라인에 대한 PSD의 측정치, 및 파라미터들PSD(0), ξ 및 H에 의한 그 설명이 임의의 길이 L의 라인에 대한 확률적 영향을 예측할 수 있게 한다는 것을 보여준다. LCDU는 거칠기 지수(roughness exponent)에 의존하지 않고, H를 PSD(0) 및 ξ보다 덜 중요시한다는 것에 유의한다. 이러한 이유로, 파라미터들의 대안적인 트리플렛(triplet): σLWR(∞), PSD(0), 및 ξ를 사용하여 거칠기의 주파수 의존성을 설명하는 것이 유용하다. 이들 동일한 관계가 LER 및 PPR에도 적용된다는 것에 유의한다.Thus, Equations 1-3 show that the measurement of PSD for a long line, and its description by the parameters PSD(0), ξ and H, allow predicting the stochastic impact for a line of arbitrary length L . Note that LCDU does not depend on the roughness exponent and places less importance on H than PSD(0) and ξ. For this reason, it is useful to describe the frequency dependence of roughness using an alternative triplet of parameters: σ LWR (∞), PSD(0), and ξ. Note that these same relationships apply to LER and PPR.

또한, 수학식 3을 살펴보면, 상관 길이는 길이 L의 라인이 "길게" 또는 "짧게" 작용하는지 여부를 결정하는 길이 스케일이라는 것에 유의한다. 긴 라인의 경우, L >> ξ 및 국부적 CDU는 아래의 수학식 4에 따라 동작한다:Also, looking at Equation 3, note that the correlation length is a length scale that determines whether a line of length L acts "long" or "short." For long lines, L >> ξ and the local CDU operates according to equation 4 below:

(4) (4)

이 긴 라인 결과는 PSD(0)에 대한 유용한 해석을 제공한다: 주어진 라인에 대한 LCDU의 제곱에 해당 라인의 길이를 곱한 것이다. PSD(0)를 4배로 감소시키는 것은 LCDU를 2배로 감소시키고, 다른 PSD 파라미터들은 (L >>ξ인 한) 어떠한 영향도 갖지 않는다. 전형적으로, 레지스트(resist)는 그들의 리소그래피 생성의 최소 절반-피치(minimum half-pitch)의 1/4 내지 1/2 정도의 상관 길이(correlation length)를 산출하였다. 따라서, 피처들이 기술 노드의 최소 절반 피치의 대략 5배보다 더 길 때, 일반적으로 이러한 긴 라인 길이 체계에 있다. 더 짧은 라인 길이들의 경우, 상관 길이 또한 중요해지기 시작한다.This long line result provides a useful interpretation of PSD(0): the square of the LCDU for a given line multiplied by the length of that line. Decreasing PSD(0) by a factor of 4 reduces LCDU by a factor of 2, and other PSD parameters have no effect (as long as L >>ξ). Typically, resists yielded correlation lengths on the order of one-quarter to one-half the minimum half-pitch of their lithographic production. Therefore, it is generally in this long line length regime when the features are longer than approximately 5 times the minimum half pitch of the technology node. For shorter line lengths, correlation length also starts to become important.

수학식 1-3은 라인 길이의 함수로서 피처내 변화 및 피처 대 피처 변화의 트레이드-오프를 나타낸다. 도 6은 이러한 관계의 일 예를 나타낸다. 매우 긴 라인들에 대해, LCDU는 작고, 피처내 거칠기는 그의 최대값에 접근한다. 매우 짧은 라인의 경우 LCDU가 우세하다. 그러나, 거칠기의 보존의 2차 성질로 인해, σLWR(L)은 L이 증가함에 따라 매우 빠르게 상승하지만, LCDU는 L이 증가함에 따라 매우 느리게 하강한다. 따라서, 피처 거칠기 및 LCDU 둘 모두가 상당한 라인 길이들의 넓은 범위가 존재한다.Equation 1-3 represents the trade-off of within-feature variation and feature-to-feature variation as a function of line length. Figure 6 shows an example of this relationship. For very long lines, the LCDU is small and the intra-feature roughness approaches its maximum value. For very short lines, LCDUs are superior. However, due to the quadratic nature of conservation of roughness, σ LWR (L) rises very quickly as L increases, while LCDU falls very slowly as L increases. Therefore, there is a wide range of line lengths where both feature roughness and LCDU are significant.

거칠기 보존 원리가 PPR에도 적용되기 때문에, 짧은 피처들은 국부적 CDU 문제들 뿐만 아니라 국부적 패턴 배치 에러들(LPPE)도 겪는다. 피처의 상관되지 않은 좌측 및 우측 에지들의 경우에 대해, LWR에 대한 PSD(0)는 전형적으로 LER의 PSD(0)의 2배이다. 마찬가지로, LER의 PSD(0)는 전형적으로 PPR의 PSD(0)의 2배이다. 따라서, 일반적으로, LPPE는 LCDU의 약 절반이다. 좌측 및 우측 피처 에지가 상당히 상관될 때, 이러한 단순한 관계는 더 이상 유지되지 않는다.Since the roughness preservation principle also applies to PPR, short features suffer from local pattern placement errors (LPPE) as well as local CDU problems. For the case of uncorrelated left and right edges of a feature, the PSD(0) for LWR is typically twice the PSD(0) for LER. Likewise, the PSD(0) of LER is typically twice the PSD(0) of PPR. Therefore, typically, LPPE is about half of LCDU. When the left and right feature edges are significantly correlated, this simple relationship no longer holds.

4. 주사 전자 현미경(SEM)을 이용한 패턴 구조의 거칠기 측정4. Roughness measurement of patterned structures using scanning electron microscopy (SEM)

작은 피처들에 대한 피처 거칠기를 측정하기 위한 일반적인 방법은 탑-다운(top-down) 임계 치수 스캐닝 전자 현미경 (CD-SEM) 이다. 전형적인 광 현미경은 최대 1000X의 배율과 수백 나노미터까지의 해상도를 갖는다. 주사 전자 현미경은 전자를 이용하여 고해상도 이미지를 생성하는 데 사용할 수 있는 매우 작은 스팟(폭이 1 nm 부근)을 생성하는데, 배율은 20,000X 초과이다. CD-SEM은 반도체 웨이퍼 상에서 발견되는 광범위한 피처의 치수를 측정하기 위해 최적화된 SEM이다. 이들은 거친 피처의 평균 임계 치수를 높은 정밀도로 측정할 수 있지만, 또한 LER, LWR, PPR, 및 그들의 PSD를 측정하는데 매우 유용한 것으로 입증되었다. 그러나, 평균 CD의 측정에는 거의 영향을 주지 않으면서 측정된 거칠기 및 거칠기 PSD에 큰 영향을 줄 수 있는 SEM 이미지에는 에러가 있다. 이러한 이유로, PSD 측정을 위해 필요한 계측 접근법은 평균 CD 측정을 위해 일반적으로 사용되는 접근법과 상당히 상이할 수 있다.A common method for measuring feature roughness for small features is top-down critical dimension scanning electron microscopy (CD-SEM). A typical light microscope has a magnification of up to 1000X and a resolution of up to hundreds of nanometers. Scanning electron microscopes use electrons to create very small spots (around 1 nm wide) that can be used to create high-resolution images, with magnifications exceeding 20,000X. CD-SEM is an SEM optimized for measuring the dimensions of a wide range of features found on semiconductor wafers. They can measure the average critical dimension of coarse features with high precision, but have also proven to be very useful in measuring LER, LWR, PPR, and their PSD. However, there are errors in SEM images that can greatly affect the measured roughness and roughness PSD while having little effect on the measurement of average CD. For this reason, the metrology approach required for measuring PSD can be quite different from the approach commonly used for measuring average CD.

도 7은 피처 거칠기를 결정하는 개시된 에지 검출 시스템 (700)의 일 구현예의 블록도를 도시한다. 패턴 구조(800) 및 전자 이미징 광학기기(710, 715, 720, 및 725)는 진공 펌프(702)에 의해 배기되는 진공 챔버(703) 내에 위치된다. 전자들은 전자총(705)과 같은 소스로부터 생성되어 전자 빔(707)을 형성한다. 일반적인 전자 빔 소스는 가열된 텅스텐 필라멘트, 열이온 방출 건(thermionic emission gun)으로 형성된 란타늄 헥사보라이드(LaB6) 결정, 또는 전계 방출 건을 만들기 위해 형성된 날카로운 팁 금속 와이어를 포함한다. 방출된 전자는 전자기 콘덴서 렌즈(710, 715, 720)를 사용하여 가속 및 집속된다. 패턴 구조(800)에 부딪히는 전자들의 에너지는 일반적으로 SEM에서 200 eV 내지 40 keV 범위이지만, 더 전형적으로 CD-SEM에 대해 300 eV 내지 800 eV이다. 최종 콘덴서 렌즈(720)는 전자 빔(707)을 집속 스폿으로서 패턴 구조(800)를 향해 편향시키는 전기장을 제공하기 위해 주사 코일(725)을 이용한다. 주사 코일(725)은 래스터 주사 방식(raster scan fashion)으로 최종 렌즈 애퍼처(735)를 통해 패턴 구조(800)를 가로질러 집속된 스팟을 주사하여 패턴 구조(800) 상의 특정 시야를 노출시킨다. SEM(701)은 패턴 구조(800)로부터 다시 산란되는 후방 산란 전자를 검출하는 후방 산란 전자 검출기(740)를 포함한다. SEM(701)은 또한 도 7에 도시된 바와 같이 2차 전자 검출기(745)를 포함한다. 패턴 구조(800)을 이미징하기 전에, 사용자는 SEM(701) 내에서 패턴 구조(800)을 지지하고 위치시키는 패턴 구조 수용기(732) 상에 패턴 구조(800)를 배치한다. SEM(701)은 이미징 동안 패턴 구조(800)의 래스터 주사(raster scanning)를 제어하는 제어기(도시되지 않음)를 포함한다.FIG. 7 shows a block diagram of one implementation of the disclosed edge detection system 700 that determines feature roughness. Pattern structure 800 and electronic imaging optics 710, 715, 720, and 725 are located within a vacuum chamber 703 that is evacuated by vacuum pump 702. Electrons are generated from a source such as an electron gun 705 to form an electron beam 707. Typical electron beam sources include a heated tungsten filament, a lanthanum hexaboride (LaB6) crystal formed with a thermionic emission gun, or a sharp-tipped metal wire formed to create a field emission gun. The emitted electrons are accelerated and focused using electromagnetic condenser lenses 710, 715, and 720. The energy of the electrons striking the patterned structure 800 typically ranges from 200 eV to 40 keV for SEM, but more typically 300 eV to 800 eV for CD-SEM. The final condenser lens 720 uses a scanning coil 725 to provide an electric field that deflects the electron beam 707 toward the patterned structure 800 as a focus spot. The scan coil 725 scans a focused spot across the pattern structure 800 through the final lens aperture 735 in a raster scan fashion to expose a specific field of view on the pattern structure 800. The SEM 701 includes a backscattered electron detector 740 that detects backscattered electrons back from the patterned structure 800 . SEM 701 also includes a secondary electron detector 745 as shown in FIG. 7 . Before imaging patterned structure 800, a user places patterned structure 800 on patterned structure receptor 732, which supports and positions patterned structure 800 within SEM 701. SEM 701 includes a controller (not shown) that controls raster scanning of patterned structure 800 during imaging.

이제 도 8a 및 8b를 참조하여, 패턴 구조 샘플(800)에 충돌하는 전자 빔(707)의 전자는 전자 에너지 및 샘플의 재료 속성에 의존하는 다수의 프로세스를 겪는다. 전자는 샘플 재료의 원자를 산란시켜, 에너지를 방출하고, 방향을 변화시키며, 종종 샘플 원자를 이온화함으로써 2차 전자의 캐스케이드(cascade)를 생성한다. 이러한 2차 전자(805) 중 일부는 패턴 구조(800)로부터 탈출될 수 있고, 다른 일부는 패턴 구조 내부에 잔류할 수 있다. 패턴 구조(800)는 반도체 웨이퍼와 같은 기판(810)을 포함한다. 피처(815)는 도 8a에 도시된 바와 같이 기판(810) 위에 배치된다. 피처(815)는 기판(810) 상의 금속 라인, 반도체 라인, 포토레지스트 라인 또는 다른 구조들일 수 있다. 피처(815)는 필라(pillar) 또는 홀과 같은 다른 형상들, 또는 더 복잡한 형상들을 가질 수 있다. 피처(815)는 패턴 구조 상의 다른 피처들에 대해 반복되거나 격리될 수 있다. 공간 주변 피처(815)는 비어 있을 수 있거나(진공 또는 공기), 상이한 재료로 충전될 수 있다. 패턴 구조(800)는 액정 또는 다른 평판 디스플레이, 또는 다른 패턴 반도체 또는 비-반도체 디바이스일 수 있다. 피처(815)는 에지들(815-1 및 815-2)을 포함한다. 전자 빔(707)이 피처(815)와 상호 작용하는 피처(815)의 영역은, 예를 들어, 도 8a에 도시된 바와 같은 눈물-액적-유사 형상(tear-droplet-like shape)을 나타내는 상호 작용 체적(820)이다.Referring now to FIGS. 8A and 8B, electrons of electron beam 707 impinging on patterned structure sample 800 undergo a number of processes depending on the electron energy and material properties of the sample. Electrons scatter atoms of the sample material, releasing energy, changing direction, and often ionizing the sample atoms, creating a cascade of secondary electrons. Some of these secondary electrons 805 may escape from the pattern structure 800, and others may remain inside the pattern structure. Pattern structure 800 includes a substrate 810, such as a semiconductor wafer. Features 815 are disposed on substrate 810 as shown in Figure 8A. Features 815 may be metal lines, semiconductor lines, photoresist lines, or other structures on substrate 810. Features 815 may have other shapes, such as pillars or holes, or more complex shapes. Feature 815 may be repeated or isolated from other features on the pattern structure. The space surrounding features 815 can be empty (vacuum or air) or filled with different materials. Patterned structure 800 may be a liquid crystal or other flat panel display, or other patterned semiconductor or non-semiconductor device. Feature 815 includes edges 815-1 and 815-2. The area of feature 815 where electron beam 707 interacts with feature 815 may exhibit a tear-droplet-like shape, for example, as shown in FIG. 8A. is the working volume (820).

때때로 전자는 원자 핵으로부터 역방향으로 튀어나와서 샘플 밖으로 빠져 나간다(후방 산란 전자라고 함). 더 낮은 에너지의 2차 전자들(805) 중 일부는 또한 샘플(800) 밖으로(종종 피처의 에지들을 통해, 도 8b 참조) 탈출할 수 있다. SEM이 이미지를 형성하는 방식은 각각의 빔 위치에 대해 샘플을 탈출(escape)하는 2차 전자 및/또는 후방 산란 전자의 수를 검출하는 것이다.Sometimes electrons bounce back from the atomic nucleus and escape the sample (called backscattered electrons). Some of the lower energy secondary electrons 805 may also escape out of the sample 800 (often through the edges of the feature, see FIG. 8B). The way an SEM forms an image is by detecting, for each beam position, the number of secondary and/or backscattered electrons escaping the sample.

전자 빔이 하나의 라인 스캔 동안 패턴 구조(800)을 가로질러 주사됨에 따라, 그것은 특정 시간에 특정 스팟에 "체류(dwell)"된다. 해당 체류 시간(dwell time) 동안, 후방 산란 전자 검출기(740) 또는 2차 전자 검출기(745), 또는 둘 모두에 의해 검출된 전자들의 수가 레코딩(record)된다. 그런 다음 스팟은 다음 "픽셀" 위치로 이동되고, 프로세스가 반복된다. 결과는 각각의 픽셀에 대해 디지털적으로 레코딩된 검출된 전자 카운트들을 갖는 픽셀들의 2차원 어레이(샘플의 표면을 따른 위치들)이다. 카운트는 그런다음 전형적으로 정규화되고 0과 255 사이의 8-비트 그레이스케일 값으로서 표현된다. 이는 검출된 전자 카운트가 도 1에 도시된 이들 이미지와 같은 그레이스케일 "이미지"로서 플롯될 수 있게 한다. SEM으로부터 들어오는 이미지가 뷰어에게 눈을 통해 인지되는 광학 이미지를 상기시키는 반면, 이들 그레이스케일 이미지들은 실제로 단지 수집된 데이터의 편리한 플롯들이라는 것에 유의하는 것이 중요하다.As the electron beam is scanned across the patterned structure 800 during one line scan, it “dwells” in a specific spot at a specific time. During that dwell time, the number of electrons detected by the backscattered electron detector 740 or the secondary electron detector 745, or both, is recorded. The spot is then moved to the next "pixel" location, and the process is repeated. The result is a two-dimensional array of pixels (positions along the surface of the sample) with the detected electron counts digitally recorded for each pixel. The count is then typically normalized and expressed as an 8-bit grayscale value between 0 and 255. This allows the detected electron counts to be plotted as grayscale “images” such as these images shown in Figure 1. It is important to note that while the images coming from the SEM remind the viewer of the optical images perceived through the eye, these grayscale images are actually just convenient plots of the collected data.

CD-SEM은 SEM 이미지를 사용하여 피처의 폭을 측정한다. 피처 폭을 측정하는 첫 번째 단계는 피처들의 에지들을 검출하는 것이다. 피처의 에지 근처의 픽셀들에 대해, 더 많은 수의 2차 전자들이 피처 에지를 통해 탈출하여, "에지 블룸(edge bloom)"이라 불리는 밝은 픽셀들을 생성한다(도 8b 및 도 9 참조). 피처 에지를 검출을 허용하는 것은 이 밝은 에지 블룸이다. 예를 들어, 도 9의 상단 부분에서의 그레이스케일 이미지 표현에서, 이러한 에지 블룸들이 피처(915)의 에지들(905 및 910)에서 관찰된다. 라인 스캔은 본질적으로, 도 9의 하단부에 도시된 그래프에서와 같이, 피처 상의 수평 픽셀 위치의 함수로서 그레이스케일 값을 제공하는 2D SEM 이미지를 통한 수평 단면이다.CD-SEM uses SEM images to measure the width of features. The first step in measuring feature width is detecting the edges of the features. For pixels near the edge of a feature, a greater number of secondary electrons escape through the feature edge, creating bright pixels called “edge bloom” (see FIGS. 8B and 9). It is this bright edge bloom that allows detection of feature edges. For example, in the grayscale image representation in the top portion of Figure 9, such edge blooms are observed at edges 905 and 910 of feature 915. A line scan is essentially a horizontal cross section through a 2D SEM image that provides grayscale values as a function of horizontal pixel position on the feature, as in the graph shown in the bottom part of Figure 9.

샘플을 가로지르는 픽셀들의 단일 수평 행으로부터의 데이터는 "라인 스캔(linescan)"이라고 지칭된다. 용어 라인 스캔(linescan)은 주사(scanning)의 사용 없이 이미지가 형성되는 경우를 포함할 정도로 넓게 사용된다는 점에 유의한다. 피처의 에지들의 위치들은 단일 라인 스캔(linescan)으로부터, 또는 도 9의 상단 부분에 도시된 전체 이미지를 나타내는 라인 스캔들의 집합으로부터 검출될 수 있다. 이러한 동일한 에지들은 도 9의 하단 부분의 그레이스케일 값 대 픽셀 위치 그래프에서 피크들(905' 및 910')로서 나타난다. 일단 특정 피처의 에지들이 결정되면, 특정 피처의 폭은 이들 2개의 에지들의 위치들 사이의 차이이다.Data from a single horizontal row of pixels across the sample is referred to as a “linescan.” Note that the term linescan is used broadly to include cases where an image is formed without the use of scanning. The positions of the edges of a feature can be detected from a single linescan, or from a collection of linescans representing the entire image shown in the top portion of Figure 9. These same edges appear as peaks 905' and 910' in the grayscale value vs. pixel position graph in the bottom portion of Figure 9. Once the edges of a particular feature are determined, the width of a particular feature is the difference between the positions of these two edges.

5. 라인 스캔 모델5. Line scan model

이미지는 구조의 이미지를 획득하기 위해 사용되는 현미경 또는 다른 이미징 툴(tool)에 기초하여 물리적 프로세스를 통해 생성된다. 종종 이들 이미지는 데이터의 2차원 어레이이며, 여기서 이미지는 구조로부터 도출된 데이터 세트로서 생각될 수 있다. 이미지를 관통하여 단일의 일차원 단면이 라인 스캔으로 불린다. 이미징 툴의 모델은 이미징되는 주어진 구조에 대한 이미지를 예측할 수 있다. 예를 들어, 주사 전자 현미경을 설명하는 모델은 주어진 구조를 이미징할 때 SEM에 의해 획득될 이미지를 예측할 수 있다.Images are created through a physical process based on a microscope or other imaging tool used to acquire an image of the structure. Often these images are two-dimensional arrays of data, where an image can be thought of as a set of data derived from a structure. A single, one-dimensional cross section through the image is called a line scan. The imaging tool's model can predict the image for a given structure being imaged. For example, a model that describes scanning electron microscopy can predict the images that will be acquired by an SEM when imaging a given structure.

CD-SEM은 측정된 라인 스캔 또는 일련의 측정된 라인 스캔들을 단일 차원 수, 즉 측정된 CD로 변환한다. 라인 스캔들이 측정되는 피처의 실제 치수들과 어떻게 관련되는지를 더 잘 이해하기 위해, 패턴 구조들에 대한 SEM 측정 툴의 체계적인 응답이 결과적인 라인 스캔들의 형상에 어떻게 영향을 미치는지를 이해하는 것이 중요하다. SEM 라인 스캔들의 엄격한 3D 몬테 카를로 시뮬레이션(Monte Carlo simulation)들은 이러한 목적을 위해 매우 가치 있을 수 있지만, 그것들은 종종 일상적인 사용을 위해 너무 계산적으로 비싸다. 따라서, 하나의 접근법은 라인 스캔들을 신속하게 예측하는 작업에 더 계산적으로 적합한 단순화된 분석 라인 스캔 모델(ALM : analytical linescan model)을 개발하는 것이다. ALM은 전자 산란과 2차 전자 생성의 물리학을 채용하며 모델에서의 각 항은 물리적인 의미를 가지고 있다. 이 분석 라인 스캔 표현은 그 용도를 입증하고 캘리브레이션하기 위해 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션에 적합할 수 있다.CD-SEM converts a measured line scan, or series of measured line scans, into a single dimensional number, the measured CD. To better understand how line scans relate to the actual dimensions of the feature being measured, it is important to understand how the systematic response of the SEM measurement tool to pattern structures affects the shape of the resulting line scans. . Rigorous 3D Monte Carlo simulations of SEM line scans can be very valuable for this purpose, but they are often too computationally expensive for routine use. Therefore, one approach is to develop a simplified analytical linescan model (ALM) that is computationally more suitable for the task of rapidly predicting line scans. ALM adopts the physics of electron scattering and secondary electron generation, and each term in the model has a physical meaning. This analytical line scan representation can be amenable to rigorous Monte Carlo simulations to validate and calibrate its use.

ALM에 대한 일반적인 적용은 전형적인 순방향 모델링 문제였다: 주어진 재료 속성들(피처 및 기판에 대한) 및 피처의 기하학적 설명(폭, 피치, 측벽 각도, 상부 코너 라운딩, 푸팅(footing) 등)에서, ALM은 결과적인 라인 스캔을 예측한다. ALM의 수학적 세부사항들은 간행물에서 발견되며: Chris A. Mack and Benjamin D. Bunday, “Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXIX, Proc., SPIE Vol. 9424, 94240F (2015), 및 Chris A. Mack and Benjamin D. Bunday, “Improvements to the Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXX, Proc., SPIE Vol. 9778, 97780A (2016), 두 간행물의 개시 내용은 그 전체가 참조로 본원에 통합된다. 유사한 입력 및 출력을 가진 다른 모델도 사용될 수 있다.A common application for ALM was a classic forward modeling problem: given the material properties (for the feature and the substrate) and the geometric description of the feature (width, pitch, sidewall angle, top corner rounding, footing, etc.), ALM Predict the resulting line scan. The mathematical details of ALM are found in the publication: Chris A. Mack and Benjamin D. Bunday, “Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXIX, Proc., SPIE Vol. 9424, 94240F (2015), and Chris A. Mack and Benjamin D. Bunday, “Improvements to the Analytical Linescan Model for SEM Metrology”, Metrology, Inspection, and Process Control for Microlithography XXX, Proc., SPIE Vol. 9778, 97780A (2016), the disclosures of both publications are hereby incorporated by reference in their entirety. Other models with similar inputs and outputs may also be used.

분석 라인 스캔 모델(ALM)에 대해서는 아래에서 간략히 검토한다. 수학적 모델링은 주어진 물질의 편평한 샘플과 전자 빔의 상호 작용이 전방 산란 폭 및 전방 산란된 에너지의 분율, 및 후방 산란 폭 및 이들 후방 산란된 전자들에 의해 적층된 에너지의 분율을 갖는 이중 가우시안(double Gaussian)의 형태를 취하는 에너지 적층 프로파일을 생성한다고 가정함으로써 시작된다. 이 모델은 또한, 재료 내에서 생성되는 2차 전자들의 수가 단위 체적당 적층된 에너지에 정비례하고, 웨이퍼를 탈출하는(그리고 따라서 SEM에 의해 검출되는) 2차 전자들의 수가 웨이퍼의 최상부 근처의 2차 전자들의 수에 정비례한다고 가정한다.The analytical line scan model (ALM) is briefly reviewed below. Mathematical modeling shows that the interaction of an electron beam with a flat sample of a given material is a double Gaussian with a forward scattering width and a fraction of the energy deposited by these backscattered electrons, and a backscattering width and the fraction of energy deposited by these backscattered electrons. We begin by assuming that we are generating an energy stacking profile that takes the form of a Gaussian. This model also states that the number of secondary electrons generated within the material is directly proportional to the energy deposited per unit volume, and that the number of secondary electrons escaping the wafer (and thus detected by the SEM) is related to the secondary electrons near the top of the wafer. It is assumed that it is directly proportional to the number of electrons.

검출기에 도달하는 2차 전자는 입사 빔의 위치로부터 일정 거리 r 떨어져 출현할 것이다. 상기 가정으로부터, 검출되는 2차 전자의 수는 아래의 수학식 5에 주어진 함수일 것이다:Secondary electrons that reach the detector will appear at a certain distance r from the location of the incident beam. From the above assumptions, the number of secondary electrons detected will be a function given in equation 5 below:

(5) (5)

여기서, σf 및 σb는 개별적으로 전방 및 후방 산란 범위이고, a 및 b는 개별적으로 전방 산란 및 후방 산란의 양이다.Here, σ f and σ b are the forward and backscattering ranges, respectively, and a and b are the amounts of forward and backscattering, respectively.

SEM들은 빔이 피처 위에 있을 때와 비교하여 피처들 사이의 공간에 있을 때 탈출하는 2차 전자들의 상이한 수 때문에 토포그래피(topography)를 검출한다. 도 10은 2차 전자가 공간(특히 작은 경우)으로부터 탈출하는 데 문제가 있어 공간이 비교적 어둡게 보이는 것을 도시한다. 전자 빔이 라인들 사이의 공간 내의 스폿에 집속될 때, 산란된 전자들은 탈출하는 2차 전자들의 일부를 흡수하는 피처(815)와 상호 작용한다. 검출된 2차 전자 신호는 빔이 공간 내의 피처 에지에 접근함에 따라 감소된다.SEMs detect topography due to the different number of secondary electrons escaping when the beam is in the space between features compared to when it is above the features. Figure 10 shows that secondary electrons have trouble escaping from space (especially when it is small), making the space appear relatively dark. When the electron beam is focused on a spot in the space between the lines, the scattered electrons interact with feature 815 absorbing some of the escaping secondary electrons. The detected secondary electron signal decreases as the beam approaches a feature edge in space.

계단(즉, 피처(815))에 의한 흡수는 공간 영역에서의 라인 스캔의 형상의 예측을 생성하도록 모델링될 수 있다. 큰 피처가 x = 0에서 좌측 에지(815-1)를 갖고, 피처(815)가 우측(양의 x)에 있는 경우, 위치의 함수로서 검출된 2차 전자 신호(SE(x))는 아래의 수학식 6에 의해 주어질 것이다:Absorption by steps (i.e., features 815) can be modeled to generate a prediction of the shape of the line scan in the spatial domain. If the large feature has a left edge 815-1 at x = 0, and feature 815 is on the right (positive x), then the detected secondary electron signal (SE(x)) as a function of position is It will be given by equation 6:

(6) (6)

여기서 αf는 계단에 의해 흡수된 전방 산란 2차 전자의 분율(fraction)이고, αb는 계단에 의해 흡수된 후방 산란 2차 전자의 분율이다.Here, α f is the fraction of forward-scattered secondary electrons absorbed by the step, and α b is the fraction of back-scattered secondary electrons absorbed by the step.

그러나, 빔이 피처(815)의 상부에 있을 때, 산란된 전자들과 피처의 상호 작용은 아래의 수학식 7에서 설명되는 바와 같이 매우 상이하다. 도 8에 예시된 바와 같이, 빔이 더 멀리 있는 것보다 에지에 더 가까울 때 두 가지 현상이 발생한다. 첫째, 전방 및 후방 산란된 전자 둘 모두로부터의 2차 전자는 에지(815-1)로부터 더 쉽게 탈출할 수 있다. 이는 이미 상기에서 논의된 에지 블룸(edge bloom)을 야기한다. 이러한 영향을 설명하기 위해, 포지티브 항(positive term) 이 추가되어, σe가 계단 재료의 전방 산란 범위와 매우 유사한 전방 산란된 2차 전자의 증강된 탈출을 설명한다. 추가로, 빔이 에지(815-1) 근처에 있을 때 상호 작용 체적 자체가 감소하여, 더 적은 2차 전자가 생성된다. 따라서, σv < σe인 경우 항은 큰 피처(815)의 최상부에 대한 라인 스캔 표현인 아래의 수학식 7을 제공하기 위해 감산된다:However, when the beam is on top of feature 815, the interaction of the scattered electrons with the feature is very different, as explained in Equation 7 below. As illustrated in Figure 8, two phenomena occur when the beam is closer to the edge than further away. First, secondary electrons from both forward and back scattered electrons can more easily escape from edge 815-1. This causes the edge bloom already discussed above. To account for this effect, a positive term is added, accounting for the enhanced escape of forward-scattered secondary electrons, where σ e is very similar to the forward-scattering range of the step material. Additionally, the interaction volume itself is reduced when the beam is near edge 815-1, resulting in fewer secondary electrons. Therefore, if σ v < σ e The terms are subtracted to give equation 7 below, which is a line scan representation of the top of the large feature 815:

(7) (7)

도 11은 이 모델에 대한 결과의 예를 도시한다. 보다 구체적으로, 도 11은 실리콘 웨이퍼와 같은 기판 상의 좌향(left-facing) 레지스트 계단(815)(x = 0에서 좌측 에지(815-1)를 갖는 큰 피처)의 예측된 라인 스캔을 도시한다. 캘리브레이션된 모델(1105)은 엄격한 몬테 카를로 시뮬레이션 결과들(1110) 상에 중첩된다. 캘리브레이션된 모델(1105)은 몬테 카를로 시뮬레이션 결과들(1110)과 매우 가깝게 일치하여, 2개의 커브들이 거의 하나의 라인으로 함께 보인다.Figure 11 shows an example of the results for this model. More specifically, Figure 11 shows a predicted line scan of a left-facing resist step 815 (a large feature with left edge 815-1 at x = 0) on a substrate, such as a silicon wafer. The calibrated model 1105 is overlaid on the rigorous Monte Carlo simulation results 1110. The calibrated model 1105 matches the Monte Carlo simulation results 1110 so closely that the two curves appear together almost as one line.

위의 논의는 격리된 좌향 에지(815-1)를 모델링하는 것을 포함한다. 우향(right-facing) 에지를 포함하도록 모델을 적응시키는 것은 에지를 병진 및 반전시키고 결과적인 2차적인 것(즉, 2차 전자들)을 추가하는 것을 포함한다. 만약 두 에지가 상호 작용할 만큼 가까우면 약간의 복잡한 관계(complication)가 발생하여 추가적인 항이 생긴다. 추가적으로, 피처 에지의 최상부 및 바닥에서의 비-수직 측벽들 및 둥근 코너들의 영향이 모델에 포함될 수 있다(도 12).The above discussion includes modeling an isolated left edge 815-1. Adapting the model to include a right-facing edge involves translating and inverting the edge and adding the resulting secondary (i.e., secondary electrons). If two edges are close enough to interact, some complications arise and additional terms are created. Additionally, the influence of non-vertical sidewalls and rounded corners at the top and bottom of the feature edge can be included in the model (FIG. 12).

도 12는 실리콘 웨이퍼 상의 레지스트 라인들 및 공간들의 패턴의 대표적인 예측된 라인 스캔(linescan)을 도시한다. 캘리브레이션된 모델(1205)은 엄격한 몬테 카를로 시뮬레이션 결과들(1210) 상에 중첩된다. 다시, 캘리브레이션된 모델(1205)은 몬테 카를로 시뮬레이션 결과들(1110)과 매우 근접하게 일치하여, 2개의 커브들이 거의 하나의 라인으로 함께 보인다. 최종 모델 (ALM)은 피처 및 웨이퍼의 재료들의 속성들, 및 빔 전압에 의존하는 15 개의 파라미터들을 포함한다. 모델을 검증하고 이러한 파라미터들을 캘리브레이션하기 위해, 엄격한 제1 원리 몬테 카를로 시뮬레이션들이 상이한 재료들 및 피처 기하학적 구조들에 대한 라인 스캔들을 생성하기 위해 사용될 수 있다. 그런 다음, ALM은 몬테 카를로(Monte Carlo) 결과로 피팅될 수 있으며, 15개의 미지의 파라미터의 최적-피팅 값을 생성한다.Figure 12 shows a representative predicted linescan of a pattern of resist lines and spaces on a silicon wafer. The calibrated model 1205 is overlaid on the rigorous Monte Carlo simulation results 1210. Again, the calibrated model 1205 matches the Monte Carlo simulation results 1110 so closely that the two curves appear together almost as one line. The final model (ALM) includes 15 parameters that depend on the properties of the materials of the feature and wafer, and the beam voltage. To verify the model and calibrate these parameters, rigorous first principles Monte Carlo simulations can be used to generate line scans for different materials and feature geometries. The ALM can then be fitted with Monte Carlo results, producing best-fitting values for the 15 unknown parameters.

6. 역 라인 스캔 모델(Inverse Linescan Model)6. Inverse Linescan Model

위에서 논의된 분석 라인 스캔 모델(ALM)과 같은 라인 스캔 또는 이미지 모델들은 특정 패턴 구조(예컨대, 웨이퍼 상의 피처)에 대한 이미지 또는 이미지 라인 스캔의 형상을 예측한다. ALM은 모델이 입력으로서 특정 피처에 대한 기하학적 구조 정보를 수신하고, 출력으로서 특정 피처의 개개의 SEM 라인 스캔의 예측된 형상을 제공하는 순방향 모델링 문제를 해결한다.Line scan or image models, such as the analytical line scan model (ALM) discussed above, predict the shape of an image or image line scan for a particular pattern structure (eg, a feature on a wafer). ALM solves the forward modeling problem in which the model receives geometric structure information for a specific feature as input and provides the predicted shape of an individual SEM line scan of that specific feature as output.

ALM과 대조적으로, 개시된 에지 검출 시스템(700)은 웨이퍼 상의 특정 피처를 설명하는 SEM(701)으로부터 입력으로서 "측정된 라인 스캔 정보(measured linescan information)"를 수신하는 역방향 모델을 포함한다. 특정 피처를 설명하는 측정된 라인 스캔 정보에 응답하여, 에지 검출 시스템(700)은 측정된 라인 스캔을 생성할 피처 기하학적 구조를 설명하는 출력 "피처 기하학적 구조 정보(feature geometry information)"를 생성하기 위해 그의 역방향 모델을 채용한다. 유리하게는, 에지 검출 시스템(700)은 SEM(701)으로부터 측정된 라인 스캔 정보가 상당한 양의 이미지 노이즈를 포함할 때에도 유효한 것으로 밝혀졌다. 일 구현예에서, 출력된 피처 기하학적 정보는 적어도 피처 폭을 포함한다. 다른 구현예에서, 출력된 피처 정보는 측벽 각도, 피처 두께, 최상부 코너 라운딩(top corner rounding), 또는 바닥 푸팅(bottom footing)과 같은, 특정 피처의 기하학적 구조에 대한 피처 폭 및/또는 다른 기하학적 구조 설명자(descriptor)를 포함한다. 반도체 웨이퍼 상에 배치된 피처는 개시된 기술이 적용되는 하나의 특정 유형의 패턴 구조의 예라는 점에 유의한다.In contrast to ALM, the disclosed edge detection system 700 includes a reverse model that receives as input “measured linescan information” from the SEM 701 that describes specific features on the wafer. In response to measured line scan information describing a particular feature, edge detection system 700 is configured to generate output “feature geometry information” that describes the feature geometry that will produce the measured line scan. We adopt his reverse model. Advantageously, edge detection system 700 has been found to be effective even when line scan information measured from SEM 701 contains a significant amount of image noise. In one implementation, the output feature geometric information includes at least the feature width. In other implementations, the output feature information may include feature width and/or other geometries relative to the geometry of a particular feature, such as sidewall angle, feature thickness, top corner rounding, or bottom footing. Includes descriptor . Note that the features placed on the semiconductor wafer are examples of one specific type of pattern structure to which the disclosed technology applies.

많은 이미징 시스템들의 모델들과 같이, ALM은 본질적으로 비선형이다. ALM의 비선형 특성을 해결하기 위해, 에지 검출 시스템(700)은 ALM 또는 유사한 순방향 모델을 수치적으로 반전시키고, 결과적인 역 라인 스캔 모델을 측정된 라인 스캔에 피팅하여 피처 에지들을 검출한다(예를 들어, 웨이퍼 상의 피처 기하학적 구조를 추정하기 위해). 개시된 에지 검출 시스템 장치 및 에지 검출 프로세스는 피처 거칠기를 검출 및 측정하는 능력을 포함한다. 개시된 장치 및 방법론은 피처 폭(CD) 및 에지 위치 또는 배치의 정밀한 측정과 같은 1D 또는 2D 피처들의 일반적인 CD 계측의 다른 애플리케이션들에도 적용될 수 있다.Like many models of imaging systems, ALM is inherently nonlinear. To address the nonlinear nature of ALM, edge detection system 700 numerically inverts the ALM or similar forward model and fits the resulting inverse line scan model to the measured line scan to detect feature edges (e.g. (e.g., to estimate feature geometry on the wafer). The disclosed edge detection system apparatus and edge detection process include the ability to detect and measure feature roughness. The disclosed apparatus and methodology can also be applied to other applications of general CD metrology of 1D or 2D features, such as precise measurement of feature width (CD) and edge position or placement.

먼저, ALM(및 유사한 모델들 또한)은 2가지 유형의 입력 파라미터들, 즉 재료-의존 파라미터들(material-dependent parameters) 및 기하학적 구조 파라미터들(geometry parameters)을 갖는다는 점에 유의한다. 재료-의존 파라미터들은 전방 및 후방 산란 거리들과 같은 파라미터들을 포함하는 반면, 기하학적 파라미터들은 피처 폭 및 피치(pitch)와 같은 파라미터들을 포함한다. 일 구현예에서, 반복된 에지 검출 애플리케이션에 대해, 재료 파라미터들은 고정될 것이고, 기하학적 구조 파라미터들만이 변할 것이다. 가장 간단한 경우(즉, 간단한 에지 검출을 위한 경우)에는, 피처에 대한 에지 위치들만이 변화하고 있다고 가정하여, 측벽 각도(sidewall angle), 코너 라운딩(corner rounding) 등이 일정하다고 가정된다. 따라서, 에지 검출 시스템(700)에서의 에지 검출을 위한 라인 스캔 모델의 사용은 2개의 단계들: 1) 전체 이미지에 걸쳐 일정하다고 가정되는 파라미터들을 캘리브레이션한 다음, 2) 각각의 측정에 대해 라인 스캔 모델에 대해 측정된 라인 스캔의 최상의 피팅을 제공하는 특징 에지 위치들을 찾는 단계를 수반한다.First, note that ALM (and similar models as well) has two types of input parameters: material-dependent parameters and geometry parameters. Material-dependent parameters include parameters such as forward and backscattering distances, while geometric parameters include parameters such as feature width and pitch. In one implementation, for repeated edge detection applications, the material parameters will be fixed and only the geometry parameters will vary. In the simplest case (i.e., for simple edge detection), only the edge positions for the feature are assumed to be changing, so the sidewall angle, corner rounding, etc. are assumed to be constant. Accordingly, use of the line scan model for edge detection in edge detection system 700 involves two steps: 1) calibrating parameters that are assumed to be constant across the entire image, and then 2) performing a line scan for each measurement. This involves finding feature edge positions that provide the best fit of the measured line scan to the model.

일 구현예에서, 제1 단계에서, 캘리브레이션은 라인 스캔 모델을 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션들과 비교함으로써 달성된다. 이 단계의 목표는 필요한 범위의 애플리케이션에 걸쳐 재료 파라미터를 찾고, 피팅이 필요한 범위의 피처 기하학적 구조에 적절한지 확인하는 것이다. 완료될 때, 이 캘리브레이션된 라인 스캔 모델은 역 라인 스캔 모델의 생성을 위한 시작점으로서 역할을 할 수 있다. 역 라인 스캔 모델(ILM : Inverse Linescan Model)은 측정될 특정 SEM 이미지로 캘리브레이션되어야 한다. 이미지 그레이스케일 값들은 단지 2차 전자 신호들에 비례하기 때문에, 그레이스케일 값들에 대한 최소 매핑이 요구된다. 실세계 애플리케이션에서, 실험 측정에서의 재료 속성은 몬테 카를로 시뮬레이션에서 가정된 것과 동일하지 않을 것이서 이들 파라미터의 일부 캘리브레이션(calibration)이 또한 요구될 것이다.In one implementation, in the first step, calibration is achieved by comparing the line scan model to rigorous Monte Carlo simulations. The goal of this step is to find material parameters across the required range of applications and ensure that the fitting is appropriate for the required range of feature geometries. When complete, this calibrated line scan model can serve as a starting point for the creation of an inverse line scan model. The Inverse Linescan Model (ILM) must be calibrated to the specific SEM image to be measured. Because image grayscale values are only proportional to the secondary electronic signals, minimal mapping to grayscale values is required. In real-world applications, the material properties in experimental measurements will not be the same as those assumed in Monte Carlo simulations, so some calibration of these parameters will also be required.

7. 역 라인 스캔 모델의 캘리브레이션7. Calibration of the inverse line scan model

에지 검출을 위해 ILM을 사용하기 전에, ILM은 먼저 캘리브레이션된다. 모델의 일부 파라미터(예컨대, 재료-의존 파라미터)는 전체 이미지에 대해 일정한 것으로 가정된다. 그러나 에지의 위치, 피처 폭 및 피치와 같은 기하학적 구조 파라미터는 라인 스캔마다 달라지는 것으로 가정된다. ILM 캘리브레이션의 목표는 피처 에지의 정확한 위치에 관계없이 전체 이미지에 대해 일정한 파라미터를 결정하는 것이다. 이미지 노이즈가 존재하에서 이러한 파라미터를 정확하게 결정하는 것이 ILM 캘리브레이션의 추가 목표이다. 이러한 목표들은 측정되는 피처에 대한 대칭 축을 따라서의 평균화(averaging)에 의해 달성되고, 따라서 이미지 노이즈 및 실제 피처 거칠기 둘 모두를 평균화한다.Before using the ILM for edge detection, the ILM is first calibrated. Some parameters of the model (e.g., material-dependent parameters) are assumed to be constant for the entire image. However, geometric parameters such as edge positions, feature widths, and pitches are assumed to vary from line scan to line scan. The goal of ILM calibration is to determine parameters that are constant for the entire image, regardless of the exact location of feature edges. Accurate determination of these parameters in the presence of image noise is a further goal of ILM calibration. These goals are achieved by averaging along the axis of symmetry for the feature being measured, thus averaging both image noise and actual feature roughness.

대칭 축(예를 들어, 긴 라인 또는 공간 피처에 평행한 방향)을 따라 라인 스캔을 평균화함으로써, 실제 에지 위치들에 대한 정보는 손실되지만 라인 스캔 모델의 재료 파라미터들에 대한 정보는 유지된다. 또한, 이미지에서의 노이즈는 대부분 이러한 방식으로 평균화된다. ILM을 평균 라인 스캔들에 대해 캘리브레이션하는 것은 이 이미지에 특정한 재료 파라미터들(또는 이미지 전체에 걸쳐 일정한 것으로 가정된 임의의 파라미터들)의 세트를 생성할 수 있다.By averaging the line scan along an axis of symmetry (eg, a direction parallel to a long line or spatial feature), information about the actual edge positions is lost but information about the material parameters of the line scan model is maintained. Additionally, most of the noise in the image is averaged out in this way. Calibrating the ILM to the average line scan can create a set of material parameters specific to this image (or arbitrary parameters assumed to be constant throughout the image).

측정될 많은 피처들은 ILM 캘리브레이션에 적절한 대칭축을 나타낸다. 예를 들어, 수직 에지는 대칭의 수직 축을 갖는다. 이미지로부터 픽셀들의 수직 열(column)의 모든 픽셀들을 평균화하는 것은 피처의 에지에 수직인 방향에서, 수평 정보만을 남기고, 모든 수직 변동을 평균화할 것이다. 이 평균화의 결과는 평균 라인 스캔이라고 불리는 1차원 라인 스캔이다. 마찬가지로, 명목상 원형 컨택 홀 또는 필라는 이상적으로 반경 방향으로 대칭이다. 피처의 중심에 대한 극각(polar angle)을 통해 평균화하는 것은 이미지로부터 노이즈 및 거칠기를 제거하는 평균 라인 스캔을 생성할 것이다. 타원형 홀 형상은 타원의 장축 대 단축의 비율에 비례하여 픽셀 크기를 한 방향으로 압축하거나 확장함으로써 그렇게 평균화될 수 있다. 다른 대칭 축들이 다른 피처들에 대해서도 존재한다.Many of the features to be measured represent axes of symmetry that are suitable for ILM calibration. For example, a vertical edge has a vertical axis of symmetry. Averaging all pixels in a vertical column of pixels from an image will average out all vertical variation, leaving only the horizontal information, in the direction perpendicular to the edge of the feature. The result of this averaging is a one-dimensional line scan, called an average line scan. Likewise, nominally circular contact holes or pillars are ideally radially symmetric. Averaging over the polar angle about the center of the feature will produce an average line scan that removes noise and roughness from the image. Oval hole shapes can be so averaged by compressing or expanding the pixel size in one direction proportional to the ratio of the major axis to the minor axis of the ellipse. Other axes of symmetry exist for other features as well.

하나의 측정된 이미지(예를 들어, 하나의 SEM 이미지)는 이미지 내에 하나 이상의 피처들을 포함할 수 있다. 예를 들어, 도 1a는 다수의 수직 라인 피처들 및 다수의 수직 공간 피처들을 도시한다. 도 1b는 다수의 컨택 홀들을 도시한다. 그러한 경우에 대해, 각각의 피처는 대칭축을 따라 개별적으로 평균화되어 해당 피처에 대한 평균 라인 스캔을 형성할 수 있다. 도 1a의 예에서, SEM 이미지는 수직 스트라이프(stripe)들로 파티셔닝될 수 있고, 각각의 스트라이프는 단지 하나의 라인 피처를 포함하고, 여기서 스트라이프는 대략 하나의 공간의 중심으로부터 대략 다음 공간의 중심으로 수평으로 연장된다. 도 1b의 예의 경우, 이미지는 별개의 직사각형 영역들로 파티셔닝될 수 있으며, 각각은 직사각형 영역의 중심과 대략 일치하는 컨택 홀의 중심을 갖는 정확히 하나의 컨택 홀을 포함한다. 그런 다음, 해당 컨택 홀에 대한 평균화된 라인 스캔은 이미지의 해당 직사각형 영역으로부터 결정된다. 대안적으로, 이미지 내의 각각의 피처로부터의 각각의 평균화된 라인 스캔들은 그 자체가 함께 평균화되어 전체 이미지에 적용 가능한 단일 평균화된 라인 스캔을 형성할 수 있다.One measured image (eg, one SEM image) may include one or more features within the image. For example, Figure 1A shows multiple vertical line features and multiple vertical space features. Figure 1B shows multiple contact holes. For such cases, each feature can be individually averaged along the axis of symmetry to form an average line scan for that feature. In the example of Figure 1A, the SEM image can be partitioned into vertical stripes, each stripe containing only one line feature, where the stripe extends from approximately the center of one space to approximately the center of the next space. extends horizontally. For the example of Figure 1B, the image may be partitioned into separate rectangular regions, each containing exactly one contact hole with the center of the contact hole approximately coinciding with the center of the rectangular region. The averaged line scan for that contact hole is then determined from that rectangular region of the image. Alternatively, each averaged line scan from each feature within the image can itself be averaged together to form a single averaged line scan applicable to the entire image.

(단일 SEM 이미지 상의 모든 에지들의 검출과 같은) 반복된 에지 검출 애플리케이션에 대해, 재료 파라미터들은 고정될 것이고, 기하학적 구조 파라미터들만이 변할 것이다. 가장 간단한 경우(즉, 간단한 에지 검출을 위해), 피처에 대한 에지 위치들만이 변화하고 있다고 가정할 수 있어서, 피처 두께, 측벽 각도, 코너 라운딩(corner rounding) 등이 일정하다고 가정된다. 따라서, 에지 검출을 위한 ILM의 사용은 2개의 단계들을 수반할 것이다: 평균 라인 스캔을 사용하여 일정하다고 가정되는 파라미터들(즉, 재료 및 고정된 기하학적 구조 속성들)에 대해 한 번 캘리브레이션하는 단계, 및 그런 다음 각각의 라인 스캔에 대한 라인 스캔 모델에 측정된 라인 스캔의 최상의 피팅을 제공하는 피처 에지 위치들을 찾는 단계. 옵션으로, 캘리브레이션은 앞서 설명된 바와 같이, 라인 스캔 모델과 엄격한 몬테 카를로(Monte Carlo) 시뮬레이션의 비교에 의해 달성된다. 이 초기 단계의 목표는 필요한 범위의 애플리케이션에 걸쳐 재료 파라미터를 찾고 모델이 필요한 범위의 피처 기하학적 구조에 적절한지 확인하는 것이다. 완료될 때, 이러한 부분적으로 캘리브레이션된 라인 스캔 모델은 평균 라인 스캔을 사용하여 측정될 특정 SEM 이미지에 대해 계속 완전히 캘리브레이션되어야 한다. For repeated edge detection applications (such as detection of all edges on a single SEM image), the material parameters will be fixed and only the geometry parameters will vary. In the simplest case (i.e. for simple edge detection), only the edge positions for the feature can be assumed to be changing, so the feature thickness, sidewall angle, corner rounding, etc. are assumed to be constant. Therefore, the use of ILM for edge detection will involve two steps: calibrating once for parameters assumed to be constant (i.e. material and fixed geometric properties) using an average line scan; and then finding feature edge positions that provide the best fit of the measured line scan to the line scan model for each line scan. Optionally, calibration is achieved by comparison of a line scan model with a rigorous Monte Carlo simulation, as previously described. The goal of this initial step is to find material parameters across the required range of applications and ensure that the model is appropriate for the required range of feature geometries. When complete, this partially calibrated line scan model must continue to be fully calibrated for the specific SEM image to be measured using average line scan.

일단 ILM이 주어진 SEM 이미지 또는 이미지들의 세트들로 캘리브레이션되었다면, 그것은 에지들을 검출하는 데 사용된다. ALM 모델과 같은 라인 스캔 모델들의 비선형 성질로 인해, 예를 들어, 모델에 데이터에 가장 잘 맞는 좌측 및 우측 에지 위치들의 값들을 찾기 위해 비선형 최소 제곱 회귀 분석(non-linear least-square regression)를 사용하는 수치 반전(numerical inversion)이 필요하다. 더 간단한 라인 스캔 모델들의 경우, 선형 최소 제곱 피팅(linear least-square fit)가 가능할 수 있다. "최적 피팅(best fit)"의 다른 수단도 당업계에 알려져 있다. 에지 검출기로서의 ILM은 필터를 사용하지 않고 고 노이즈 환경에서 에지를 검출할 수 있게 한다. 도 13a 및 13b는 임의의 필터링 또는 이미지 평활화(smoothing)를 사용하지 않고 매우 노이즈가 많은 이미지에 대한 에지들의 신뢰성 있는 검출을 입증한다. 보다 구체적으로, 도 13a는 ILM을 이용한 에지 검출 전에 18 nm 라인 및 공간을 나타내는 패턴 구조의 원래의 SEM 이미지이다. 도 13b는 ILM을 이용한 에지 검출 후의 동일한 이미지이다.Once the ILM has been calibrated with a given SEM image or sets of images, it is used to detect edges. Due to the non-linear nature of line scan models such as ALM models, for example, using non-linear least-square regression to find the values of the left and right edge positions that best fit the model to the data. Numerical inversion is required. For simpler line scan models, a linear least-square fit may be possible. Other means of “best fit” are also known in the art. ILM as an edge detector allows edge detection in a high-noise environment without using a filter. Figures 13a and 13b demonstrate reliable detection of edges for a very noisy image without using any filtering or image smoothing. More specifically, Figure 13a is the original SEM image of the patterned structure showing 18 nm lines and spaces before edge detection using ILM. Figure 13b is the same image after edge detection using ILM.

가우시안 필터는 이미지의 노이즈를 감소시키도록 설계된 일반적인 이미지 평활 필터이다. 박스 필터들 및 메디안 필터(median filter)들과 같은 다른 필터들이 또한 이러한 목적을 위해 통상적으로 사용된다. 거칠기 측정에 대한 이미지 필터링의 영향을 예시하기 위해, 아래의 표 1은 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 측정된 3σ 선폭 거칠기(LWR)를 도시한다. 각각의 경우에 대해, ILM 에지 검출 방법이 이용되었고, 따라서 결과적인 LWR에서의 차이는 이미지 필터 파라미터들의 함수일 뿐이다. 이 범위는 거의 2 배(factor)이며, 필터 파라미터의 임의 선택에 기초하여 많은 상이한 거칠기 측정이 획득될 수 있음을 보여준다. 모든 경우에 ILM 에지 검출이 사용되었다. 기존의 임계값 에지 검출 방법을 사용하면 결과 3σ의 거칠기 값의 범위가 훨씬 커진다(표 2). 다른 필터 유형(예를 들어, 박스 또는 메디안)을 사용하는 경우 유사한 결과가 획득된.The Gaussian filter is a common image smoothing filter designed to reduce noise in images. Other filters such as box filters and median filters are also commonly used for this purpose. To illustrate the impact of image filtering on roughness measurements, Table 1 below shows the measured 3σ linewidth roughness (LWR) as a function of Gaussian filter x- and y-width (in pixels). In each case, the ILM edge detection method was used, so the resulting difference in LWR is only a function of the image filter parameters. This range is almost a factor of 2, showing that many different roughness measurements can be obtained based on arbitrary selection of filter parameters. ILM edge detection was used in all cases. Using conventional threshold edge detection methods, the resulting range of 3σ roughness values is much larger (Table 2). Similar results were obtained when using other filter types (e.g. box or median).

표 1Table 1

ILM 에지 검출을 사용하여, 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 미가공(raw)(편향된(biased)) 3σ LWR(nm).Raw (biased) 3σ LWR (nm) as a function of Gaussian filter x- and y-width (in pixels), using ILM edge detection.

표 2Table 2

종래의 임계 에지 검출을 사용하여, 가우시안 필터 x- 및 y-폭(픽셀 단위)의 함수로서 미가공(편향된) 3σ LWR(nm).Raw (biased) 3σ LWR (nm) as a function of Gaussian filter x- and y-width (in pixels), using conventional critical edge detection.

이미지 필터 파라미터들의 임의적 선택은 패턴 구조의 거칠기의 측정에 큰 영향을 미치지만, 임계 값의 영향은 사용된 특정 에지 검출 방법에 의존한다. 이미지 필터링 후에 간단한 임계 에지 검출의 경우에 대해, 측정된 3σ의 거칠기를 최소화하는 하나의 임계값이 존재하며, 다른 값들은 거칠기를 매우 극적으로 변화시킨다(도 14 참조). ILM의 경우, 임계값의 선택은 측정된 LWR에 거의 영향을 미치지 않는다 (도 14에서 임계값이 0.25에서 0.75로 변화할 때, LWR은 5.00 nm에서 4.95 nm까지 변화함). 종래 기술의 에지를 검출하는 방법에 있어서, 임계값의 임의의 선택은 측정된 거칠기에 큰 변동을 야기할 수 있다. ILM에 대해, 거칠기의 측정에 영향을 미치는 임의적 선택은 본질적으로 없다. The arbitrary choice of image filter parameters has a significant impact on the measurement of the roughness of the pattern structure, but the impact of the threshold depends on the specific edge detection method used. For the case of simple threshold edge detection after image filtering, there is one threshold that minimizes the measured roughness of 3σ; other values change the roughness quite dramatically (see Figure 14). For ILM, the choice of threshold has little effect on the measured LWR (in Figure 14, when the threshold is varied from 0.25 to 0.75, LWR varies from 5.00 nm to 4.95 nm). In prior art edge detection methods, arbitrary choice of threshold can cause large variations in measured roughness. For ILM, there are essentially no arbitrary choices that affect the measurement of roughness.

개시된 ILM 시스템이 고 레벨들의 노이즈의 존재시 에지들의 정확한 검출을 달성하지만, 노이즈는 여전히 측정된 거칠기에 추가된다. 주어진 에지 기울기의 라인 스캔에 대해, 라인 에지 근처의 그레이스케일 값들의 불확도(uncertainty)는 에지 위치에서의 불확도로 직접 변환된다. 그러나 주요한 차이점은 노이즈의 영향은 필터링 없이 해당 경우에 대해 측정될 수 있다는 것이다. 필터링되지 않은 이미지의 노이즈 플로어(noise floor)는 PSD(파워 스펙트럼 밀도)로부터 감산되어, PSD(및 따라서 거칠기)의 비편향된(unbiased) 추정치를 생성할 수 있다. 필터링된 이미지의 경우, 노이즈 플로어는 대부분 알아보지 못하게 되어(smear), 검출, 측정, 또는 제거될 수 없다.Although the disclosed ILM system achieves accurate detection of edges in the presence of high levels of noise, the noise still adds to the measured roughness. For a line scan of a given edge slope, the uncertainty of the grayscale values near the line edge translates directly to the uncertainty at the edge location. However, the main difference is that the impact of noise can be measured in that case without filtering. The noise floor of the unfiltered image can be subtracted from the PSD (power spectral density) to produce an unbiased estimate of the PSD (and therefore roughness). In the case of filtered images, the noise floor is largely smeared and cannot be detected, measured, or removed.

도 15a 및 15b는 개별적으로 결합된 우측 및 좌측 에지들을 갖는 많은 거친 피처들로부터의 LER 파워 스펙트럼 밀도들을 도시한다. 보다 구체적으로, 도 15a는 개시된 ILM 기술을 이용한 에지 검출 후의 미가공 PSD들을 도시하는 반면, 도 15b는 노이즈 감산 후의 PSD들을 도시한다.Figures 15A and 15B show LER power spectral densities from a number of coarse features with right and left edges individually combined. More specifically, Figure 15A shows raw PSDs after edge detection using the disclosed ILM technique, while Figure 15B shows PSDs after noise subtraction.

패턴 구조 상의 피처의 좌측 및 우측 에지들에 대한 라인 에지 거칠기(LER)가 비교되는 도 15a에 도시된 결과들을 고려한다. 미가공 PSD들은 2개의 에지들이 상이하게 거동함을 나타낸다. 그러나, 이들 차이는 우측 라인 스캔 기울기를 좌측 라인 스캔 기울기보다 낮게 만드는 스캔-방향 비대칭(대전(charging)과 같은)에 의해 야기되는 SEM의 아티팩트(artifact)이다. 실제로, 이 샘플에 대해 웨이퍼 상의 우측 에지와 좌측 에지 사이에는 차이가 없다. 각각의 에지에 대한 노이즈 플로어를 개별적으로 측정함으로써, 노이즈를 감산하는 것은 실제 PSD의 비편향된 추정치인 공통 좌측/우측 LER(도 15b)을 생성한다.Consider the results shown in Figure 15A, where the line edge roughness (LER) for the left and right edges of a feature on the pattern structure are compared. The raw PSDs show that the two edges behave differently. However, these differences are an artifact of the SEM caused by scan-direction asymmetry (such as charging) that causes the right line scan slope to be lower than the left line scan slope. In fact, for this sample there is no difference between the right and left edges on the wafer. By measuring the noise floor for each edge separately, subtracting the noise produces a common left/right LER (Figure 15b), which is an unbiased estimate of the actual PSD.

일단 노이즈가 감산되면, PSD의 신뢰성 있는 분석은 제로 주파수 PSD(0), 상관 길이, 및 거칠기 지수 H와 같은 중요한 거칠기 파라미터들의 신뢰성 있는 추정치들로 이어질 수 있다. 비편향 3σ 거칠기가 또한 획득될 수 있다. 노이즈를 제거하지 않고, 경험적 PSD로부터 이러한 파라미터들의 추출은 문제가 있고 체계적인 에러들이 발생하기 쉽다.Once the noise is subtracted, reliable analysis of the PSD can lead to reliable estimates of important roughness parameters such as zero frequency PSD(0), correlation length, and roughness index H. Unbiased 3σ roughness can also be obtained. Without removing noise, extraction of these parameters from the empirical PSD is problematic and prone to systematic errors.

8. PSD의 비편향 측정(Unbiased Measurement of PSD)8. Unbiased Measurement of PSD

정확한 거칠기 측정의 가장 큰 장애는 CD-SEM 이미지에서의 노이즈이다. 다른 노이즈 소스들 중에서, SEM 이미지들은 샷 노이즈(shot noise)를 겪는데, 주어진 픽셀에 대해 검출된 전자들의 수는 무작위로 변화한다. 예상된 포아송 분포(Poisson distribution)에 대해, 이미지의 주어진 픽셀에 대해 검출된 전자들의 수의 분산은 해당 픽셀에 대해 검출된 전자들의 예상된 수와 동일하다. 검출된 전자의 수는 해당 픽셀에 의해 표현되는 샘플 위치에 충돌하는 전자의 수에 비례하기 때문에, 샘플이 받는 전자 선량을 증가시킴으로써 상대적 양의 노이즈가 감소될 수 있다. 일부 유형의 샘플의 경우, 전자 선량(electron dose)이 몇 가지 결과로 증가될 수 있다. 그러나, 다른 유형의 샘플(예를 들어, 포토레지스트)의 경우, 높은 전자 선량이 샘플 손상(예를 들어, 레지스트 라인 슬리밍(resist line slimming))을 초래한다. 생물학적 시료와 같은 다른 유형의 샘플도 전자 손상을 겪을 수 있다. 따라서, 샘플 손상을 방지하기 위해, 전자 선량(electron dose)은 가능한 낮게 유지되고, 여기서 가능한 최저 선량은 결과적인 이미지의 노이즈에 의해 제한된다.The biggest obstacle to accurate roughness measurement is noise in CD-SEM images. Among other noise sources, SEM images suffer from shot noise, where the number of electrons detected for a given pixel varies randomly. For an expected Poisson distribution, the variance of the number of electrons detected for a given pixel in the image is equal to the expected number of electrons detected for that pixel. Because the number of detected electrons is proportional to the number of electrons impinging on the sample location represented by that pixel, the relative amount of noise can be reduced by increasing the electron dose received by the sample. For some types of samples, the electron dose may increase with several results. However, for other types of samples (eg, photoresist), high electron doses result in sample damage (eg, resist line slimming). Other types of samples, such as biological samples, can also experience electronic damage. Therefore, to prevent sample damage, the electron dose is kept as low as possible, where the lowest possible dose is limited by the noise in the resulting image.

도 16은 상이한 전자 선량들에서 취해진 명목상 동일한 리소그래피 피처들의 3개의 SEM 이미지들의 부분들을 도시한다. 보다 구체적으로, 도 16은 (각각 좌측에서 우측으로) 2, 8, 및 32 프레임의 통합을 갖는 명목상 동일한 레지스트 피처의 SEM 이미지의 부분을 도시한다. 프레임들의 통합을 배가시키는 것(doubling)은 픽셀 당 전자 선량을 배가시킨다. 각각의 경우에 선량이 4배 증가하기 때문에 노이즈는 2배 저하된다.Figure 16 shows portions of three SEM images of nominally identical lithographic features taken at different electron doses. More specifically, Figure 16 shows portions of SEM images of nominally identical resist features with integrations of 2, 8, and 32 frames (from left to right, respectively). Doubling the integration of frames doubles the electron dose per pixel. In each case, because the dose increases by a factor of 4, the noise decreases by a factor of 2.

SEM 이미지 노이즈는 웨이퍼 상의 패턴들의 실제 거칠기에 추가되어 더 크게 편향된 측정 거칠기를 생성한다. 전형적으로, 수학식 8A에 의해 주어진 편향된 거칠기(biased roughness)를 획득한다.SEM image noise adds to the actual roughness of the patterns on the wafer, creating a larger biased measured roughness. Typically, a biased roughness is obtained as given by equation 8A.

(8A) (8A)

여기서, σ편향됨은 SEM 이미지로부터 직접 측정된 거칠기이고, σ비편향됨는 비편향된 거칠기(즉, 웨이퍼 피처들의 실제 거칠기)이며, σ노이즈는 SEM 이미징 및 에지 검출에서의 노이즈로 인한 검출된 에지 위치(또는 선폭)에서의 무작위 에러이다. 수학식 8A는 노이즈가 측정되는 피처 상의 거칠기와 통계적으로 독립적이라고 가정한다. 그렇지 않으면, 아래에서 더 설명되는 바와 같이, 더 복잡한 노이즈 모델들이 사용될 수 있다. 피처 거칠기의 비편향된 추정치가 요구되기 때문에, 측정된 거칠기는 노이즈 항의 추정치를 감산함으로써 정정될 수 있다.where σ biased is the roughness measured directly from the SEM image, σ unbiased is the unbiased roughness (i.e. the actual roughness of the wafer features), and σ noise is the roughness of the detected edges due to noise in SEM imaging and edge detection. Random errors in position (or linewidth). Equation 8A assumes that noise is statistically independent of the roughness on the feature being measured. Alternatively, more complex noise models may be used, as described further below. Since an unbiased estimate of feature roughness is required, the measured roughness can be corrected by subtracting the estimate of the noise term.

SEM의 픽셀 노이즈는 피처에 대한 예상된 라인 스캔의 형상에 따라 에지 검출 노이즈를 생성한다. 예를 들어, 도 17a는 픽셀 노이즈가 무시할 수 있을 정도로 매우 많은 수의 전자가 있을 때 웨이퍼 상의 라인 피처에 대한 전형적인 라인 스캔(그레이스케일 값 대 수평 위치, g(x))을 도시한다. 결과는 통계적 관점에서 라인 스캔 신호의 "예상(expected)" 라인 스캔, 즉 기대값이다. 임계 그레이스케일 레벨을 정의함으로써, 에지 위치가 결정될 수 있다. 그러나, 그레이스케일 값들의 노이즈는 검출된 에지 위치에서 노이즈를 초래한다. 주어진 그레이스케일 노이즈 σ그레이에 대해, 에지 위치 불확도 σ노이즈는 에지 dg/dx에서 라인 스캔의 기울기에 의존할 것이다. 작은 레벨의 노이즈에 대하여,Pixel noise in SEM creates edge detection noise depending on the shape of the expected line scan for the feature. For example, Figure 17A shows a typical line scan (grayscale value versus horizontal position, g(x)) for a line feature on a wafer when there are so many electrons that pixel noise is negligible. The result is, from a statistical standpoint, the "expected" line scan of the line scan signal, i.e. the expected value. By defining a threshold grayscale level, edge positions can be determined. However, noise in the grayscale values results in noise in the detected edge positions. For a given grayscale noise σ gray , the edge position uncertainty σ noise will depend on the slope of the line scan at the edge dg/dx. For small level noise,

(8B) (8B)

따라서, 에지 검출 노이즈의 레벨은 픽셀 그레이스케일 노이즈 및 피처 에지에서의 라인 스캔의 기울기의 함수이다.Therefore, the level of edge detection noise is a function of the pixel grayscale noise and the slope of the line scan at the feature edge.

이 수학식(8B)은 작은 레벨의 노이즈 및 무한히 작은 픽셀 크기에 대해서만 엄격하게 유효하다. 더 많은 양의 노이즈 및 0이 아닌 픽셀 크기의 영향을 탐색하기 위해, SEM 이미지의 시뮬레이션이 사용되었다. 완벽하게 매끄러운 라인 및 공간(25 nm 폭, 50 nm 피치)을 합성 SEM 이미지를 생성하기 위해 분석 라인 스캔 모델(Analytical Linescan Model)에 대한 입력으로서 사용하였다. 그런 다음, 각각의 픽셀의 결과적인 그레이스케일 값들(0 내지 255의 범위)은 주어진 표준 편차(σ그레이)를 갖는 정규 분포의 평균으로서 처리되었고, 무작위 그레이스케일 수가 이 정규 분포로부터 도출된 각각의 픽셀에 할당되었다. 그런 다음, 이들 SEM 이미지는 실험 SEM 이미지로서 처리되었고, 각각의 피처의 에지 위치를 검출하기 위해 역 라인 스캔 모델을 사용하여 측정되었다. 이들 이미지들로부터 측정된 1-시그마 LER은 그레이스케일 픽셀 노이즈로 인한 검출된 에지 위치 불확도(edge position uncertainty)이다. 도 17b는 그레이스케일 노이즈의 존재시 이러한 완벽하게 매끄러운 피처들에 대한 에지 검출 위치에서의 1-시그마 불확도를 도시한다. 이 그래프에서, 3개의 상이한 X 픽셀 크기에 대한 에지 검출 노이즈는 시뮬레이션된 합성 SEM 이미지(평균 100개의 이미지, 각각은 폭 25nm 및 피치 50nm의 20개의 조밀 라인/공간 피처를 가짐)에 대한 그레이스케일 노이즈의 함수로서 플롯팅된다. 에지 검출은 역 라인 스캔 모델(inverse linescan model)을 사용하였고, 피처들의 결과적인 라인 에지 거칠기는 에지 검출 노이즈인 것으로 간주되었다. 결과는 다소 비선형적이며, 더 높은 레벨의 픽셀 노이즈는 훨씬 더 큰 에지 검출 노이즈를 생성한다. 또한, 더 작은 X 픽셀 크기들은 더 낮은 레벨들의 에지 검출 노이즈를 생성한다. 사실상, 에지 검출 분산 은 낮은 레벨들의 그레이스케일 노이즈에 대한 X 픽셀 크기에 정비례한다.This equation (8B) is strictly valid only for small levels of noise and infinitely small pixel sizes. To explore the impact of larger amounts of noise and non-zero pixel sizes, simulations of SEM images were used. Perfectly smooth lines and spaces (25 nm wide, 50 nm pitch) were used as input to the Analytical Linescan Model to generate synthetic SEM images. The resulting grayscale values (ranging from 0 to 255) for each pixel were then treated as the mean of a normal distribution with a given standard deviation (σ gray ), and a random grayscale number for each pixel was drawn from this normal distribution. was assigned to Then, these SEM images were processed as experimental SEM images and measured using an inverse line scan model to detect the edge position of each feature. The 1-sigma LER measured from these images is the detected edge position uncertainty due to grayscale pixel noise. Figure 17b shows the 1-sigma uncertainty in edge detection location for these perfectly smooth features in the presence of grayscale noise. In this graph, the edge detection noise for three different is plotted as a function of . Edge detection used the inverse linescan model, and the resulting line edge roughness of the features was considered to be edge detection noise. The results are somewhat non-linear, with higher levels of pixel noise producing much larger edge detection noise. Additionally, smaller X pixel sizes produce lower levels of edge detection noise. In fact, edge detection variance is directly proportional to the X pixel size for low levels of grayscale noise.

픽셀 노이즈가 에지 검출 노이즈의 유일한 소스는 아니다. 동작 동안, 전자 빔은 빔 조향 전자 기기(beam steering electronics)를 사용하여 좌측에서 우측으로 주사된다. 빔 조향에서의 에러들은 빔을 부정확한 위치에 배치할 수 있으며, 이는 에지 에러를 생성한다. 전자 노출 동안 샘플의 대전(charging)은 빔을 부정확한 위치로 편향시킬 것이다. 대전 영향들 중 일부는 체계적일 것이지만, 검출된 에지 위치에서 무작위 변동으로서 나타날 무작위 또는 의사 무작위(pseudo-random) 성분들이 또한 존재할 것이다.Pixel noise is not the only source of edge detection noise. During operation, the electron beam is scanned from left to right using beam steering electronics. Errors in beam steering can place the beam in an incorrect position, creating edge errors. Charging of the sample during electron exposure will deflect the beam to an incorrect location. Some of the charging effects will be systematic, but there will also be random or pseudo-random components that will appear as random fluctuations in the detected edge position.

SEM 에지 위치 노이즈를 추정하고 그것을 감산하기 위한 여러 접근법들이 종래 기술에서 제안되었지만, 이들 접근법들은 오늘날의 작은 피처 크기들 및 높은 레벨들의 SEM 이미지 노이즈에 대해 성공적인 것으로 입증되지 않았다. 문제는 높은 이미지 노이즈가 존재하는 경우 에지 검출 강건성(edge detection robustness)의 결여이다. 특히, 노이즈 레벨이 높은 경우, 에지 검출 알고리즘은 에지를 찾지 못하는 경우가 많다. 이 문제에 대한 해결책은 전형적으로 이미지를 필터링을 하는 것이며, 고주파 노이즈를 평활화하는 것이다. 예를 들어, 가우시안 7x3 필터가 이미지에 적용되는 경우, 7 픽셀 폭 및 3 픽셀 높이의 이미지의 각각의 직사각형 영역에 대해, 각각의 픽셀에 대한 그레이스케일 값들은 가우시안 가중치가 곱해진 다음 함께 평균화된다. 결과는 직사각형의 중심 픽셀에 할당된다. 박스(평균) 필터들 및 메디안 필터들이 또한 사용될 수 있고, 유사한 결과들을 생성할 수 있다. 이러한 평활화는 이미지 노이즈가 높을 때 에지 검출을 상당히 더 강건하게 만든다. 도 17c는 우측 이미지에서는 이미지 필터링을 갖고 좌측 이미지에서는 이미지 필터링을 갖지 않는 간단한 임계 에지 검출 알고리즘을 사용하는 예를 도시한다. 이미지 필터링이 없다면, 에지 검출 알고리즘은 대부분 이미지 내의 노이즈를 검출하여 에지를 신뢰성 있게 찾지 못한다.Several approaches to estimate SEM edge position noise and subtract it have been proposed in the prior art, but these approaches have not proven successful for today's small feature sizes and high levels of SEM image noise. The problem is the lack of edge detection robustness in the presence of high image noise. In particular, when the noise level is high, the edge detection algorithm often cannot find the edge. The solution to this problem is typically to filter the image and smooth out high-frequency noise. For example, if a Gaussian 7x3 filter is applied to an image, for each rectangular region of the image 7 pixels wide and 3 pixels high, the grayscale values for each pixel are multiplied by the Gaussian weight and then averaged together. The result is assigned to the center pixel of the rectangle. Box (mean) filters and median filters can also be used and produce similar results. This smoothing makes edge detection significantly more robust when image noise is high. Figure 17C shows an example of using a simple critical edge detection algorithm with image filtering on the right image and no image filtering on the left image. Without image filtering, edge detection algorithms mostly detect noise within the image and cannot reliably find edges.

이미지 필터링의 사용은 결과적인 PSD 및 측정된 거칠기에 큰 영향을 미칠 수 있다. 도 18은 각각이 12개의 피처들을 포함하는 30개의 이미지들의 집합으로부터 획득된 PSD에 대한 2개의 상이한 이미지 필터들의 영향을 도시한다. 모든 이미지는 에지 검출을 위해 역 라인 스캔 모델(inverse linescan model)을 이용하여 측정되었다. 파워 스펙트럼 밀도는 도면에 라벨링된 바와 같이 7x2 또는 7x3 가우시안 필터를 사용하여 전처리되거나 전혀 필터링되지 않은 이미지를 갖는 이들 360개의 거친 피처들로부터 평균되었다. 알 수 있는 바와 같이, 고주파 영역은 필터링에 의해 크게 영향을 받는다. 그러나, PSD의 저주파수 영역도 평활 필터를 사용할 때 눈에 띄는 변화를 보여준다. y-방향으로의 필터링은 고주파 거칠기를 평활화시킨다. x-방향으로의 필터링은 라인 스캔의 기울기를 낮추고, 이는 측정된 저주파 거칠기에 영향을 미칠 수 있다. 후술하는 바와 같이, 이미지 필터링의 사용은 이미지 노이즈의 측정 및 감산을 불가능하게 한다. The use of image filtering can have a significant impact on the resulting PSD and measured roughness. Figure 18 shows the impact of two different image filters on the PSD obtained from a set of 30 images each containing 12 features. All images were measured using the inverse linescan model for edge detection. The power spectral density was averaged from these 360 coarse features with images preprocessed using a 7x2 or 7x3 Gaussian filter or not filtered at all, as labeled in the figure. As can be seen, the high frequency region is greatly affected by filtering. However, the low-frequency region of the PSD also shows noticeable changes when using a smoothing filter. Filtering in the y-direction smoothes out high-frequency roughness. Filtering in the x-direction lowers the slope of the line scan, which can affect the measured low-frequency roughness. As described below, the use of image filtering makes measurement and subtraction of image noise impossible.

이미지 필터링이 없이 에지 검출이 달성될 수 있다면, 노이즈의 PSD 거동을 실제 웨이퍼 피처들의 PSD 거동과 대조함으로써 노이즈 측정 및 감산이 달성될 수 있다. 레지스트 피처들(뿐만 아니라 에칭-후(after-etch) 피처들)은 "실제(True) PSD"로서 도 19에 도시된 바와 같은 PSD 거동(그리고 또한 도 4에 더 앞서 도시됨)을 가질 것으로 예상된다. 피처 에지의 길이를 따른 상관 관계들은 고주파 거칠기를 감소시켜 매우 짧은 길이 스케일들에 걸쳐 거칠기가 매우 작아진다. 한편, SEM 이미지 노이즈는 종종 백색 노이즈(white noise)인 것으로 가정될 수 있어서, 노이즈 PSD는 모든 주파수에 걸쳐 평평하다. SEM 이미지 노이즈의 다른 모델들이 또한 가능하며, 예를 들어, 아래에서 추가로 설명되는 바와 같이, 노이즈를 설명하기 위해 라인 스캔 대 라인 스캔 상관(linescan-to-linescan correlation)을 사용한다. 따라서, 충분히 높은 주파수에서, 측정된 PSD는 이미지 노이즈에 의해 지배되고, 실제 피처 거칠기에 의해 지배되지 않을 것이다(소위 "노이즈 플로어(noise floor)"). 라인의 길이를 따른 그리드 크기가 주어지면(△y), SEM 에지 검출 백색 노이즈는 아래의 수학식 9에 따라 PSD에 영향을 미친다:If edge detection can be achieved without image filtering, noise measurement and subtraction can be achieved by comparing the PSD behavior of the noise with the PSD behavior of actual wafer features. Resist features (as well as after-etch features) are expected to have PSD behavior as shown in Figure 19 (and also earlier in Figure 4) as "True PSD". do. Correlations along the length of the feature edge reduce the high frequency roughness so that the roughness becomes very small over very short length scales. On the other hand, SEM image noise can often be assumed to be white noise, so the noise PSD is flat across all frequencies. Other models of SEM image noise are also possible, for example, using linescan-to-linescan correlation to account for noise, as described further below. Therefore, at sufficiently high frequencies, the measured PSD will be dominated by image noise and not by actual feature roughness (the so-called “noise floor”). Given the grid size along the length of the line (Δy), the SEM edge detection white noise affects the PSD according to equation 9 below:

(9) (9)

따라서, (임의의 이미지 필터링이 없는 경우) 고주파 PSD의 측정은 SEM 에지 검출 노이즈의 측정을 제공한다. 도 19는 백색 SEM 노이즈 모델의 경우에 대한 이러한 접근법을 예시한다. 명백하게, 노이즈 감산에 대한 이러한 접근법은 필터링된 이미지들로부터 나오는 PSD들에 대해 사용될 수 없는데, 이는 그러한 필터링이 고주파 노이즈 플로어를 제거하기 때문이다(도 18 참조). Therefore, measurement of high-frequency PSD (in the absence of any image filtering) provides a measure of SEM edge detection noise. Figure 19 illustrates this approach for the case of a white SEM noise model. Obviously, this approach to noise subtraction cannot be used for PSDs coming from filtered images, since such filtering removes the high-frequency noise floor (see Figure 18).

수학식 9는 백색 노이즈 모델을 가정하며, 여기서 이미지의 임의의 픽셀에서 발견되는 노이즈는 임의의 다른 픽셀에서 발견되는 노이즈와는 독립적이다. 항상 그런 것은 아닐 수 있다. 예를 들어, 각각의 픽셀에서의 노이즈는 그의 가장 가까운 이웃들과 다소 상관되어, 수학식 8B에서 σ그레이에 영향을 미칠 수 있다. 대안적으로, 수학식 8B의 그레이스케일 기울기는 픽셀의 한 행에서 다음 행으로 상관될 수 있으며, 이는 아마도 도 8에 도시된 전자의 상호 작용 체적에 의해 야기될 수 있다. 상관 모델이 가정되거나 측정되는 경우, PSD에 대한 적절한 노이즈 표현은 아래에서 더 설명되는 수학식 9를 대체하기 위해 사용될 수 있다.Equation 9 assumes a white noise model, where the noise found at any pixel in the image is independent of the noise found at any other pixel. This may not always be the case. For example, noise at each pixel may be somewhat correlated with its nearest neighbors, affecting σ gray in Equation 8B. Alternatively, the grayscale slope of Equation 8B can be correlated from one row of pixels to the next, possibly caused by the electronic interaction volume shown in Figure 8. If a correlation model is assumed or measured, an appropriate noise expression for the PSD can be used to replace Equation 9, described further below.

도 19는 개시된 에지 검출 장치 및 방법의 노이즈 감산 프로세스의 일 구현예를 도시한다. 개시된 에지 검출 방법에서, 방법은 임의의 이미지 필터링을 이용하지 않고 ILM을 이용하여 (예를 들어, 역 라인 스캔 방법을 이용하여) 에지의 위치를 먼저 검출한다. 이러한 검출된 에지들로부터, 실제 웨이퍼 거칠기 PSD와 SEM 노이즈 PSD의 합인 편향된(biased) PSD가 획득된다. SEM 이미지 노이즈에 대한 모델(일정한 백색 노이즈 PSD와 같은)을 사용하여, 측정된 PSD의 고주파 부분에서 노이즈 플로어를 측정함으로써 노이즈의 양이 결정된다. 실제(비편향된) PSD는 측정된 대로의(as-measured) (편향된) PSD로부터 노이즈 레벨을 감산함으로써 획득된다. 비편향된 PSD(및 따라서, 파라미터들 σLWR(∞), PSD(0), 및 ξ)를 획득하기 위해 노이즈 감산의 상기 접근법을 사용하는 것에 대한 핵심은 이미지 필터링의 사용 없이 강건하게 에지들을 검출하는 것이다. 이는 역 라인 스캔 모델을 사용하여 달성될 수 있다. 역 라인 스캔 모델이 도 18에 도시된 필터 없는 PSD 데이터를 생성하는데 사용되었다. 19 illustrates one implementation of a noise subtraction process of the disclosed edge detection apparatus and method. In the disclosed edge detection method, the method first detects the location of the edge using ILM (eg, using an inverse line scan method) without using any image filtering. From these detected edges, a biased PSD is obtained, which is the sum of the actual wafer roughness PSD and the SEM noise PSD. Using a model for the SEM image noise (such as a constant white noise PSD), the amount of noise is determined by measuring the noise floor in the high frequency portion of the measured PSD. The actual (unbiased) PSD is obtained by subtracting the noise level from the as-measured (biased) PSD. The key to using the above approach of noise subtraction to obtain an unbiased PSD (and thus the parameters σ LWR (∞), PSD (0), and ξ) is the ability to robustly detect edges without the use of image filtering. will be. This can be achieved using an inverse line scan model. An inverse line scan model was used to generate the unfiltered PSD data shown in Figure 18.

백색 노이즈를 감산하는 일 예제 방법이 이제 설명될 것이다. 먼저, 어떠한 이미지 필터링도 사용하지 않고(예를 들어, 역 라인 스캔 모델을 사용하여) SEM 이미지로부터 에지들이 검출된다. 하나 이상의 에지의 파워 스펙트럼 밀도는 일반적인 방식으로 계산된다. 단일 에지의 PSD가 상당히 노이즈가 있기 때문에, 많은 에지를 측정하고 PSD를 평균화하는 것은 매우 중요하다. 종종 수백 또는 수천 개의 에지들이 측정되고 그들의 PSD들이 평균화된다. 이러한 평균화된 PSD를 편향된 PSD라 한다. 평균 편향된 PSD로부터, 편평한 노이즈 플로어(flat noise floor)가 관찰되는지를 결정하기 위해 가장 높은 주파수가 검사된다. 이러한 노이즈 플로어는 y 픽셀 크기가 실제 거칠기의 상관 길이보다 충분히 작을 때마다 관찰된다. 전형적으로, 상관 길이의 20% 이하인 y-픽셀 크기가 적당하다. 노이즈 플로어가 관찰되면, 편평한 영역에서의 평균 PSD 값이 계산된다. 이것이 노이즈 플로어이다. 그런 다음, 이 수는 비편향된 PSD를 생성하기 위해 모든 주파수에서 편향된 PSD로부터 감산된다. 편향된 PSD는 웨이퍼 상의 거칠기의 실제 PSD의 최상의 추정치이다.One example method for subtracting white noise will now be described. First, edges are detected from the SEM image without using any image filtering (eg, using an inverse line scan model). The power spectral density of one or more edges is calculated in the usual way. Because the PSD of a single edge is quite noisy, it is very important to measure many edges and average the PSD. Often hundreds or thousands of edges are measured and their PSDs are averaged. This averaged PSD is called biased PSD. From the average biased PSD, the highest frequency is examined to determine if a flat noise floor is observed. This noise floor is observed whenever the y pixel size is sufficiently smaller than the correlation length of the actual roughness. Typically, a y-pixel size of less than 20% of the correlation length is adequate. Once the noise floor is observed, the average PSD value in the flat region is calculated. This is the noise floor. This number is then subtracted from the biased PSD at all frequencies to produce the unbiased PSD. The biased PSD is the best estimate of the actual PSD of roughness on the wafer.

다른 SEM 에러는 거칠기 PSD의 측정에도 영향을 미칠 수 있다. 예를 들어, SEM 필드 왜곡은 LWR에 거의 영향을 미치지 않지만, LER 및 PPR에 대한 저주파수 PSD를 인위적으로 증가시킬 수 있다. SEM에서의 배경(background) 강도 변동은 또한 LWR뿐만 아니라 LER 및 PPR을 포함하는 측정된 저주파 PSD의 증가를 야기할 수 있다. 이러한 변동들이 측정될 수 있다면, 이들은 잠재적으로 감산될 수 있어서, PSD 및 그의 파라미터들의 최상의 가능한 비편향된 추정치를 생성한다. 측정의 유일한 공통 양태가 사용된 SEM인 많은 SEM 이미지의 결과를 평균화함으로써, SEM 이미지 왜곡 및 배경 강도 변동의 결정이 이루어질 수 있다.Other SEM errors can also affect the measurement of roughness PSD. For example, SEM field distortion has little effect on LWR, but can artificially increase the low-frequency PSD for LER and PPR. Background intensity fluctuations in SEM can also cause an increase in the measured low-frequency PSD, including LWR, as well as LER and PPR. If these fluctuations can be measured, they can potentially be subtracted, producing the best possible unbiased estimate of the PSD and its parameters. By averaging the results of many SEM images where the only common mode of measurement is the SEM used, determination of SEM image distortion and background intensity fluctuations can be made.

9. 계측 툴 설정에 대한 민감도9. Sensitivity to metrology tool settings

측정된 PSD의 모든 노이즈가 백색 노이즈는 아니다. 백색 노이즈는 각각의 라인 스캔으로부터의 에지 위치의 측정 노이즈가 모든 다른 라인 스캔(및 특히 가장 가까운 이웃)과 완전히 독립적일 때 발생한다. 백색 노이즈는 하나의 라인 스캔의 에러와 이웃하는 라인 스캔의 에러를 연결하는 상관 관계가 없는 상태에서 발생한다. 라인의 길이를 따라 에지 에러들의 임의의 작은 상관 관계들은 전체 주파수 영역에 걸쳐 완전히 편평하지 않은 노이즈 시그니처인 "핑크 노이즈(pink noise)"를 야기할 것이다.Not all noise in the measured PSD is white noise. White noise occurs when the measurement noise of the edge position from each line scan is completely independent of all other line scans (and especially nearest neighbors). White noise occurs when there is no correlation connecting the error of one line scan with the error of a neighboring line scan. Any small correlation of edge errors along the length of the line will cause “pink noise”, a noise signature that is not completely flat over the entire frequency range.

SEM 계측 툴의 설정들은 패턴 구조에서 피처의 측정된 거칠기에 영향을 줄 수 있다. 이들 설정은 SEM(701)의 배율 및 픽셀 크기를 포함한다. 이들 2개의 파라미터들은 이미지의 픽셀들의 수를 변경함으로써 독립적으로 변경될 수 있다(예를 들어, 512×512로부터 2048×2048까지). 추가로, SEM 이미지를 캡처할 때의 통합의 프레임 수(전자 선량)를 조절될 수 있다. 이러한 설정의 영향을 연구하기 위해, 통합 프레임의 수는 예를 들어, 전자 선량의 16X 변동을 나타내는 2에서 32까지 변화될 수 있다.Settings of the SEM metrology tool can affect the measured roughness of features in the patterned structure. These settings include the magnification and pixel size of the SEM 701. These two parameters can be changed independently by changing the number of pixels in the image (eg, from 512×512 to 2048×2048). Additionally, the number of frames of integration (electron dose) when capturing SEM images can be adjusted. To study the impact of these settings, the number of integration frames can be varied, for example, from 2 to 32, representing a 16X variation in electron dose.

총 전자 선량은 통합의 프레임들의 수에 정비례한다. 따라서, 샷 노이즈(shot noise) 및 에지 검출 노이즈에 대한 영향은 통합 프레임들의 수의 제곱근에 비례할 것으로 예상된다. 도 20은 상이한 수의 통합 프레임으로 측정된, 주어진 웨이퍼 상의 특정 레지스트 피처 유형의 PSD를 도시한다. 이 경우, PSD는 통합 프레임의 수만이 변화되는 18 nm 레지스트 라인 및 공간에 대응한다. 사용된 SEM 조건은 500 eV, 조건당 49개의 이미지, 이미지당 21개의 피처, 픽셀 크기 = 0.8 평방 nm, 및 이미지 크기 = 1024×1024 픽셀이었다. 8개 이상의 프레임의 통합의 경우들은 상당히 편평한 고주파 노이즈 영역을 나타내는 PSD들을 생성한다. 통합 프레임 2개 및 4개의 경우 노이즈 영역이 눈에 띄게 경사진다. 따라서, 백색 SEM 노이즈의 가정은 단지 대략적으로 사실이고, 통합 프레임들의 수가 증가하고 노이즈 레벨이 감소할 때 더 정확한 가정이 된다. 이러한 관찰은 다른 상황들에서 입증되었다: 높은 노이즈 사례들은 비-평탄 노이즈 플로어(non-flat noise floor)들을 보일 가능성이 더 높다. The total electron dose is directly proportional to the number of frames of integration. Therefore, the impact on shot noise and edge detection noise is expected to be proportional to the square root of the number of integrated frames. Figure 20 shows the PSD of a specific resist feature type on a given wafer, measured with different numbers of integrated frames. In this case, the PSD corresponds to 18 nm resist lines and spaces where only the number of integrated frames changes. The SEM conditions used were 500 eV, 49 images per condition, 21 features per image, pixel size = 0.8 square nm, and image size = 1024×1024 pixels. Cases of integration of eight or more frames produce PSDs that exhibit fairly flat high-frequency noise regions. For 2 and 4 integrated frames, the noise area is noticeably sloped. Therefore, the assumption of white SEM noise is only approximately true and becomes a more accurate assumption as the number of integrated frames increases and the noise level decreases. This observation has been proven in other situations: high noise cases are more likely to exhibit non-flat noise floors.

도 21은 통합 프레임들의 수의 함수로서 측정된 3σ 선폭 거칠기의 편향된 값 및 비편향된 값들을 도시한다. 모든 조건은 도 20에 설명된 것과 동일하였고, 에러 바(error bar)는 95% 신뢰 구간 추정치를 나타낸다. 편향된 거칠기는 2개의 통합 프레임에서 8.83 nm로부터 8 개의 프레임에서 5.68 nm 및 32 개의 프레임에서 3.98 nm로 변한다. 한편, 비편향된 거칠기는 6개의 통합 후에 상당히 안정되어, 2개의 통합 프레임에서의 5.25 nm로부터 8개의 프레임에서의 3.25 nm 및 32개의 프레임에서의 3.11 nm로 변한다. 편향된 거칠기는 32개에 비교하여 8 개의 프레임에서 43% 더 높지만, 비편향된 거칠기는 32개에 비교하여 8개의 프레임에서 단지 4% 더 높다. 백색 SEM 노이즈의 가정은 통합되는 2 개 및 4 개의 프레임들에서 매우 정확하지 않기 때문에, 백색 노이즈 모델을 사용하는 비편향된 측정의 노이즈 감산은 통합의 이들 매우 작은 개수의 프레임들에서 완전히 성공적이지 않다. 상관된 노이즈 모델은, 아래에서 더 충분히 설명되는 바와 같이, 특히 작은 개수의 통합 프레임들에 대해 더 양호한 노이즈 감산(noise subtraction)을 생성할 수 있다. 도시된 결과들은 LWR에 대한 것이지만, 라인 에지 거칠기 (LER) 및 패턴 배치 거칠기 (PPR)의 측정에 대해 유사한 결과들이 획득된다.Figure 21 shows biased and unbiased values of 3σ linewidth roughness measured as a function of the number of integrated frames. All conditions were the same as described in Figure 20, and error bars represent 95% confidence interval estimates. The biased roughness varies from 8.83 nm in two integrated frames to 5.68 nm in eight frames and 3.98 nm in 32 frames. Meanwhile, the unbiased roughness is fairly stable after six integrations, varying from 5.25 nm in two integrated frames to 3.25 nm in eight frames and 3.11 nm in 32 frames. The biased roughness is 43% higher with 8 frames compared to 32, but the unbiased roughness is only 4% higher with 8 frames compared to 32. Because the assumption of white SEM noise is not very accurate in the two and four frames being integrated, noise subtraction of unbiased measurements using a white noise model is not completely successful in these very small numbers of frames of integration. The correlated noise model can produce better noise subtraction, especially for small numbers of integrated frames, as explained more fully below. Although the results shown are for LWR, similar results are obtained for measurements of line edge roughness (LER) and pattern placement roughness (PPR).

에지 노이즈에서의 상관 관계들의 하나의 가능한 원인은 픽셀 노이즈에서의 상관들일 것이다. 이러한 가능성을 테스트하기 위해, 격리된 에지가 CD-SEM로 측정되었다. 에지는 SEM이 전형적인 방식으로 그의 이미징 기능을 수행할 수 있게 하지만, 에지로부터 좌측 또는 우측의 거리에서 필드는 평탄하고 피처가 없다. 이 영역에서 픽셀 그레이스케일 값의 유일한 변동은 이미지 노이즈에서 나온다. 그런 다음, 이웃하는 픽셀들 사이의 상관 계수가 계산될 수 있다. 이들 계산을 수행하면, x-방향으로의 이웃하는 픽셀들 사이의 평균 상관은 0.12이었지만, y-방향으로의 평균 상관은 0.01에 불과하여, 본질적으로 0이었다. 이들 상관 계수들은 2 내지 32 프레임의 통합에서 측정된 에지들에 대해 결정되었다. 통합의 프레임들의 수의 함수로서 픽셀-대-픽셀 상관 관계에서의 변동이 거의 없었다. 따라서, 상관된 픽셀 노이즈는 낮은 통합 프레임에서 관찰된 핑크 노이즈에 대한 책임이 없다. 그러나, 수학식 8B의 라인 스캔 기울기가 노이즈 상관들에 대한 원인일 가능성이 있다.One possible cause of correlations in edge noise would be correlations in pixel noise. To test this possibility, isolated edges were measured by CD-SEM. The edge allows the SEM to perform its imaging function in a typical manner, but at distances to the left or right of the edge the field is flat and featureless. The only variation in pixel grayscale values in this region comes from image noise. Then, the correlation coefficient between neighboring pixels can be calculated. Performing these calculations, the average correlation between neighboring pixels in the x-direction was 0.12, but the average correlation in the y-direction was only 0.01, essentially zero. These correlation coefficients were determined for edges measured in the integration of 2 to 32 frames. There was little variation in pixel-to-pixel correlation as a function of the number of frames of integration. Therefore, correlated pixel noise is not responsible for the pink noise observed in low integration frames. However, it is possible that the line scan slope in Equation 8B is responsible for the noise correlations.

라인 스캔 기울기에서의 노이즈 상관 관계의 가능한 원인은 빔과 샘플의 상호 작용으로부터 비롯된다. 샘플에 충돌하는 전자는 전자의 에너지 및 샘플의 재료 속성에 의존하는 다수의 프로세스를 겪는다. 전자는 샘플 재료의 원자를 산란시켜, 에너지를 방출하고, 방향을 변화시키며, 종종 샘플 원자를 이온화시킴으로써 2차 전자의 캐스케이드를 생성한다. 때때로 전자는 원자 핵으로부터 역방향으로 튀어나와서 샘플 밖으로 빠져 나간다(후방 산란 전자라고 함). 더 낮은 에너지의 2차 전자들 중 일부는 또한 (종종 피처의 에지들을 통해, 도 8a 및 8b 참조) 샘플 밖으로 탈출할 수 있다(도 참조). SEM이 이미지를 형성하는 방식은 각각의 빔 위치에 대해 샘플을 탈출하는 2차 전자 및/또는 후방 산란 전자의 수를 검출하는 것에 의한다.A possible source of noise correlation in the line scan slope comes from the interaction of the beam with the sample. Electrons impinging on a sample undergo a number of processes that depend on the energy of the electrons and the material properties of the sample. Electrons scatter atoms of the sample material, releasing energy, changing direction, and often creating a cascade of secondary electrons by ionizing the sample atoms. Sometimes electrons bounce back from the atomic nucleus and escape the sample (called backscattered electrons). Some of the lower energy secondary electrons may also escape out of the sample (often through the edges of the feature, see FIGS. 8A and 8B) (see also). The way an SEM forms an image is by detecting for each beam position the number of secondary and/or backscattered electrons escaping the sample.

SEM을 사용하여 이미지를 형성할 때, 전자들의 작은 스팟이 샘플(즉, 픽셀) 상의 특정 포인트에 체류하면서, 탈출하는 2차 전자들의 수가 2차 전자 검출기에 의해 카운트된다. 도 8a에서와 같이, 스팟이 피처 에지로부터 먼 거리에 있을 때, 검출된 2차 전자들(805)의 수는 작다(그리고 픽셀은 어둡다). 도 8b에서와 같이 스팟이 피처 에지 근처에 있을 때, 상호 작용 체적으로부터의 2차 전자(805)는 밝은 픽셀을 생성하는 피처 에지로부터 쉽게 탈출한다.When forming an image using an SEM, a small spot of electrons resides at a specific point on the sample (i.e., pixel), while the number of secondary electrons that escape is counted by a secondary electron detector. As in Figure 8A, when the spot is a long distance from the feature edge, the number of secondary electrons 805 detected is small (and the pixel is dark). When the spot is near a feature edge, as in Figure 8B, secondary electrons 805 from the interaction volume easily escape from the feature edge producing bright pixels.

전자의 상호 작용 체적(interaction volume)은 빔 전압 및 샘플 재료 속성에 따라 직경이 1 내지 수십 나노미터일 수 있다. 이 상호 작용 체적은 샘플 상의 하나의 스폿에 충돌하는 전자가 상호 작용 체적에 의해 결정되는 범위에 걸쳐 샘플 형상에 의해 영향을 받음을 의미한다. 따라서, 픽셀들의 하나의 행에서의 라인 스캔의 기울기는, 상호 작용 체적 반경이 y 픽셀 크기보다 클 때마다, 이웃하는 픽셀들에서의 라인 스캔의 기울기에 독립적이지 않을 것이다. 이러한 의존성은 전자 빔 상호 작용 체적에 의해 영향을 받는 노이즈 상관 길이와 함께, 노이즈에서의 상관들의 원인이 될 수 있다.The interaction volume of electrons can be from one to several tens of nanometers in diameter depending on beam voltage and sample material properties. This interaction volume means that electrons striking a spot on the sample will be affected by the sample geometry over a range determined by the interaction volume. Therefore, the slope of the line scan in one row of pixels will not be independent of the slope of the line scan in neighboring pixels, whenever the interaction volume radius is greater than the y pixel size. This dependence can result in correlations in noise, with the noise correlation length being influenced by the electron beam interaction volume.

10. 파워 스펙트럼 밀도에서 스파이크(spike) 검출 및 제거10. Spike detection and removal in power spectral density

거친 피처들의 전형적인 이미지들에서 신호와 간섭하는 노이즈 이외에, 백색 노이즈 또는 핑크 노이즈에 비해 그리고 측정되는 거칠기에 비해 매우 상이한 주파수 거동을 갖는 이미지들에서 다른 에러들이 존재할 수 있다. 일부 이러한 에러는 PSD에서 크지만 좁은(narrow) 스파이크를 생성한다. 도 25a는 데이터세트들에서 간헐적으로 발견되는 고주파수 "스파이크(spike)들"의 일 예를 도시한다. 그러한 스파이크에 대한 하나의 원인은 이미징 툴의 주사 전자 기기에서의 전기적 간섭일 수 있다. 간섭이 이미지의 전체 주사 내에서 하나 이상의 간섭 이벤트들을 허용하는 범위의 주파수에 있는 경우, 이러한 간섭은 주사 빔 위치의 약간 그러나 규칙적인 "지터(jitter)"를 초래할 수 있다. 매우 정밀한 주사(scanning)를 위해, 심지어 서브-나노미터 지터는 측정된 PSD에서 하나 이상의 큰 스파이크들을 초래할 수 있다. 메커니즘에 따라, 이러한 간섭 스파이크들은 라인 에지 거칠기 (LER) 및 패턴 배치 거칠기 (PPR)에 존재할 수도 있지만, 선폭 거칠기 (LWR) PSD에 존재하지 않을 수 있다. 대안적으로, 간섭은 모든 3개의 PSD들에서 동일한 주파수들에서 스파이크들을 야기할 수 있다.In addition to noise interfering with the signal in typical images of rough features, there may be other errors in the images that have a very different frequency behavior compared to white or pink noise and compared to the roughness being measured. Some of these errors produce large but narrow spikes in the PSD. Figure 25A shows an example of high frequency “spikes” found intermittently in datasets. One cause for such spikes could be electrical interference in the imaging tool's scanning electronics. If the interference is in a range of frequencies that allow one or more interfering events within the entire scan of the image, this interference can result in a slight but regular "jitter" of the scanning beam position. For very precise scanning, even sub-nanometer jitter can result in one or more large spikes in the measured PSD. Depending on the mechanism, these interfering spikes may be present in the line edge roughness (LER) and pattern placement roughness (PPR), but not in the linewidth roughness (LWR) PSD. Alternatively, interference may cause spikes at the same frequencies in all three PSDs.

예를 들어, 50Hz 또는 60Hz의 주파수에서의 전기적 간섭은 측정 툴이 표준 "TV" 주사 레이트 또는 이러한 레이트의 작은 배수들로 이미지들을 캡처할 때 측정된 PSD에서 현저한 스파이크들을 야기할 수 있다. 추가적으로, 정상 오디오 주파수들에서의 전기적 간섭은 전형적인 측정 툴 이미지들에서 더 높은 PSD 주파수들에서 가시적인 스파이크들을 야기할 수 있다.For example, electrical interference at frequencies of 50 Hz or 60 Hz can cause noticeable spikes in the measured PSD when the measurement tool captures images at the standard “TV” scan rate or small multiples of this rate. Additionally, electrical interference at normal audio frequencies can cause visible spikes at higher PSD frequencies in typical measurement tool images.

PSD에서의 스파이크의 존재는 그것들의 양, 그것들의 진폭, 및 그것들의 주파수에 의존하는 다수의 이유로 바람직하지 않을 수 있다. 도 25a에 도시된 바와 같은 고주파 스파이크의 경우에 있어서, 스파이크는 상술한 노이즈 제거 프로세스에 영향을 미칠 수 있고, 그 결과 이미지에서 백색 또는 핑크 노이즈의 양의 과대 평가를 초래한다.The presence of spikes in a PSD can be undesirable for a number of reasons depending on their amount, their amplitude, and their frequency. In the case of high frequency spikes as shown in Figure 25A, the spikes can affect the noise removal process described above, resulting in an overestimation of the amount of white or pink noise in the image.

PSD 스파이크는 이미징 툴 내에서의 전기적 간섭 이외의 현상에 의해 야기될 수 있다. 측정되는 객체는 측정될 개략적인 피처들 이외의 주기적 또는 반주기적 구조들을 포함할 수 있다. 예를 들어, 객체의 수직으로 배향된 거친 피처들의 세트는 수평 피처들의 주기적 세트의 최상부 상에 있을 수 있으며, 이는 이미지에서 약간 보이는 거친 피처들 아래의 토포그래피(topography)를 초래한다. 이러한 하지(underlying)의 토포그래피는 PSD에 대한 중간 주파수 스파이크(mid-frequency spike)를 초래할 수 있다(더 높은 주파수 고조파도 또한 가능하다). 도 26은 이러한 현상의 예를 도시한다.PSD spikes can be caused by phenomena other than electrical interference within the imaging tool. The object being measured may include periodic or semi-periodic structures other than the coarse features to be measured. For example, a set of vertically oriented rough features of an object may be on top of a periodic set of horizontal features, resulting in a topography below the rough features that are slightly visible in the image. This underlying topography can result in a mid-frequency spike for the PSD (higher frequency harmonics are also possible). Figure 26 shows an example of this phenomenon.

PSD에서 스파이크를 발생시킬 수 있는 또 다른 현상은 측정될 객체 상의 피처의 재료 내에 작은 크기 범위의 입자(grain)의 존재일 것이다. 함께 빽빽하게 패킹된 유사한 크기의 입자들은 측정된 PSD에서 스파이크를 초래하는 거의 주기적인 외관을 생성할 수 있다.Another phenomenon that can cause spikes in PSD would be the presence of grains in a small size range within the material of the feature on the object being measured. Similar sized particles packed tightly together can create a nearly periodic appearance that results in spikes in the measured PSD.

거칠기 측정은 또한 포토마스크 피처들의 촬영된 이미지들에 대해 수행될 수 있으며, 여기서 포토마스크들은 리소그래피 프로세스에서 사용된다. 포토마스크들은 전형적으로 이미지를 구성하기 위해 0이 아닌 어드레스 그리드(non-zero address grid) 및 직사각형 샷들과 같은 제한들을 갖는 직접-기록 리소그래피 툴을 사용하여 제조된다. 포토마스크를 인쇄하는 데 사용되는 툴의 기록 그리드의 방향에 대해 45도로 배향된 라인과 같은 일부 피처의 경우, 그 결과는 포토마스크 피처의 에지를 따라 작고 규칙적으로 이격된 조그(jog)들일 것이다. 이들 조그는 측정된 포토마스크 거칠기의 PSD에서 스파이크(spike)(또는 메인 스파이크와 고조파 스파이크(harmonic spike))를 생성할 것이다.Roughness measurements can also be performed on captured images of photomask features, where photomasks are used in a lithography process. Photomasks are typically manufactured using a direct-write lithography tool with limitations such as a non-zero address grid and rectangular shots to construct the image. For some features, such as lines oriented at 45 degrees to the direction of the recording grid of the tool used to print the photomask, the result will be small, regularly spaced jogs along the edges of the photomask feature. These jogs will generate spikes (or main spikes and harmonic spikes) in the PSD of the measured photomask roughness.

도 26에서 발견되는 것과 같은 스파이크들은 편향된 또는 비편향된 PSD로부터의 거칠기 파라미터들의 측정에 매우 불리할 수 있다. 도 27a는 PSD(0), 상관 길이, 및 거칠기 지수(roughness exponent)와 같은 모델링 파라미터들을 포함하는, 스파이크들을 갖는 PSD가 어떻게 비편향된 PSD에 피팅되는 모델을 변경할 수 있는지를 도시한다. 대조적으로, 도 27b는 PSD(0), 상관 길이, 및 거칠기 지수와 같은 모델링 파라미터들을 포함하는, 스파이크들이 제거된 PSD가 비편향된 PSD에 피팅된 모델에 어떻게 영향을 미칠 수 있는지를 도시한다. Spikes such as those found in Figure 26 can be very detrimental to the measurement of roughness parameters from biased or unbiased PSD. Figure 27A shows how a PSD with spikes, including modeling parameters such as PSD(0), correlation length, and roughness exponent, can change the model fit to an unbiased PSD. In contrast, Figure 27b shows how a PSD with spikes removed can affect a model fitted to an unbiased PSD, including modeling parameters such as PSD(0), correlation length, and roughness exponent.

이들 및 다른 이유들로 인해, 이들 스파이크들의 원인이 측정되는 피처들의 거칠기(roughness)를 야기하는 메커니즘들과는 상이한 메커니즘으로부터 비롯된 것으로 생각될 때 PSD에서 스파이크들을 제거하는 것이 바람직하다. 즉, (전기적 간섭에 의해 야기되는 스파이크들과 같은) 하나의 메커니즘에 의해 야기되는 PSD 아티팩트들을 (거칠기를 야기하는 확률적 영향들과 같은) 다른 메커니즘들에 의해 야기되는 PSD 아티팩트들로부터 분리하는 것이 바람직하다. 이것은 상이한 메커니즘들의 상이한 주파수 시그니처(frequency signature)들을 인식함으로써, 상기에서 설명된 노이즈 제거와 매우 유사하게 행해질 수 있다.For these and other reasons, it is desirable to remove spikes from the PSD when the cause of these spikes is thought to come from a different mechanism than the mechanism that causes the roughness of the features being measured. That is, it is important to separate PSD artifacts caused by one mechanism (such as spikes caused by electrical interference) from PSD artifacts caused by other mechanisms (such as stochastic effects that cause roughness). desirable. This can be done very similarly to noise removal described above, by recognizing the different frequency signatures of different mechanisms.

전술한 바와 같이, 백색 노이즈(또는 핑크 노이즈)은, 노이즈 주파수 시그니처(고주파수에서 편평하거나 거의 편평함)가 실제 거칠기의 주파수 시그니처(고주파수에서 감소하는 멱법칙(power-law))와 매우 다르기 때문에, 실제(비편향된) 거칠기 PSD로부터 분리될 수 있다. 마찬가지로, PSD에서의 이러한 소위 스파이크들은 피처 거칠기 자체의 주파수 시그니처와는 매우 상이한 주파수 시그니처들을 갖는다. 특히, 소위 스파이크는 매우 좁은 주파수 범위에 걸쳐 높은 진폭을 갖는다.As mentioned above, white noise (or pink noise) is very different from the frequency signature of the actual roughness (which is flat or nearly flat at high frequencies) because the frequency signature of the noise (which is flat or nearly flat at high frequencies) is very different from the frequency signature of the actual roughness (which is a decreasing power-law at high frequencies). The (unbiased) roughness can be separated from the PSD. Likewise, these so-called spikes in the PSD have very different frequency signatures than the frequency signature of the feature roughness itself. In particular, so-called spikes have high amplitude over a very narrow frequency range.

이제 스파이크를 검출 및 제거하는 절차가 설명된다. 첫째, "스파이크(spike)"의 정의는 임계값("임계 범위(threshold range)")보다 작은 주파수 범위에 걸쳐 상승 및 하강하고, 임계값("임계 높이(threshold height)")보다 큰 높이를 갖는 주파수 응답인 것으로 확립될 수 있다.The procedure for detecting and removing spikes is now described. First, the definition of a “spike” is that it rises and falls over a frequency range less than a threshold (the “threshold range”) and has a height greater than the threshold (the “threshold height”). It can be established that the frequency response has

다음으로, 기준선(baseline)은 스파이크 없는 PSD의 최상의 추정치인 것으로서 확립될 수 있다. 예를 들어, 스파이크 검출을 위한 임계 범위는 PSD 데이터에서 3개의 주파수 증분으로 설정될 수 있다(전형적으로 일정한 주파수 증분으로 샘플링됨). 다른 임계 범위들이 또한 가능하다. 기준선은 (예를 들어, 선형 또는 로그 스케일 상의 직선을 사용하여, 또는 예상된 PSD 거동에 대한 모델을 사용하여) 임계 범위에 하나의 증분을 더한 만큼 분리된 PSD 값들을 매끄럽게 연결함으로써 결정될 수 있다. 그런 다음, 이 기준선은 이 주파수 범위 내의 비-기준선 PSD 거동의 추정치에 도달하기 위해 이 임계 범위 내의 실제 PSD 데이터로부터 감산된다. 비-기준선 PSD 거동이 (절대 항들 또는 기준선 PSD 값의 배수로서 표현되는) 임계 높이보다 큰 값으로 상승하면, 스파이크가 식별되었다. 스파이크를 제거하기 위해, 계산된 기준선 거동은 임계 범위 내의 실제 PSD 값들을 대체하는데 사용될 수 있다. 스파이크에 대한 탐색은 원하는 경우 전체 PSD 주파수 범위를 커버할 수 있다.Next, a baseline can be established as being the best estimate of the spike-free PSD. For example, the threshold range for spike detection can be set to three frequency increments in PSD data (typically sampled at constant frequency increments). Other critical ranges are also possible. The baseline can be determined by seamlessly connecting the separated PSD values by the critical range plus one increment (e.g., using a straight line on a linear or logarithmic scale, or using a model for expected PSD behavior). This baseline is then subtracted from the actual PSD data within this threshold range to arrive at an estimate of the non-baseline PSD behavior within this frequency range. A spike was identified if the non-baseline PSD behavior rose to a value greater than the threshold height (expressed in absolute terms or as a multiple of the baseline PSD value). To remove spikes, the calculated baseline behavior can be used to replace the actual PSD values within the threshold range. Searching for spikes can cover the entire PSD frequency range if desired.

임계 범위는 특정 유형의 메커니즘으로 인해 발생하는 스파이크만을 검출(및 가능하게는 제거)하는 방식으로 선택될 수 있다. 예를 들어, 정확히 단일 주파수에서의 간섭은 (스파이크가 PSD의 샘플링된 주파수들과 정확히 일치하는 주파수에 있지 않을 것이기 때문에) 2개 주파수 증분들까지 넓은 PSD에서의 스파이크를 야기할 가능성이 가장 클 것이다. 넓은 2 내지 3 개의 주파수 증분들의 임계 범위는 이러한 "단일 주파수(single frequency)" 간섭 이벤트들을 검출하는데 효과적일 것이다. 더 넓은 임계 범위는 다른 더 넓은 대역의 간섭 이벤트들을 검출할 것이다.The threshold range can be selected in such a way that it detects (and possibly eliminates) only spikes that result from a particular type of mechanism. For example, interference at exactly a single frequency will most likely cause a spike in a PSD that spans up to two frequency increments (since the spike will not be at a frequency that exactly matches the sampled frequencies of the PSD). . A wide threshold range of 2 to 3 frequency increments will be effective in detecting these “single frequency” interference events. A wider threshold range will detect other wider bands of interference events.

임계 높이는 또한 검출되기를 원하는 메커니즘들에 기초하여 조절될 수 있다. 그러나, 최소 임계 높이는 또한 PSD의 전체 노이즈의 함수이다. PSD는 정의에 의해 무작위 거친 샘플에서 무작위성(randomness)을 측정하기 때문에, PSD 측정은 본질적으로 노이즈가 존재한다. 단일 측정된 피처의 PSD는 100% (1-시그마)의 통계적 불확도를 갖는 것으로 잘 알려져 있다. 즉, 임의의 주어진 주파수에서 임의의 주어진 PSD 값의 통계적 불확도는 단일 피처의 측정에 대해 100%이다. 그러한 이유로, 많은 피처들이 전형적으로 함께 측정되고 평균화되어, PSD에서의 불확도가 측정되는 피처들의 수의 제곱근에 대해 1만큼 감소될 수 있다.The threshold height can also be adjusted based on the mechanisms desired to be detected. However, the minimum threshold height is also a function of the overall noise in the PSD. Because PSD, by definition, measures randomness in random samples, PSD measurements are inherently noisy. It is well known that the PSD of a single measured feature has a statistical uncertainty of 100% (1-sigma). That is, the statistical uncertainty of any given PSD value at any given frequency is 100% for the measurement of a single feature. For that reason, many features are typically measured together and averaged, so that the uncertainty in the PSD can be reduced by 1 over the square root of the number of features being measured.

그러나, 측정되고 평균화된 임의의 주어진 수의 피처들에 대해, PSD는 샘플 크기에 고유한 통계적 불확도를 가질 것이다. 스파이크 검출을 위한 임계 높이는 PSD의 고유 노이즈 레벨보다 상당히 더 높도록 선택되어야 한다. 그렇지 않으면, 스파이크들의 검출은 물리적 스파이크들에 의해서가 아니라 PSD 데이터에서의 노이즈에 의해 빈번하게 트리거될 것이다. 대안적으로, 임계 높이는 측정되거나 계산된 PSD 노이즈의 배수(예를 들어, 5X)이도록 선택될 수 있다.However, for any given number of features measured and averaged, the PSD will have statistical uncertainty inherent to the sample size. The threshold height for spike detection should be chosen to be significantly higher than the inherent noise level of the PSD. Otherwise, detection of spikes will frequently be triggered by noise in the PSD data and not by physical spikes. Alternatively, the threshold height may be selected to be a multiple of the measured or calculated PSD noise (e.g., 5X).

도 25a는 수 개의 고주파 스파이크들(스파이크 아티팩트들(2507))을 나타내는 수 개의 PSD들(선폭 거칠기(LWR PSD(2502)), 라인 에지 거칠기(LER PSD(2504)), 및 패턴 배치 거칠기(PPR PSD(2506))을 도시한다. 도 25b는 이전 단락들에서 약술된 절차를 사용하여 스파이크들이 제거된 동일한 PSD들(예를 들어, LWR PSD(2508)로서 LWR PSD(2502), LER PSD(2510)로서 LER PSD(2504), 및 PPR PSD(2512)로서 PPR PSD(2506))을 도시한다. 이러한 제거를 위해, 임계 범위는 3개의 주파수 증분으로 설정되었고, 임계 높이는 기준선 PSD 값의 3배로 설정되었다. 스파이크의 효과적인 제거는 이러한 설정을 사용하여 달성되었다.25A shows several PSDs (linewidth roughness (LWR PSD 2502), line edge roughness (LER PSD 2504), and pattern placement roughness (PPR) showing several high frequency spikes (spike artifacts 2507). PSD 2506. Figure 25B shows the same PSDs (e.g., LWR PSD 2502 as LWR PSD 2508, LER PSD 2510) from which spikes were removed using the procedure outlined in the previous paragraphs. ) as LER PSD 2504, and PPR PSD 2506 as PPR PSD 2512. For this removal, the threshold range was set to three frequency increments, and the threshold height was set to three times the baseline PSD value. Effective removal of spikes was achieved using these settings.

도 27a 및 27b는 스파이크 제거의 또 다른 경우를 보여주는데, 이번에는 중간 주파수 스파이크에 대한 것이다. 도 27a의 좌측 그래프는 스파이크 제거 전의 PSD(편향된 및 비편향된)를 도시한다. 스파이크의 존재는 PSD의 모델링 및 PSD 측정값의 추출에 유해한 영향을 미친다. 우측 그래프인 도 27b는 이전 단락에 약술된 절차를 사용하여 스파이크가 제거된 동일한 PSD를 나타낸다. 이러한 제거를 위해, 임계 범위는 3개의 주파수 증분으로 설정되었고, 임계 높이는 기준선 PSD 값의 3배로 설정되었다. 스파이크의 효과적인 제거는 이러한 설정을 사용하여 달성되었다. 결과적인 PSD 모델링 및 PSD 측정은 스파이크들을 발생시킨 메커니즘을 배제한 피처 거칠기 PSD 거동을 더욱 정확하게 반영한다.Figures 27a and 27b show another case of spike rejection, this time for mid-frequency spikes. The left graph in Figure 27A shows the PSD (biased and unbiased) before spike removal. The presence of spikes has a deleterious effect on the modeling of PSD and the extraction of PSD measurements. The graph on the right, Figure 27b, shows the same PSD with spikes removed using the procedure outlined in the previous paragraph. For this removal, the threshold range was set to three frequency increments and the threshold height was set to three times the baseline PSD value. Effective removal of spikes was achieved using these settings. The resulting PSD modeling and PSD measurements more accurately reflect feature roughness PSD behavior excluding the mechanism that generated the spikes.

스파이크들을 제거하는 대안 절차가 이제 설명될 것이다. 스파이크들은 PSD를 저역 통과 필터를 통과시킴으로써 PSD로부터 제거될 수 있다. 잘 알려진 기술을 사용하여, PSD는 푸리에 변환되고, 저역 통과 주파수 필터에 증배된 후, 역 푸리에 변환될 수 있다. 저역 통과 필터의 차단 주파수는 설정된 한계치보다 더 좁은 스파이크들을 필터링하기만 하도록 설정될 수 있다. 당해 분야에 공지된 저역 통과 필터링에 대한 다른 접근법들이 또한 적용될 수 있다.An alternative procedure for removing spikes will now be described. Spikes can be removed from the PSD by passing the PSD through a low-pass filter. Using well-known techniques, the PSD can be Fourier transformed, multiplied by a low-pass frequency filter, and then inverse Fourier transformed. The cutoff frequency of the low-pass filter can be set to only filter out spikes narrower than a set threshold. Other approaches to low-pass filtering known in the art may also be applied.

보다 느리게 변화하는 실제 거칠기(true roughness) PSD와 비교하여 스파이크의 상이한 주파수 특성에 기초하여 스파이크를 검출 및 제거하기 위한 다른 방법이 당업자에게 공지될 것이다.Other methods for detecting and removing spikes will be known to those skilled in the art based on the different frequency characteristics of the spikes compared to the more slowly changing true roughness PSD.

도 7을 참조하면, 정보 처리 시스템(IHS(750))은 본 명세서에 설명된 예시적인 방법 중 하나를 사용하여 스파이크의 검출 및/또는 제거를 포함하도록 수정될 수 있다. 그 중심 주파수, 진폭, 면적, 및/또는 폭과 같은 각각의 검출된 스파이크에 관한 정보가 레코딩되고 출력 디바이스(770)에 출력될 수 있다. 이 정보는 스파이크 형성의 근본 원인을 식별하는 데 유용할 수 있고, 따라서 그러한 근본 원인 메커니즘을 감소시키거나 제거하는 프로세스를 도울 수 있다.7, an information processing system (IHS 750) may be modified to include detection and/or removal of spikes using one of the example methods described herein. Information about each detected spike, such as its center frequency, amplitude, area, and/or width, may be recorded and output to output device 770. This information can be useful in identifying the root cause of spike formation and thus aid in the process of reducing or eliminating that root cause mechanism.

11. PSD 범프의 검출 및 측정11. Detection and measurement of PSD bumps

다른 현상은 PSD에서 "범프(bump)"로서 나타나는 PSD 거동을 야기할 수 있고, 이는 달리 도 3에 도시된 전형적인 형상을 갖는다. 이러한 범프는 일반적으로 상대적으로 낮은 주파수에서 발생한다. 이러한 범프는 스파이크의 좁은 주파수 한정(narrow frequency confines)과 대조적으로, 상대적으로 넓은 범위의 주파수를 커버함으로써 스파이크와 구별된다. 도 28a 및 28b는 범프 유형 I 및 범프 유형 II로서 라벨링된, PSD에서의 이러한 소위 범프 거동의 2개의 예들을 도시한다.Other phenomena can cause PSD behavior to appear as “bumps” in the PSD, which otherwise have the typical shape shown in Figure 3. These bumps typically occur at relatively low frequencies. These bumps are distinguished from spikes by covering a relatively wide range of frequencies, in contrast to the narrow frequency limits of spikes. Figures 28a and 28b show two examples of this so-called bump behavior in PSD, labeled as bump type I and bump type II.

범프 유형 I(도 28a)는 PSD(0)에 의해 특징지어지는 편평한 저주파 영역으로 일반적으로 간주되는 것 이상의 저주파 PSD 거동에서 큰 상승이다. 포토리소그래피 단계 동안 웨이퍼로 전사되는 포토마스크 거칠기(photomask roughness)의 존재와 같은 몇몇 메커니즘들이 이러한 범프를 발생시킬 수 있다. 측정되는 이미지들을 캡처하기 위해 사용되는 이미징 툴에서의 보상되지 않은 필드 왜곡들은 또한 이러한 종류의 범프를 야기할 수 있다. 다른 메커니즘들도 가능하다.Bump type I (Figure 28a) is a large rise in low frequency PSD behavior beyond what is generally considered to be a flat low frequency region characterized by PSD(0). Several mechanisms can cause these bumps, such as the presence of photomask roughness transferred to the wafer during the photolithography step. Uncompensated field distortions in the imaging tool used to capture the images being measured can also cause this type of bump. Other mechanisms are also possible.

범프 유형 II(도 28b)는 범프보다 높고 낮은 주파수에서의 PSD 거동이 예상 거동을 따르도록(예를 들어, 도 3에서 볼 수 있는 바와 같이) 저-중(low-to-mid) 주파수에서 발생한다. 이러한 유형의 PSD 범프가 라인 에지 거칠기 PSD에서 발견되지만 선폭 거칠기 PSD에서는 발견되지 않을 때, 그 영향은 때때로 이미지의 피처에서 구불 구불한 것으로서 눈에 띄기 때문에 "구불 구불함(wiggle)"으로 지칭된다. 그러한 구불 구불함은 예를 들어, 피처를 제조하는 데 사용되는 필름에서의 응력 또는 장력으로부터 야기될 수 있다. 피처를 형성하기 위한 필름의 포토리소그래피 및 서브트랙티브 에칭(subtractive etching)은 응력을 완화하고, 구불 구불한 것이 완화된 나머지 필름을 허용할 수 있다. 구불 구불함(wiggle)을 야기하는 다른 메커니즘들이 또한 가능하다.Bump type II (Figure 28b) occurs at low-to-mid frequencies such that the PSD behavior at frequencies above and below the bump follows the expected behavior (e.g., as seen in Figure 3). do. When this type of PSD bump is found in the line edge roughness PSD but not in the linewidth roughness PSD, the effect is sometimes referred to as "wiggle" because it is noticeable as a wiggle in the features of the image. Such waviness may result, for example, from stress or tension in the film used to fabricate the feature. Photolithography and subtractive etching of the film to form features can relieve stress and allow the remaining film to be relieved of tortuosity. Other mechanisms causing wiggle are also possible.

백색 노이즈 및 스파이크들과 같이, PSD에서의 범프들은 PSD의 나머지를 발생시키는 확률적 메커니즘으로부터 분리된 메커니즘을 통해 발생하는 것으로 생각된다. 따라서, PSD의 나머지로부터 범프의 영향들을 분리하는 것이 바람직하다. 범프 검출 및 제거를 위해 스파이크 검출 및 제거와 유사한 절차를 사용할 수 있다. 그러나, 이러한 접근법은 큰 주파수 범위에 걸쳐 기준선 PSD 거동을 정의하는 어려움으로 인해 범프의 폭이 클 때 문제가 된다. 범프의 주파수 범위가 넓다는 것은 범프와 스파이크를 구별할 수 있다는 것을 의미하지만, 범프를 검출하고 측정하기 위한 상이한 절차가 필요할 가능성이 있다는 것을 의미한다.Like white noise and spikes, bumps in the PSD are thought to occur through mechanisms that are separate from the stochastic mechanisms that generate the rest of the PSD. Therefore, it is desirable to separate the effects of the bump from the rest of the PSD. Similar procedures to spike detection and removal can be used for bump detection and removal. However, this approach becomes problematic when the width of the bump is large due to the difficulty of defining the baseline PSD behavior over a large frequency range. The wide frequency range of bumps means that bumps and spikes can be distinguished, but it also means that different procedures are likely needed to detect and measure the bumps.

범프 검출, 측정 및 제거의 별개의 기술은 범프에 대한 모델의 사용을 포함한다. 백색 노이즈 및 핑크 노이즈과 같이, 범프 모델은 피처 거칠기의 전형적인 PSD에 직접 추가된다. 따라서, 범프 모델은 범프 거동을 포함하지 않는 전형적인 PSD 모델과 동시에 PSD에 피팅될 수 있다.A separate technique for bump detection, measurement and removal involves the use of a model for the bump. Like white noise and pink noise, the bump model is added directly to the typical PSD of feature roughness. Therefore, the bump model can be fit to the PSD simultaneously with a typical PSD model that does not include bump behavior.

범프 모델에 대한 유용한 형태는 아래의 수학식 10에 주어진다:A useful form for the bump model is given in equation 10 below:

(10) (10)

여기서, A는 범프의 진폭이고, fc는 범프의 중심 주파수이고, σw는 범프의 폭이다. 유형 I 범프(도 28a)의 경우, 중심 주파수는 0일 수 있다. 다른 모델들이 또한 사용될 수 있다. 범프의 면적 및 중심 주파수와 같은 모델의 대안적인 파라미터화가 또한 사용될 수 있다.Here, A is the amplitude of the bump, f c is the center frequency of the bump, and σ w is the width of the bump. For a Type I bump (Figure 28a), the center frequency may be zero. Other models may also be used. Alternative parameterizations of the model, such as area and center frequency of the bump, may also be used.

예를 들어, 최적 피팅 모델(best fit model)로부터 결정된, 기준선 PSD 위의 범프의 면적은 범프를 발생시킨 현상의 크기의 유용한 측정치이다. 예를 들어, 구불 구불함(범프 유형 II 예, 도 28b)의 경우, 면적은 확률적 거칠기에 의해 야기되는 분산에 더해진 구불 구불함의 분산을 나타낸다. 즉, 범프 검출 및 측정을 위한 이러한 접근법은 피처의 총 분산이 구불 구불함 분산(wiggle variance) 더하기 확률적 거칠기 분산(stochastic roughness variance)으로 분리되게 한다.For example, the area of the bump above the baseline PSD, as determined from a best fit model, is a useful measure of the magnitude of the phenomenon that gave rise to the bump. For example, for serpentine (bump type II example, Figure 28b), the area represents the variance of the serpentine added to the variance caused by stochastic roughness. That is, this approach for bump detection and measurement allows the total variance of the features to be separated into wiggle variance plus stochastic roughness variance.

도 7을 참조하면, IHS(750)는 본 명세서에 설명된 예시적인 방법들 중 하나를 사용하여 범프들의 검출 및/또는 제거를 포함하도록 수정될 수 있다. 중심 주파수, 진폭, 면적, 및/또는 폭과 같은 각각의 검출된 범프에 관한 정보가 레코딩되고 출력 디바이스(770)에 출력될 수 있다. 이 정보는 범프 형성의 근본 원인을 식별하는 데 유용할 수 있고, 따라서 그러한 근본 원인 메커니즘을 감소시키거나 제거하는 프로세스를 도울 수 있다. 총 PSD로부터 범프 거동을 감산함으로써, 나머지 PSD는 (예를 들어, PSD(0), 상관 길이, 및 거칠기 지수(roughness exponent)와 같은 파라미터들을 사용하여) 특성화될 수 있어서, 이 나머지 PSD는 범프 메커니즘을 제외한 PSD를 발생시키는 메커니즘을 더 정확하게 반영한다.Referring to Figure 7, IHS 750 may be modified to include detection and/or removal of bumps using one of the example methods described herein. Information about each detected bump, such as center frequency, amplitude, area, and/or width, may be recorded and output to output device 770. This information can be useful in identifying the root cause of bump formation and thus aid in the process of reducing or eliminating that root cause mechanism. By subtracting the bump behavior from the total PSD, the remaining PSD can be characterized (e.g., using parameters such as PSD(0), correlation length, and roughness exponent) such that this remaining PSD is the bump mechanism. It more accurately reflects the mechanisms that generate PSD, except for .

이제 도 30을 참조하면, PSD 데이터세트에서 원하지 않는 스파이크들을 검출하고 PSD 데이터세트에서 스파이크들을 제거하기 위한 예시적인 방법(3000)이 예시된다. 방법(3000)은 시작하고(블록 3002), 이미징 디바이스를 사용하여, 하나 이상의 이미지들의 세트를 생성하고, 세트의 각각의 이미지는 각개의 패턴 구조 내의 피처의 인스턴스를 포함하고, 각각의 이미지는 노이즈를 포함하는 패턴 구조에 대응하는 측정된 라인 스캔 정보를 포함한다(블록 3004). 다음으로, 방법은 이미지들을 필터링하지 않고 세트의 각각의 이미지의 패턴 구조 내의 피처들의 에지들을 검출하도록 진행하고(블록 3006), 이미지들의 세트의 에지 검출 측정들에 대응하는 피처 기하학적 정보를 나타내는 파워 스펙트럼 밀도(PSD) 데이터세트를 생성한다(블록 3008). 원하는 경우, 비편향된 PSD 데이터 세트는 SEM 노이즈를 감산함으로써 편향된 PSD 데이터 세트로부터 생성될 수 있다. 다음으로, 방법은 임계 범위 및 임계 높이를 정의하고(블록 3010), PSD 데이터세트의 부분의 제1 PSD 값을 제2 PSD 값에 매끄럽게 연결함으로써 PSD 데이터세트의 부분에 대한 기준선(baseline)을 생성하고, 여기서, 제1 PSD 값 및 제2 PSD 값은 임계 범위만큼 분리되고(블록 3012), PSD 데이터세트의 부분의 제3 PSD 값과 기준선 사이의 차이가 임계 높이보다 더 크다고 결정하고(블록 3014), PSD 데이터세트의 부분을 PSD 데이터세트의 부분에 대한 기준선으로 대체한다(블록 3016). 그 후, 방법은 종료한다(블록 3018).Referring now to FIG. 30, an example method 3000 for detecting unwanted spikes in and removing spikes from a PSD dataset is illustrated. Method 3000 begins (block 3002), using an imaging device to generate a set of one or more images, each image of the set comprising an instance of a feature within a respective pattern structure, and each image containing noise Includes measured line scan information corresponding to a pattern structure comprising (block 3004). Next, the method proceeds to detect edges of features within the pattern structure of each image of the set without filtering the images (block 3006), and generates a power spectrum representing feature geometric information corresponding to the edge detection measurements of the set of images. Create a density (PSD) dataset (block 3008). If desired, an unbiased PSD data set can be generated from a biased PSD data set by subtracting the SEM noise. Next, the method defines a threshold range and a threshold height (block 3010) and creates a baseline for the portion of the PSD dataset by seamlessly connecting the first PSD value of the portion to the second PSD value. and wherein the first PSD value and the second PSD value are separated by a threshold range (block 3012) and determine that the difference between the third PSD value of the portion of the PSD dataset and the baseline is greater than the threshold height (block 3014 ), replace the portion of the PSD dataset with the baseline for the portion of the PSD dataset (block 3016). The method then ends (block 3018).

이제 도 31을 참조하면, PSD 데이터세트에서 범프들을 모델링하기 위한 예시적인 방법(3100)이 예시된다. 방법(3100)은 시작하고(블록 3102), 이미징 디바이스를 사용하여, 하나 이상의 이미지들의 세트를 생성하고, 세트의 각각의 이미지는 개개의 패턴 구조 내의 피처의 인스턴스를 포함하고, 각각의 이미지는 노이즈를 포함하는 패턴 구조에 대응하는 측정된 라인 스캔 정보를 포함한다(블록 3104). 다음으로, 방법은 이미지들을 필터링하지 않고 세트의 각각의 이미지의 패턴 구조 내의 피처들의 에지들을 검출하도록 진행하고(블록 3106), 이미지들의 세트의 에지 검출 측정치들에 대응하는 피처 기하학적 구조 정보를 나타내는 편향된 파워 스펙트럼 밀도(PSD) 데이터세트를 생성한다(블록 3108). 원하는 경우, SEM 노이즈를 감산함으로써 편향된 PSD 데이터 세트로부터 비편향된 PSD 데이터 세트가 생성될 수 있다. 범프 모델(bump model)을 생성하기 위해 제1 범프가 PSD 데이터세트에서 평가되고(블록 3110); 최상의 피팅 모델(best fit model)을 생성하기 위해 전형적인 PSD 모델 및 범프 모델을 PSD 데이터세트에 피팅한다(블록 3112). 그 후, 방법은 종료된다(블록 3114).Referring now to Figure 31, an example method 3100 for modeling bumps in a PSD dataset is illustrated. Method 3100 begins (block 3102), using an imaging device to generate a set of one or more images, each image of the set comprising an instance of a feature within a respective pattern structure, and each image containing noise Includes measured line scan information corresponding to a pattern structure comprising (block 3104). Next, the method proceeds to detect the edges of features within the pattern structure of each image of the set without filtering the images (block 3106) and generates a biased graph representing feature geometry information corresponding to the edge detection measurements of the set of images. Generate a power spectral density (PSD) dataset (block 3108). If desired, an unbiased PSD data set can be generated from the biased PSD data set by subtracting the SEM noise. A first bump is evaluated in the PSD dataset to create a bump model (block 3110); Fit a typical PSD model and a bump model to the PSD dataset to generate a best fit model (block 3112). The method then ends (block 3114).

도 30 및 도 31의 흐름도는, SEM(701)에 의해 수행될 수 있는 특정 단계들 및 IHS(750) 및 그 포함된 프로세서(755) 및 스토리지(760) - 둘 모두는 본 명세서에 상세히 설명됨 - 에 의해 수행될 수 있는 특정 다른 단계들을 포함하는, 도 7에 도시된 에지 검출 시스템(700)을 사용하여 수행될 수 있는 단계들을 포함한다. 명령어들은, 프로세서에 의해 실행될 때, 프로세서로 하여금, 본 명세서에 설명된 역 라인 스캔 모델 계측 툴(765)을 구현하는 스토리지(760)에 저장된 다른 명령어들과 유사한 방식으로, 본 명세서에 개시되고, 도 30 및 도 31의 흐름도들에 의해 설명된 방법들을 수행하게 하는 스토리지(760)에 저장될 수 있다.The flow diagrams of FIGS. 30 and 31 illustrate specific steps that may be performed by SEM 701 and IHS 750 and its included processor 755 and storage 760, both of which are described in detail herein. - steps that may be performed using the edge detection system 700 shown in FIG. 7, including certain other steps that may be performed by. The instructions, when executed by a processor, cause the processor to: It may be stored in storage 760 to perform the methods described by the flowcharts of FIGS. 30 and 31.

12. 픽셀 크기 및 배율의 영향12. Impact of pixel size and scale

SEM(701)에 의해 채용되는 픽셀 크기 및 배율에 관하여, 도면들 22a 및 22b는 백색 노이즈 모델을 가정하여, 상이한 배율들 및 픽셀 크기들에 대한 16 nm 라인들 및 공간들의 패턴에 대한 편향된 및 비편향된 파워 스펙트럼 밀도들(PSD들)을 개별적으로 도시한다. 주어진 수의 통합 프레임에 대해, 픽셀 크기를 변화시키는 것은 단위 웨이퍼 면적당 전자 선량 및 SEM 이미지에서의 노이즈를 변화시킨다. 이러한 범위의 조건들 하에서, 편향된 LWR은 0.63 nm(14%)만큼 변화한 반면, 비편향된 LWR은 단지 0.07 nm(2%)만큼 변화했다. 비편향된 LWR은 본질적으로 이러한 계측 툴 설정들에 의해 영향을 받지 않는다. LER 및 PPR의 측정에 대해서도 유사한 결과가 획득되었다.With respect to the pixel size and magnification employed by the SEM 701, Figures 22a and 22b show the bias and ratio for a pattern of 16 nm lines and spaces for different magnifications and pixel sizes, assuming a white noise model. The biased power spectral densities (PSDs) are shown individually. For a given number of integrated frames, varying the pixel size changes the electron dose per unit wafer area and the noise in the SEM image. Under this range of conditions, the biased LWR changed by 0.63 nm (14%), while the unbiased LWR changed by only 0.07 nm (2%). Unbiased LWR is essentially unaffected by these measurement tool settings. Similar results were obtained for measurements of LER and PPR.

도 22a 및 22b는 픽셀 크기 및 배율의 함수로서 파워 스펙트럼 밀도를 도시한다. 보다 구체적으로, 도 22a는 편향된 LWR PSD를 도시하고, 도 22b는 노이즈가 측정되고 감산된 후의 비편향된 LWR PSD를 도시한다. 이들 결과에 대한 SEM 조건은 500 eV의 랜딩 에너지, 조건당 3개의 이미지, 및 16 nm 레지스트 라인 및 공간을 사용하였다.Figures 22A and 22B show power spectral density as a function of pixel size and magnification. More specifically, Figure 22A shows the biased LWR PSD and Figure 22B shows the unbiased LWR PSD after noise is measured and subtracted. SEM conditions for these results used a landing energy of 500 eV, three images per condition, and 16 nm resist lines and spaces.

아래의 표 3은 도 22a 및 22b에 도시된 PSD들에 대한 측정된 PSD 파라미터들을 도시한다. Table 3 below shows the measured PSD parameters for the PSDs shown in FIGS. 22A and 22B.

표 3Table 3

픽셀 크기 및 배율의 함수로서 편향된 및 비편향된 3σ LWR (nm) 측정치.Biased and unbiased 3σ LWR (nm) measurements as a function of pixel size and magnification.

편향된 LWR과 비편향된 LWR 사이의 차이는 일정하지 않지만, 계측 툴 설정들, 피처 크기, 및 프로세스에 따라 달라진다는 것이 발견되었다. 마찬가지로, 편향된 LWR와 비편향된 LWR 사이의 비율은 계측 툴 설정, 피처 크기, 및 프로세스에 따라 변한다. 아래의 표 4는 다양한 조건들에 대한 편향 대 비편향된 LWR의 차이 및 비율을 보여준다. 이러한 조건들에 대해, 편향 대 비편향된 LWR의 비율은 1.09에서 1.66까지 변한다. 편향된 LWR과 비편향된 LWR 사이의 차이는 이 특정 예에서 0.32 nm 내지 2.19 nm로 변한다.It was found that the difference between biased and unbiased LWR is not constant, but varies depending on metrology tool settings, feature size, and process. Likewise, the ratio between biased and unbiased LWR varies depending on metrology tool settings, feature size, and process. Table 4 below shows the difference and ratio of biased to unbiased LWR for various conditions. For these conditions, the ratio of biased to unbiased LWR varies from 1.09 to 1.66. The difference between biased and unbiased LWR varies from 0.32 nm to 2.19 nm in this particular example.

표 4Table 4

다양한 프로세스들에 대한 편향된 LWR과 비편향된 LWR 사이의 관계.Relationship between biased and unbiased LWR for various processes.

13. 에지 검출 구현13. Implementing edge detection

도 23은 개시된 SEM 에지 검출 시스템이 패턴 구조의 에지들을 검출하기 위해 채용하는 대표적인 전체 프로세스 흐름을 도시하는 흐름도이다. 논의 목적들을 위해, 도 23의 흐름도에서 설명된 프로세스는 도 24a의 샘플(2400)에 적용된다. 샘플(2400)은 패턴 구조(2400)로도 지칭될 수 있는 패턴 구조이다. 도 23의 흐름도는 패턴 구조의 에지들을 결정하기 위해 역 라인 스캔 모델 계측 툴(765)에 의해 수행되는 단계들을 포함한다.FIG. 23 is a flow chart illustrating a representative overall process flow employed by the disclosed SEM edge detection system to detect edges of a pattern structure. For discussion purposes, the process described in the flow chart of Figure 23 is applied to sample 2400 of Figure 24A. Sample 2400 is a pattern structure, which may also be referred to as pattern structure 2400. The flow diagram of FIG. 23 includes steps performed by the inverse line scan model metrology tool 765 to determine the edges of the pattern structure.

프로세스 흐름은 도 23의 시작 블록(2300)에서 시작된다. 도 7에 도시된 바와 같이, IHS(750)는 SEM(701)에 결합되어 SEM(701)으로부터 SEM 라인 스캔 이미지 정보를 수신한다. IHS(750)는 프로세서(755) 및 이에 결합된 스토리지(760)를 포함한다. 스토리지(760)는 애플리케이션들 및 다른 정보를 영구적으로 저장하는 하드 드라이브들, 솔리드 스테이트 저장 디바이스들(SSD들) 등과 같은 휘발성 시스템 메모리 및 비휘발성 영구 메모리를 포함할 수 있다. 스토리지(760)는 본 명세서에 개시되고 도 23의 흐름도에 의해 설명되는 ILM(inverse linescan model) 계측 툴(765)을 저장한다. SEM(701)은 IHS(750)가 패턴 구조(800) 상에서 이미지 획득을 수행하도록 지시하고 SEM(701)으로부터 IHS(750)로 라인 스캔 정보를 제공하는 제어기(도시되지 않음)를 포함한다.The process flow begins at start block 2300 in Figure 23. As shown in Figure 7, IHS 750 is coupled to SEM 701 and receives SEM line scan image information from SEM 701. IHS 750 includes a processor 755 and storage 760 coupled thereto. Storage 760 may include volatile system memory and non-volatile persistent memory, such as hard drives, solid state storage devices (SSDs), etc., that permanently store applications and other information. Storage 760 stores an inverse linescan model (ILM) metrology tool 765 disclosed herein and illustrated by the flow diagram in FIG. 23. SEM 701 includes a controller (not shown) that directs IHS 750 to perform image acquisition on pattern structure 800 and provides line scan information from SEM 701 to IHS 750.

블록(2305)에 따라, SEM(701)은 패턴 구조(800)의 SEM 이미지를 IHS(750)에 발송하고, 이에 응답하여, IHS(750)는 이 SEM 이미지를 스토리지(760) 내의 시스템 메모리에 로딩한다. IHS(750)는 블록(2310)에 따라 SEM(701)으로부터의 패턴 구조 이미지를 전처리한다. 예를 들어, 로딩된 SEM 이미지의 이러한 전처리는 그레이스케일 값을 조정하고, 강도 레벨의 배경 틸트(background tilt)를 감산하는 것을 포함할 수 있다. 옵션으로, 블록(2315)에 따라, IHS(750)는 로딩된 이미지의 필터링을 수행할 수 있지만, 이는 일반적으로 바람직하지 않다.According to block 2305, SEM 701 sends an SEM image of pattern structure 800 to IHS 750, and in response, IHS 750 stores the SEM image in system memory in storage 760. Loading. IHS 750 preprocesses the pattern structure image from SEM 701 according to block 2310. For example, such preprocessing of loaded SEM images may include adjusting grayscale values and subtracting background tilt of intensity levels. Optionally, according to block 2315, IHS 750 may perform filtering of the loaded image, although this is generally undesirable.

도 24a의 패턴 구조(2400)에서 보이는 수직 라인 및 공간과 같은 패턴 구조의 경우, 역 라인 스캔 모델 계측 툴(765)은 블록(2320)에 따라 평균 라인 스캔을 생성하기 위해 대칭 축에 대해 수직으로 평균화한다. 평균 라인 스캔은 수평 위치의 함수로서의 그레이스케일 값일 수 있고 모든 수직 픽셀들은 함께 평균화된다. 이는 SEM 이미지에 포함된 SEM 노이즈의 대부분을 평균화하여 노이즈 없는 라인 스캔을 생성하는 물리적 프로세스를 더 잘 대표하는 라인 스캔을 생성한다. 도 24b는 하나의 Y-픽셀 위치에서의 단일 라인 스캔을 도시한다. 도 24c는 모든 Y-픽셀들에 걸쳐 평균화함으로써 생성되는 평균화된 라인 스캔을 도시한다.For pattern structures, such as the vertical lines and spaces seen in pattern structure 2400 of FIG. 24A, inverse line scan model metrology tool 765 perpendicularly about the axis of symmetry to generate an average line scan according to block 2320. average out The average line scan can be a grayscale value as a function of horizontal position and all vertical pixels are averaged together. This produces a line scan that is more representative of the physical process by averaging out most of the SEM noise contained in the SEM image, producing a noise-free line scan. Figure 24b shows a single line scan at one Y-pixel location. Figure 24C shows an averaged line scan produced by averaging across all Y-pixels.

여기에 도시된 예는 수직 라인 및 공간에 대한 것이지만, 대칭 축을 갖는 임의의 패턴은 평균 라인 스캔을 생성하도록 그렇게 프로세싱될 수 있다. 예를 들어, 긴 라인들, 긴 공간들, 또는 긴 격리된 에지들은 라인의 길이가 적절한 평균화를 허용하기에 충분할 때마다 그렇게 프로세싱될 수 있다. 원형 또는 타원형 대칭을 갖는 컨택 홀 또는 필라는 또한 평균 라인 스캔을 생성하기 위해 반경 방향으로 평균화될 수 있다.The example shown here is for vertical lines and spaces, but any pattern with an axis of symmetry can be so processed to produce an average line scan. For example, long lines, long spaces, or long isolated edges may be so processed whenever the length of the line is sufficient to allow proper averaging. Contact holes or pillars with circular or elliptical symmetry can also be radially averaged to produce an average line scan.

블록(2325)에 따라, 툴(765)은 전술된 방식으로 획득된 평균 라인 스캔에 대해 역 라인 스캔 모델을 캘리브레이션한다. 라인 스캔 모델은 2가지 종류의 파라미터, 즉 1) SEM의 속성 및 재료에 의존하는 파라미터, 및 2) 샘플 상의 피처의 기하학적 구조에 의존하는 파라미터를 포함한다는 것에 유의한다. 툴(765)은 이러한 파라미터들 모두를 캘리브레이션할 수 있다. 툴(765)은 블록(2325)에 따라, 도 24c의 평균 라인 스캔에 대한 모델의 최상의 피팅을 발견한다. 그런 다음, 모델의 최상의 피팅 파라미터들의 값들은 캘리브레이션된 값들이다.Following block 2325, tool 765 calibrates the inverse line scan model against the average line scan obtained in the manner described above. Note that the line scan model includes two kinds of parameters: 1) parameters that depend on the properties and materials of the SEM, and 2) parameters that depend on the geometry of features on the sample. Tool 765 can calibrate all of these parameters. Tool 765 follows block 2325 to find the best fit of the model to the average line scan of FIG. 24C. Then, the values of the model's best fitting parameters are the calibrated values.

그 캘리브레이션된 모델은 도 24b에 도시된 단일 라인 스캔에 적용된다. 도 24b의 단일 라인 스캔에 대한 모델의 최상의 피팅이 발견되지만, 이 경우, 역 라인 스캔 모델 계측 툴(765)은 재료들 및 SEM 이미징 툴에 관련된 파라미터들 모두를 고정한다. 이 시나리오에서, 툴(765)은 단일 라인 스캔에 대한 캘리브레이션된 모델의 최상의 피팅을 찾기 위해 패턴 구조의 피처의 기하학적 구조와 관련된 파라미터들만을 변경한다.The calibrated model is applied to the single line scan shown in Figure 24b. The best fit of the model is found for the single line scan of Figure 24b, but in this case, the inverse line scan model metrology tool 765 fixes both the parameters related to the materials and the SEM imaging tool. In this scenario, tool 765 only changes parameters related to the geometry of the features of the pattern structure to find the best fit of the calibrated model for a single line scan.

단순화된 시나리오에서, 블록(2330)에서 변경된 유일한 파라미터들은 피처의 에지들의 위치들일 것이다. 일 구현예에서, 피처의 수직 치수는 미리 결정된 두께를 나타내고 피처의 에지 위치들만이 변화한다고 가정된다. 다음으로, 캘리브레이션된 역 라인 스캔 모델은, 블록(2330)에 따라, 피처의 2D 이미지를 통한 모든 단일 수평 단면에 피팅된다. 픽셀들의 최상부 수평 행을 취하고, 그런 다음, 한 픽셀 아래인 다음 픽셀 행을 취하고, 그런 다음, 한 픽셀 아래인 다음 픽셀 행을 취한다. 하나의 그러한 단일 라인 스캔의 예가 도 24b에 도시되어 있다. 결과적인 최상의 피팅 에지 위치들은 검출된 에지들이다.In a simplified scenario, the only parameters changed at block 2330 would be the positions of the features' edges. In one implementation, the vertical dimension of the feature is assumed to represent a predetermined thickness and only the edge positions of the feature change. Next, the calibrated inverse line scan model is fit to every single horizontal cross section through the 2D image of the feature, according to block 2330. Take the top horizontal row of pixels, then take the next pixel row one pixel down, then take the next pixel row one pixel down. An example of one such single line scan is shown in Figure 24B. The resulting best fitting edge positions are the detected edges.

피처의 에지들이 전술된 방식으로 검출된 후, 툴(765)은 샘플이 이미지 획득 동안 약간 회전되어 결과적으로 평행한 틸팅된 라인들(즉, 완전히 수직이 아닌 라인들)을 초래했음을 검출할 수 있다. 이러한 틸팅(tilting) 또는 회전은 평균 라인 스캔 및 그에 따라 캘리브레이션된 ILM을 변경함으로써 검출된 에지들의 부정확성에 기여할 수 있다. 이미지 회전은 이미지의 모든 에지들을 평행 라인들의 세트에 피팅하고 수직에 비해 그것들의 기울기를 결정함으로써 검출될 수 있다. 기울기가 수직 케이스와 충분히 다르면, 회전은 제거되어야 한다. 하나의 가능한 기준은 이미지의 최상부에서의 최상의 피팅 라인의 픽셀 위치를 이미지의 바닥에서의 최상의 피팅 라인의 픽셀 위치와 비교하는 것일 것이다. 이들 픽셀 위치가 2개의 픽셀과 같은 일부 임계값만큼 상이하면, 이미지 회전은 그 제거가 요구될 만큼 충분히 큰 것으로 간주된다.After the edges of the feature have been detected in the manner described above, tool 765 may detect that the sample was slightly rotated during image acquisition, resulting in parallel tilted lines (i.e., lines that are not completely vertical). . This tilting or rotation can contribute to the inaccuracy of the detected edges by changing the average line scan and thus the calibrated ILM. Image rotation can be detected by fitting all edges of the image to a set of parallel lines and determining their tilt relative to the vertical. If the tilt is sufficiently different from the vertical case, the rotation should be removed. One possible criterion would be to compare the pixel location of the best fitting line at the top of the image with the pixel location of the best fitting line at the bottom of the image. If these pixel positions differ by some threshold, such as two pixels, the image rotation is considered large enough to require its removal.

그러한 틸팅/회전이 블록(2335)에 따라 검출되면, 이전 캘리브레이션은 제1 패스(pass) 캘리브레이션인 것으로 간주되고 캘리브레이션이 반복된다. 보다 구체적으로, 이러한 틸팅/회전이 검출되면, 블록(2345)에 따라, 에지들을 수직 정렬하게 하기 위해 픽셀들의 일부 행들을 시프트하고, 새로운 평균 라인 스캔을 계산함으로써 회전이 감산된다. 그런 다음, 블록(2350 및 2325)에 따라 모델의 캘리브레이션이 반복된다. 블록(2330)에 따라, 다른 피팅이 또한 수행된다. 궁극적으로, 툴(765)은 툴(765)에 제공된 라인 스캔 이미지 정보에 대응하는 피처의 기하학적 구조를 설명하는 기하학적 구조 피처 정보(예컨대, 에지 위치들)를 출력한다.If such tilt/rotation is detected according to block 2335, the previous calibration is considered a first pass calibration and the calibration is repeated. More specifically, when such a tilt/rotation is detected, according to block 2345, the rotation is subtracted by shifting some rows of pixels to vertically align the edges and calculating a new average line scan. The calibration of the model is then repeated according to blocks 2350 and 2325. According to block 2330, another fitting is also performed. Ultimately, tool 765 outputs geometric feature information (e.g., edge positions) that describes the geometry of the feature that corresponds to the line scan image information provided to tool 765.

이미지 회전과 같이, 피처들 자체의 거칠기는 ILM의 캘리브레이션에 부정확성을 기여한다. 옵션으로, 제1 패스 에지 검출 후에, 픽셀들의 각각의 행은 이미지 회전을 감산할 뿐만 아니라 피처 거칠기를 감산하도록 또한 시프트될 수 있다. 픽셀들의 각각의 행의 시프팅 후의 최종 결과는 에지 위치가 완벽한 수직 라인으로부터 1 픽셀 미만만큼 변하는 수직 에지이다. 그런 다음, 픽셀들의 이들 시프트된 행들은 ILM 캘리브레이션에 사용하기 위한 더 정확한 평균 라인 스캔을 생성하기 위해 수직으로 평균화될 수 있다.Like image rotation, the roughness of the features themselves contributes inaccuracy to the ILM's calibration. Optionally, after first pass edge detection, each row of pixels can also be shifted to subtract image rotation as well as subtract feature roughness. The end result after shifting each row of pixels is a vertical edge where the edge position varies by less than 1 pixel from a perfectly vertical line. These shifted rows of pixels can then be vertically averaged to produce a more accurate average line scan for use in ILM calibration.

실제 실시에서, IHS(750)는 프로세서(755)와 디스플레이, 프린터, 또는 다른 사용자 인터페이스와 같은 출력 디바이스(770) 사이에 결합된 인터페이스(757)를 포함할 수 있어서, 사용자가 역 라인 스캔 모델 계측 툴(765)에 의해 결정된 피처 에지들을 관찰할 수 있다. 인터페이스(757)는 그래픽 인터페이스, 프린터 인터페이스, 네트워크 인터페이스 또는 특정 유형의 출력 디바이스(770)에 적합한 다른 하드웨어 인터페이스일 수 있다.In actual implementations, the IHS 750 may include an interface 757 coupled between the processor 755 and an output device 770, such as a display, printer, or other user interface, allowing the user to perform inverse line scan model metrology. Feature edges determined by tool 765 can be observed. Interface 757 may be a graphical interface, printer interface, network interface, or other hardware interface suitable for a particular type of output device 770.

전술한 구현예들은 반도체 디바이스들의 제조에서 사용되는, 반도체 웨이퍼들 상에서 발견되는 구조들의 측정을 참조하지만, 본 발명은 이들 애플리케이션들에 제한되지 않는다. 본 개시는 평판 디스플레이, 마이크로전자기계 시스템, 미세유체(microfluidic) 시스템, 광학 도파관, 광자 디바이스, 및 다른 전자, 광학 또는 기계 디바이스에서 발견되는 피처 에지의 거칠기를 측정하기 위해 유용하게 사용될 수 있다. 또한, 본 발명은 결정(crystal) 또는 광물(mineral)과 같은 자연 발생 구조, 또는 나노입자 또는 다른 나노구조과 같은 인공 구조(manmade structures)의 피처 에지 특성(feature edge characteristics)을 측정하는데 사용될 수 있다. 더욱이, 본 발명은 생물학적 샘플의 특징 에지 특성도 측정하는데 이용될 수 있다.Although the above-described embodiments refer to the measurement of structures found on semiconductor wafers, used in the manufacture of semiconductor devices, the invention is not limited to these applications. The present disclosure may be useful for measuring the roughness of feature edges found in flat panel displays, microelectromechanical systems, microfluidic systems, optical waveguides, photonic devices, and other electronic, optical, or mechanical devices. Additionally, the present invention can be used to measure feature edge characteristics of naturally occurring structures, such as crystals or minerals, or manmade structures, such as nanoparticles or other nanostructures. Moreover, the present invention can also be used to measure feature edge properties of biological samples.

전술한 구현예들은 주사 전자 현미경(scanning electron microscope)을 사용하는 측정들을 참조하지만, 본 발명은 해당 이미징 툴에 제한되지 않는다. 광학 현미경, STED(stimulated emission and depletion) 현미경, x-선 현미경, TEM(transmission electron microscope), 집속 이온 빔 현미경, 및 헬륨 이온 현미경과 같은 다른 이미징 툴이 또한 사용될 수 있다. 주사 탐침 현미경(scanning probe microscope)(원자힘 현미경)(AFM : atomic force microscope) 및 주사 근접장 광학 현미경(SNOM : scanning near-field optical microscope)과 같은 다른 형태의 현미경이 또한 사용될 수 있다.Although the above-described embodiments refer to measurements using a scanning electron microscope, the invention is not limited to that imaging tool. Other imaging tools may also be used, such as optical microscopy, stimulated emission and depletion (STED) microscopy, x-ray microscopy, transmission electron microscope (TEM), focused ion beam microscopy, and helium ion microscopy. Other types of microscopes may also be used, such as scanning probe microscopes (atomic force microscopes) (AFMs) and scanning near-field optical microscopes (SNOMs).

14. 프로세스 윈도우 결정을 위한 종래 기술의 방법들14. Prior art methods for determining process window

리소그래피에서, 2개의 주요 변수들은 최종 인쇄된 피처들의 제어를 유지하기 위해 빈번하게 조정된다: 노광 선량(exposure dose)(노광 에너지, 광 강도 및 노광 시간의 곱으로도 지칭됨) 및 초점(focus)(종종 웨이퍼 상에 코팅되는 포토레지스트의 최상부 표면에 대한 투사된 이미지의 최상의 초점 평면의 위치로서 설명됨). 이들 프로세스 변수들 둘 모두는 피처들을 인쇄하기 위해 이용되는 리소그래피 투사 이미징 툴 (예컨대, 스테퍼(stepper), 스캐너, 또는 스텝-앤드-스캔 툴(step-and-scan tool))을 이용하여 변경될 수 있다. 직접-기록 패터닝(direct-write patterning), 근접 인쇄(proximity printing), 및 전자-빔 리소그래피와 같은 다른 리소그래피 기술들이 또한 노광 선량(exposure dose) 및 초점 또는 이들의 등가물을 조정할 수 있다.In lithography, two key variables are frequently adjusted to maintain control of the final printed features: exposure dose (also referred to as the product of exposure energy, light intensity, and exposure time) and focus. (Often described as the location of the best focal plane of the projected image relative to the top surface of the photoresist coated on the wafer). Both of these process variables can be altered using the lithographic projection imaging tool (e.g., a stepper, scanner, or step-and-scan tool) used to print the features. there is. Other lithographic techniques such as direct-write patterning, proximity printing, and electron-beam lithography can also adjust exposure dose and focus or their equivalents.

최상의 리소그래피 결과들을 획득하기 위해, 리소그래피 툴의 노광 선량 및 초점을, 때때로 최상의 선량 및 최상의 초점으로 불리는 최적의 값들로 설정하는 것이 바람직하다. "최상(best)"의 의미는 리소그래피 프로세스의 목표들에 따라 달라질 수 있다. 하나의 공통적인 목표는 웨이퍼 또는 웨이퍼들 상의 특정 인쇄된 패턴들의 집합의 평균 피처 크기(mean feature size)가 일부 허용 오차 내에, 해당 피처 크기에 대한 타겟 값에 매칭되게 하는 것일 것이다. 또 다른 목표는 피처들의 일부 집합에 걸쳐 피처 크기의 변동을 최소화하는 것일 것이다. 또 다른 목표는 인쇄된 패턴들의 패턴 충실도를 향상시키는 것일 것이다. 다른 목표는 피처들의 일부 집합에 걸쳐 프로세스 변동들에 대한 인쇄된 피처 크기 또는 충실도의 민감도를 최소화하는 것일 것이다.To obtain the best lithography results, it is desirable to set the exposure dose and focus of the lithography tool to optimal values, sometimes called best dose and best focus. The meaning of “best” may vary depending on the goals of the lithography process. One common goal would be to ensure that the mean feature size of a particular printed pattern on a wafer or set of wafers matches a target value for that feature size, within some tolerance. Another goal would be to minimize the variation in feature size over some set of features. Another goal would be to improve the pattern fidelity of printed patterns. Another goal would be to minimize the sensitivity of printed feature size or fidelity to process variations over some set of features.

검사된 피처들의 집합은 이미징 필드의 상이한 포인트들(또는 주사 리소그래피의 경우에 대해 스캐너 슬릿 영역 내의 상이한 포인트들)에 인쇄된 피처들, 제조되는 칩에 걸친 상이한 포인트들, 웨이퍼에 걸친 상이한 포인트들, 및 상이한 웨이퍼들 또는 웨이퍼들의 상이한 로트(lot)들에 걸친 상이한 포인트들을 포함할 수 있다. 검사된 피처들의 집합은 또한 상이한 시간들에 인쇄된 피처들을 포함할 수 있다. 검사된 피처들의 집합은 또한 상이한 피처 유형들, 다른 피처들에 대한 상이한 근접도를 갖는 피처들, 및 상이한 타겟 피처 크기들을 갖는 피처들을 포함할 수 있다.The set of features inspected can be features printed at different points in the imaging field (or different points within the scanner slit area for scanning lithography), different points across the chip being fabricated, different points across the wafer, and different points across different wafers or different lots of wafers. The set of features inspected may also include features printed at different times. The set of inspected features may also include different feature types, features with different proximity to other features, and features with different target feature sizes.

많은 리소그래피 프로세스에서, 피처 크기는 노광 선량에 따라 단조성으로(monotonically) 변화한다. 예를 들어, 작은 범위의 선량에 대하여 피처 크기는 선량에 따라 대략 선형적으로 변할 수 있다. 일부 프로세스들의 경우, 피처 크기는 노광 선량에 대해 1에 대략 비례할 수 있다. 초점의 경우, 피처 크기는 종종 초점에 따라 대략 2차원으로 변한다. 게다가, 초점 및 선량은 일반적으로 상호 작용하여 인쇄된 패턴들에 변화를 야기한다. 피처에 대한 선량의 영향은 노광 툴의 초점 설정에 의존하고, 피처에 대한 초점의 영향은 노광 툴의 노광 선량 설정에 의존한다.In many lithography processes, feature size varies monotonically with exposure dose. For example, for small ranges of doses, feature size may vary approximately linearly with dose. For some processes, feature size may be approximately proportional to 1 to exposure dose. In the case of focus, feature size often varies roughly in two dimensions with focus. Additionally, focus and dose typically interact to cause changes in printed patterns. The effect of the dose on the feature depends on the focus setting of the exposure tool, and the effect of the focus on the feature depends on the exposure dose setting of the exposure tool.

인쇄된 패턴에 대한 초점 및 노광 선량의 영향을 특성화하는 것은 일반적으로 초점-노광 매트릭스(FEM : focus-exposure matrix)를 사용하여 달성된다. FEM에 대한 설명은 선행 기술, 예를 들어, 교과서 Chris A. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, (London: 2007)에서 찾아볼 수 있다.Characterizing the effects of focus and exposure dose on printed patterns is typically accomplished using a focus-exposure matrix (FEM). Descriptions of FEM can be found in prior art, for example in the textbook Chris A. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, (London : 2007).

일반적으로, 초점 심도(DOF : depth of focus)는 프로세스가 용인할 수 있고 여전히 허용 가능한 리소그래피 결과들을 제공할 수 있는 초점 에러들의 범위로서 생각될 수 있다. 초점의 변화는 최종 리소그래피 결과에 대한 2개의 주요 변화들을 초래한다: 포토레지스트 프로파일은 (그 치수들을 포함하여) 변화하고, 다른 프로세싱 에러들에 대한 프로세스의 감도가 변화된다. 전형적으로, 포토레지스트 프로파일은 선폭(또는 임계 치수, CD), 에지 배치 에러, 프로파일의 측벽 각도, 피처의 최종 높이, 라인 에지 또는 선폭 거칠기, 및 당업계에 공지된 다른 메트릭(metric)와 같은 파라미터를 사용하여 설명된다. 초점과 이러한 파라미터의 변동은 다양한 측정 방법을 사용하여 임의의 주어진 조건 세트에 대해 결정될 수 있다. 예를 들어, CD는 주사 전자 현미경을 사용하여 측정될 수 있다. In general, depth of focus (DOF) can be thought of as the range of focus errors that a process can tolerate and still provide acceptable lithography results. A change in focus results in two major changes to the final lithographic result: the photoresist profile (including its dimensions) changes, and the sensitivity of the process to other processing errors changes. Typically, a photoresist profile has parameters such as linewidth (or critical dimension, CD), edge placement error, sidewall angle of the profile, final height of the feature, line edge or linewidth roughness, and other metrics known in the art. It is explained using . The focus and variation of these parameters can be determined for any given set of conditions using a variety of measurement methods. For example, CD can be measured using scanning electron microscopy.

또한, 이미지가 초점이 맞지 않을 때, 프로세스는 노광 선량, 노광 후 베이크 시간(post-exposure bake time) 및 온도, 현상 시간, 현상제 농도 및 온도, 하지 필름 스택(underlying filmstack) 속성들 등과 같은 다른 프로세싱 변수들의 변화들에 더 민감하게 된다. 노광 선량은 일반적으로 이러한 다른 프로세스 응답들을 나타내도록 선택된다. 노광 선량에 대한 패터닝의 감도에 대한 초점의 영향은, 각각 일부 설정 범위에 걸쳐 노광 선량 및 초점 둘 모두를 변화시키고, CD, 선폭 거칠기 등과 같은 인쇄된 패턴들의 특성들을 측정함으로써 특징지어질 수 있다.Additionally, when the image is out of focus, the process depends on other factors such as exposure dose, post-exposure bake time and temperature, development time, developer concentration and temperature, underlying filmstack properties, etc. Becomes more sensitive to changes in processing variables. Exposure doses are generally selected to exhibit these different process responses. The effect of focus on the sensitivity of patterning to exposure dose can be characterized by varying both exposure dose and focus, respectively, over some set range and measuring properties of the printed patterns such as CD, linewidth roughness, etc.

CD에 대한 초점 및 노광 선량의 조합된 영향을 디스플레이하는 한 가지 방법은 도 39a에 도시된 보썽 플롯이라 불리는 것을 사용하는 것이다. 도 39a에 도시되지 않은 것은 실험 데이터에 항상 존재하는 실험 에러(또는 실험 불확도(experimental uncertainty))이다. 실험 에러/불확도(종종 표준 에러의 2배와 같이 측정된 값의 표준 에러의 배수로서 표현됨)은 최상의 초점 및 노광에서 CD의 1 내지 수 퍼센트일 수 있지만, 에러는 초점이 맞지 않을 때 훨씬 더 높을 수 있다. 초점-노광 CD 데이터를 더 양호하게 분석하기 위해, 당해 기술 분야의 하나의 일반적인 접근법은 데이터 노이즈를 감소시키고 플라이어(flyer)들(이상치(outliers)로도 지칭됨)을 제거하기 위해 합리적인 경험적 수학식에 데이터를 피팅하는 것이다. 이러한 접근 방식의 단점은 경험적 표현의 선택이 결과적 분석에 임의성의 레벨을 추가한다는 것이다.One way to display the combined influence of focus and exposure dose on CD is to use what is called a Bossung plot, shown in Figure 39A. What is not shown in Figure 39A is the experimental error (or experimental uncertainty) that is always present in experimental data. Experimental error/uncertainty (often expressed as a multiple of the standard error of the measured value, such as twice the standard error) can be 1 to a few percent of CD at best focus and exposure, but the error can be much higher when out of focus. You can. To better analyze focus-exposure CD data, one common approach in the art is to use reasonable empirical equations to reduce data noise and remove flyers (also referred to as outliers). Fitting the data. A drawback of this approach is that the choice of empirical representation adds a level of arbitrariness to the resulting analysis.

2개의 입력들의 함수로서의 하나의 출력은 여러 상이한 방식들로 플롯팅될 수 있다. 예컨대, 보썽 커브(Bossung curve)들은 또한 상이한 초점 설정들에 대한 노광 관용도 커브들(선폭 대 노광 선량)로서 플롯팅될 수 있다. 이 2차원 데이터 세트를 플롯팅하는 또 다른 매우 유용한 방법은 등고선 플롯(contour plot)인데, 등고선 플롯은 일정한 선폭 대 초점 및 노광의 등고선이다(도 39b). 노이즈가 많은 실험 데이터의 경우, 이러한 등고선 플롯에서 데이터의 평활화된 버전을 플롯팅하는 것이 또한 일반적이다. 예를 들어, 상기에서 언급한 것처럼 커브 피팅 함수를 사용하여 데이터를 평활하게 만든 다음 미가공 데이터의 등고선 대신 커브 피팅을 기반으로 하는 등고선을 플롯할 수 있다.One output as a function of two inputs can be plotted in several different ways. For example, Bossung curves can also be plotted as exposure latitude curves (linewidth versus exposure dose) for different focus settings. Another very useful way to plot this two-dimensional data set is a contour plot, which is a contour of constant linewidth versus focus and exposure (Figure 39b). For noisy experimental data, it is also common to plot a smoothed version of the data in such a contour plot. For example, as mentioned above, you could use a curve fitting function to smooth the data and then plot contours based on the curve fitting instead of the contours of the raw data.

데이터 시각화의 등고선 플롯 형태는 최종 이미지가 특정 사양을 충족시킬 수 있게 하는 노광 및 초점의 한계치를 확립하는 데 특히 유용하다. 일정한 CD의 모든 등고선을 플롯팅하기보다는, 수용의 외부 한계치에 대응하는 2개의 CD - CD 사양에 기초하여 허용되는 최소 CD 및 최대 CD - 만을 플롯팅할 수 있다. 등고선 플롯의 특성 때문에, 다른 변수들도 동일한 그래프 상에 플롯팅될 수 있다. 도 39c는 CD의 등고선(명목상 +/-10%), 80도 측벽 각도 및 10% 레지스트 손실을 모두 동일한 그래프에 플롯팅한 예를 도시한다. 그 결과는 프로세스 윈도우(process window) 이다 - 최종 인쇄 패턴을 모든 적용된 사양 내에서 유지하는 초점 및 노광 영역(region of focus and exposure)이 된다.The contour plot form of data visualization is particularly useful for establishing exposure and focus limits that will ensure that the final image meets specific specifications. Rather than plotting all contours of a given CD, one can plot only the two CDs that correspond to the outer limits of acceptance - the minimum CD and the maximum CD allowed based on the CD specification. Because of the nature of contour plots, other variables can also be plotted on the same graph. Figure 39C shows an example where the contour of the CD (nominal +/-10%), 80 degree sidewall angle, and 10% resist loss are all plotted on the same graph. The result is a process window - a region of focus and exposure that keeps the final printed pattern within all applied specifications.

많은 상이한 측정 결과들이 프로세스 윈도우로 결합될 수 있다. 확률적 변동이 상당한 피처 크기들 및 리소그래피 프로세스들에 대해, 확률적 관련 결과들이 프로세스 윈도우 등고선(contour)들 상에 플롯팅될 수 있다. 예를 들어, 선폭 거칠기는 단일 사양 - 최대 허용 LWR을 사용하여 플롯팅될 수 있다. 결함성(defectivity)은 확률적 메트릭으로도 사용될 수 있다.Many different measurement results can be combined into a process window. For feature sizes and lithography processes where the stochastic variation is significant, stochastically related results can be plotted on process window contours. For example, linewidth roughness can be plotted using a single specification - maximum allowable LWR. Defectivity can also be used as a probabilistic metric.

초점-노광 프로세스 윈도우는 노광 및 초점이 인쇄된 결과를 판단하는 데 사용되는 선폭 및/또는 다른 메트릭에 영향을 미치도록 함께 작동하는 방법을 보여주기 때문에 유용하다. 프로세스 윈도우는 프로세스가 초점 및 노광의 변화에 어떻게 응답하는지에 대한 프로세스 수용력(process capability)으로 생각될 수 있다. 주어진 프로세스에서 초점 및 노광에 대한 에러 소스들의 분석은 프로세스 요건을 제공할 것이다. 프로세스 수용력이 프로세스 요건들을 초과하면, 제조되는 디바이스들의 수율이 높을 것이다. 그러나, 프로세스 요건이 더 넓어서 프로세스 수용력 내에 맞지 않으면, 수율 또는 디바이스 성능이 저하될 수 있다.The focus-exposure process window is useful because it shows how exposure and focus work together to affect linewidth and/or other metrics used to judge printed results. The process window can be thought of as the process capability of how the process responds to changes in focus and exposure. Analysis of error sources for focus and exposure in a given process will provide process requirements. If the process capacity exceeds the process requirements, the yield of devices manufactured will be high. However, if wider process requirements do not fit within process capabilities, yield or device performance may be compromised.

프로세스 윈도우 내에 들어올수 있는 최대 초점 범위 및 노광(즉, 최대 프로세스 요건)을 평가하는 것이 종종 유용하다. 이 질문을 조사하는 간단한 방법은 초점 및 노광의 에러를 프로세스 윈도우과 동일한 플롯에서 직사각형으로 그래픽으로 나타내는 것이다. 직사각형의 폭은 프로세스들의 빌트-인(built-in) 초점 에러들을 나타내고, 높이는 빌트-인 선량 에러들을 나타낸다. 그러면 이 문제는 프로세스 윈도우 안에 맞는 최대 사각형을 찾는 것 중 하나가 된다.It is often useful to evaluate the maximum focus range and exposure that can fit within the process window (i.e., maximum process requirements). A simple way to investigate this question is to graphically represent errors in focus and exposure as rectangles on a plot identical to the process window. The width of the rectangle represents the built-in focus errors of the processes, and the height represents the built-in dose errors. The problem then becomes one of finding the largest rectangle that fits within the process window.

'최대(maximal)'인 상이한 폭들 및 높이들의 많은 가능한 직사각형들이 있을 수 있는데, 즉 이들은 프로세스 윈도우를 넘어 연장되지 않고는 어느 방향으로든 더 크게 만들어질 수 없다(도 40a). 각각의 최대 직사각형은 초점 에러에 대한 허용 오차 및 노광 에러에 대한 허용 오차 사이의 하나의 가능한 트레이드 오프(trade-off)를 나타낸다. 노광 에러가 최소화되면 더 큰 DOF가 획득될 수 있다. 마찬가지로, 노광 관용도(exposure latitude)는 초점 에러가 작으면 개선될 수 있다. 그 결과는 노광 관용도와 DOF 사이의 트레이드 오프이다.There may be many possible rectangles of different widths and heights that are 'maximal', i.e. they cannot be made larger in either direction without extending beyond the process window (Figure 40a). Each maximum rectangle represents one possible trade-off between tolerance for focus error and tolerance for exposure error. When exposure errors are minimized, a larger DOF can be obtained. Likewise, exposure latitude can be improved if the focus error is small. The result is a trade-off between exposure latitude and DOF.

모든 초점 및 노광 에러가 체계적이었다면, 그러한 에러의 그래픽(또는 기하학적) 표현은 직사각형일 것이다. 폭 및 높이는 개개의 에러의 총 범위를 나타낼 것이다. 그러나, 에러가 무작위로 분포된 경우, 이를 설명하기 위해 확률 분포 함수가 필요할 것이다. 노광 및 초점에서의 무작위 에러들은 많은 작은 에러 소스들의 합산에 의해 야기되어, 중심 극한 정리(central limit theorem)에 의해 초점 및 선량에 대한 전체 확률 분포들이 대략 가우시안(정규 분포)일 것이라고 가정하는 것이 일반적이다. If all focus and exposure errors were systematic, the graphical (or geometric) representation of those errors would be rectangular. The width and height will indicate the total extent of the individual error. However, if the errors are randomly distributed, a probability distribution function will be needed to account for them. Random errors in exposure and focus are caused by the sum of many small error sources, so the central limit theorem makes it common to assume that the overall probability distributions for focus and dose will be approximately Gaussian (normal). am.

초점과 노광의 에러를 그래픽적으로 표현하기 위해서는, 일정한 발생 확률의 표면을 서술해야 한다. 표면 내부의 초점 및 노광의 모든 에러는 확립된 컷오프보다 더 큰 발생 확률을 가질 것이다. Bi-Gaussian 분포(두 개의 독립 변수에 대한 가우시안 분포)에 대해, 일정한 확률의 표면은 타원이다(도 40b). In order to graphically represent errors in focus and exposure, a surface with a certain probability of occurrence must be described. Any errors in focus and exposure within the surface will have a greater probability of occurrence than the established cutoff. For a Bi-Gaussian distribution (a Gaussian distribution for two independent variables), the surface of constant probability is an ellipse (Figure 40b).

체계적 에러들에 대한 직사각형 또는 무작위 에러들에 대한 타원을 사용하여, 주어진 프로세스 윈도우에 대해 허용될 수 있는 에러들의 크기는 이러한 기하학적 접근법을 사용하여 추정될 수 있다. 직사각형을 예로 들면, 프로세스 윈도우 내에 들어맞을 최대 직사각형을 찾을 수 있다. 도 41은 모든 최대 사각형이 결정되고 그 높이(노광 관용도) 대 그 폭(초점 심도)이 플롯팅된 프로세스 윈도우의 분석을 도시한다. 마찬가지로, 초점 및 노광에서 가우시안 에러들을 가정하면, 프로세스 윈도우 내에 맞는 모든 최대 타원이 결정될 수 있다. 타원의 수평 폭은 초점에서 6-시그마 에러(최상의 초점에 대한 플러스 및 마이너스 3-시그마 변동)를 나타낼 수 있는 반면, 타원의 수직 높이는 노광에서 6-시그마 에러를 제공할 것이다. 모든 최대 타원의 높이 대 폭을 플롯팅하여 도 41의 노광 관용도 대 DOF의 제2 커브를 획득하였다.Using a rectangle for systematic errors or an ellipse for random errors, the size of errors that can be tolerated for a given process window can be estimated using this geometric approach. Taking a rectangle as an example, we can find the largest rectangle that will fit within the process window. Figure 41 shows an analysis of the process window in which all maximum squares are determined and their height (exposure latitude) versus their width (depth of focus) is plotted. Likewise, assuming Gaussian errors in focus and exposure, any maximum ellipse that fits within the process window can be determined. The horizontal width of the ellipse may represent a 6-sigma error in focus (plus and minus 3-sigma variation for best focus), while the vertical height of the ellipse will give a 6-sigma error in exposure. The height versus width of all maximum ellipses was plotted to obtain the second curve of exposure latitude versus DOF in Figure 41.

초점 심도의 정의는 또한 당연히 최상의 초점 및 최상의 노광의 결정으로도 이어진다. 노광 관용도 대 DOF 커브의 한 포인트에 대응하는 DOF 값은 프로세스 윈도우 내에 맞는 하나의 최대 직사각형 또는 타원에 대응한다. 그러면 이 직사각형 또는 타원의 중심은 이 원하는 동작점에 대한 최상의 초점 및 노광에 대응할 것이다. 최적의 초점 및 선량 값들을 아는 것은 전체 프로세스 윈도우를 이용할 수 있도록 하기 위해 필수적이다. 프로세스 초점 및 선량 설정들이 이 최적치로부터 벗어나면, 허용될 수 있는 초점 및 선량 에러들의 범위가 그에 따라 감소될 것이다. The definition of depth of focus also naturally leads to the determination of best focus and best exposure. The DOF value corresponding to a point on the exposure latitude versus DOF curve corresponds to the single largest rectangle or ellipse that fits within the process window. The center of this rectangle or ellipse will then correspond to the best focus and exposure for this desired operating point. Knowing the optimal focus and dose values is essential to be able to utilize the entire process window. If the process focus and dose settings deviate from this optimum, the range of allowable focus and dose errors will be reduced accordingly.

상기 결과들 모두가 하나의 임계 피처의 초점 및 노광 응답을 설명하지만, 실제로는 다수의 마스크 피처가 동시에 인쇄되어야 한다. 예를 들어, 상이한 공칭 크기 및 피치의 피처들 또는 다른 피처들에 대한 상이한 근접도가 동일한 설계 내에 존재할 수 있다. 그러한 경우에, 전체 프로세스 윈도우는 고려 중인 각각의 피처 크기 또는 유형에 대한 프로세스 윈도우들의 중첩일 것이다. 중첩하는 프로세스 윈도우 내의 초점 및 선량 설정들은 각각의 피처 유형 및/또는 크기가 사양들을 충족시킬 수 있게 할 것이다. 각각의 개별 피처의 DOF보다 더 중요한 성능 척도는 다수의 임계 피처의 중첩하는 DOF이다. Although all of the above results describe the focus and exposure response of a single critical feature, in practice multiple mask features must be printed simultaneously. For example, features of different nominal sizes and pitches or different proximity to other features may exist within the same design. In such cases, the overall process window will be a superposition of the process windows for each feature size or type under consideration. Focus and dose settings within the overlapping process window will allow each feature type and/or size to meet specifications. A more important performance measure than the DOF of each individual feature is the overlapping DOF of multiple critical features.

도 39c에서 다수의 프로파일 메트릭들이 중첩되어 하나의 중첩 프로세스 윈도우를 형성했듯이, 상이한 피처들로부터의 프로세스 윈도우들이 중첩되어 이들 다수의 피처들을 동시에 인쇄하기 위한 DOF를 결정할 수 있다. 도 42는 두 개의 다른 피치의 라인/공간 패턴에 대한 예를 도시한다. 모든 임계 피처 크기와 피치에 대한 프로세스 윈도우는 중첩되는 것이 이상적이다.Just as multiple profile metrics overlap to form one overlapping process window in Figure 39C, process windows from different features can overlap to determine the DOF for printing multiple of these features simultaneously. Figure 42 shows an example of a line/space pattern of two different pitches. Ideally, the process windows for all critical feature sizes and pitches overlap.

필드 위치의 함수로서 패터닝에서의 체계적인 변동들이 또한 중첩하는 프로세스 윈도우들로 설명될 수 있다. 동일한 피처가 리소그래피 툴 이미지 필드 내의 상이한 포인트들에 인쇄되었다면(전형적으로, 필드의 중심 및 4개의 코너들이 충분함), 프로세스 윈도우들은 중첩되어 해당 피처에 대해 사용가능한 프로세스 윈도우를 생성할 수 있다. 결과적인 초점 심도는 때때로 사용 가능한 초점 심도(UDOF)로 불린다.Systematic variations in patterning as a function of field position can also be described by overlapping process windows. If the same feature was printed at different points within the lithography tool image field (typically the center of the field and four corners are sufficient), the process windows can be overlapped to create a usable process window for that feature. The resulting depth of focus is sometimes called the usable depth of focus (UDOF).

FEM 분석은 다른 방식으로도 사용될 수 있다. 프로세스 윈도우 분석의 하나의 가능한 출력은 등초점 편향(isofocal bias)이다. 일반적으로, 인쇄된 피처가 초점 변화에 대한 최소 감도를 갖도록 하는 하나의 노광 선량이 있다. 이 선량을 등초점 선량(isofocal dose)이라 한다. 이 선량에서, 인쇄된 CD는 일반적으로 타겟 또는 원하는 CD와 상이하다. 이러한 차이를 등초점 편향이라 한다. 프로세스 윈도우는 등초점 편향을 평가하는 데 사용될 수 있다.FEM analysis can also be used in other ways. One possible output of process window analysis is isofocal bias. Typically, there is one exposure dose that causes printed features to have minimal sensitivity to changes in focus. This dose is called isofocal dose. At this dose, the printed CD is generally different from the target or desired CD. This difference is called isofocal bias. The process window can be used to evaluate isofocal bias.

상술한 바와 같은 프로세스 윈도우 결정 및 분석을 위한 종래 기술의 기하학적 방법들은 여러 문제점들을 가지고 있다. 첫째로, 계측 에러들 또는 계측 불확도가 고려되지 않는다. 도 39c 또는 도 40a에 도시된 등고선들은 프로세스 윈도우 외부의 영역과는 별개인 것으로서 프로세스 윈도우 내부의 영역을 묘사하는 날카로운 경계들을 도시한다. 이러한 예리한 경계는 "양호(good)"(프로세스 윈도우 내부)로 간주되는 영역과 "불량(bad)"(프로세스 윈도우 외부 영역)으로 간주되는 영역 사이에 하드 컷오프(hard cut-off)를 생성한다. 실제로는 계측상의 불확도로 인하여 야호와 불량의 경계가 모호하다.The prior art geometric methods for process window determination and analysis as described above have several problems. Firstly, measurement errors or measurement uncertainty are not taken into account. The contour lines shown in Figure 39C or Figure 40A show sharp boundaries that depict the area inside the process window as separate from the area outside the process window. This sharp boundary creates a hard cut-off between areas considered "good" (inside the process window) and areas considered "bad" (outside the process window). In reality, the boundary between good and bad is vague due to measurement uncertainty.

종래 기술의 기하학적 방법들의 두 번째 문제점은 프로세스 윈도우를 구성하기 전에 측정 데이터의 커브-피팅(curve-fitting) 또는 평활화(smoothing)에 대한 필요성이다. 프로세스 윈도우 에지 근처의 단지 하나의 이상 데이터 포인트는 등고선 위치에서 큰 변동을 생성할 수 있다(도 43). 결과는 이 프로세스 윈도우로부터 결정된 DOF에서의 매우 현저한 차이, 및/또는 최상의 초점 및 노광에서의 큰 차이일 수 있다. A second problem with prior art geometric methods is the need for curve-fitting or smoothing of the measurement data before constructing the process window. Just one anomalous data point near the process window edge can produce large variations in contour position (Figure 43). The result can be very significant differences in DOF determined from this process window, and/or large differences in best focus and exposure.

원본 데이터의 평활화 또는 커브 피팅을 사용하면 데이터 분석에 임의성이 추가된다. 사용할 평활화 또는 올바른 피팅 함수의 선험적인(a priori) 정확한 양이 없으며, 상이한 선택들이 상이한 결과들을 생성한다.Using smoothing or curve fitting of the raw data adds randomness to the data analysis. There is no a priori exact amount of smoothing or correct fitting function to use, and different choices produce different results.

세번째 문제는 최상의 초점 및 선량 값들에 대한 초점 및 노광 선량 에러들의 확률들을 나타내기 위해 직사각형들, 타원들, 또는 일부 다른 기하학적 형상을 사용하는 것 사이의 선택이다. 도 41에 도시된 바와 같이, 상이한 선택들이 상이한 결과들을 생성한다. 또한, 이러한 확률들을 나타내기 위해 기하학적 형상들을 사용하고, 그런 다음 프로세스 윈도우 내에 맞는 최대 형상을 찾는 것은 프로세스 윈도우 형상의 소수의 포인트들만이 결과에 영향을 미친다는 것을 의미한다. 도 40b에 도시된 바와 같이, 내접된(inscribed) 타원 및 사각형은 단지 몇 개의 포인트에서 프로세스 윈도우과 터치한다. 이는 그러한 내접된 형상들의 크기를, 등고선(contour) 기반 프로세스 윈도우의 그러한 몇 개의 포인트들에만 영향을 미칠 수 있는 계측 에러들에 특히 민감하게 한다.The third issue is the choice between using rectangles, ellipses, or some other geometric shape to represent the probabilities of focus and exposure dose errors relative to the best focus and dose values. As shown in Figure 41, different choices produce different results. Additionally, using geometric shapes to represent these probabilities and then finding the maximum shape that fits within the process window means that only a few points of the process window shape affect the result. As shown in Figure 40B, the inscribed ovals and squares touch the process window at only a few points. This makes the size of such inscribed features particularly sensitive to metrology errors that may affect only a few points of the contour-based process window.

위의 모든 문제들은 패턴 크기 또는 충실도 또는 거칠기의 더 많은 메트릭들을 중첩시킬 때, 또는 상이한 피처들로부터 프로세스 윈도우들을 중첩시킬 때 강조된다.All of the above issues are highlighted when overlapping pattern size or more metrics of fidelity or roughness, or when overlapping process windows from different features.

기하학적 프로세스 윈도우 분석의 문제들의 결과는 노광 관용도 및 초점 심도의 부정확하고/하거나 정밀하지 않은 측정이다. 노광 관용도 또는 초점 심도의 부정확하거나 정밀하지 않은 측정의 결과는 패터닝 프로세스의 불량한 최적화이다. 종종 재료 선택들(상이한 포토레지스트들, 포토레지스트 아래의 상이한 하지층들 등)은, 예를 들어, 어느 재료가 가장 큰 초점 심도를 제공하는지에 기초하여(또는 고려하여) 이루어진다. 또한, 광 투사 툴에 의해 사용되는 조명의 크기 및 형상과 같은 프로세스 툴 설정은 결과적인 초점 심도를 고려한다.Problems with geometric process window analysis result in inaccurate and/or imprecise measurements of exposure latitude and depth of focus. The result of inaccurate or imprecise measurements of exposure latitude or depth of focus is poor optimization of the patterning process. Often material selections (different photoresists, different underlying layers beneath the photoresist, etc.) are made based on (or considering) which material provides the greatest depth of focus, for example. Additionally, process tool settings, such as the size and shape of the illumination used by the light projection tool, take into account the resulting depth of focus.

OPC(Optical Proximity Correction)는 최종 인쇄 패턴의 충실도를 향상시키기 위해 포토마스크 상에 표현되는 칩 설계를 수정하는 데 사용된다. 때때로 이러한 OPC는 프로세스 윈도우에 대한 이러한 포토마스크 수정들의 영향을 고려한다. 따라서, 프로세스 윈도우의 부정확하거나 정밀하지 않은 결정 또는 분석은 OPC의 결과들에 유해한 영향을 미칠 수 있다.Optical Proximity Correction (OPC) is used to correct the chip design represented on the photomask to improve the fidelity of the final printed pattern. Sometimes these OPCs consider the impact of these photomask modifications on the process window. Therefore, inaccurate or imprecise determination or analysis of the process window can have a detrimental effect on the results of OPC.

기하학적 프로세스 윈도우 분석의 문제점들의 다른 결과는 최상의 초점 및 최상의 노광의 부정확하고/하거나 정밀하지 않은 측정이다. 프로세스 윈도우 분석으로부터의 최상의 초점 및 최상의 노광의 출력은 제조 동안 리소그래피 노광 툴을 제어하는 데 사용될 수 있다. 최상 초점 및 선량의 측정된 값들은 리소그래피 툴로 발송되고, 제품 웨이퍼들을 제조하는데 있어서 후속 사용을 위해 해당 툴의 선량 및 초점 설정들을 조정하는 데 사용된다. 최상의 초점 및 최상의 노광의 부정확하고/하거나 정밀하지 않은 측정은 그런 다음 결과적으로 디바이스 수율 또는 디바이스 성능에 부정적인 영향을 미칠 수 있다.Another consequence of the problems of geometric process window analysis is inaccurate and/or imprecise measurement of best focus and best exposure. The output of best focus and best exposure from process window analysis can be used to control lithography exposure tools during manufacturing. The measured values of best focus and dose are sent to the lithography tool and used to adjust the dose and focus settings of that tool for subsequent use in manufacturing product wafers. Inaccurate and/or imprecise measurements of best focus and best exposure can then ultimately negatively impact device yield or device performance.

또한, 리소그래피 툴의 노광 설정은 해당 툴의 스루풋(throughput)에 영향을 미칠 수 있다. 일반적으로, 더 낮은 노광 선량은 노광 툴의 더 높은 스루풋을 생성한다. 이러한 이유로, 프로세스 윈도우 분석에 의해 결정된 적절한 노광 관용도 및 초점 심도를 계속 유지하면서 가능한 한 노광 선량을 낮추는 것이 바람직할 수 있다. 프로세스 윈도우의 부정확하고 및/또는 정밀하지 않은 측정은 디바이스 수율 또는 디바이스 성능을 위험에 빠뜨리지 않고서는 스루풋의 이러한 개선을 달성하기 어렵게 한다.Additionally, the exposure settings of a lithography tool can affect the throughput of that tool. Generally, lower exposure doses produce higher throughput of the exposure tool. For this reason, it may be desirable to lower the exposure dose as much as possible while still maintaining appropriate exposure latitude and depth of focus as determined by process window analysis. Inaccurate and/or imprecise measurement of the process window makes it difficult to achieve such improvements in throughput without jeopardizing device yield or device performance.

프로세스 윈도우 분석의 추가 사용은 프로세스 모니터링을 위한 것이다. 최상의 초점, 최상의 노광, 노광 관용도 및 초점 심도는 모두 경향 차팅(trend charting) 또는 통계 프로세스 제어(SPC : statistical process control)와 같은 표준 통계 기술을 사용하여 시간에 따라 모니터링될 수 있다. 차팅된 변수의 현재 거동과 그 이력 거동의 편차는 추가 조사 또는 다른 행동을 위해 플래깅(flag)될 수 있다. 프로세스 윈도우 및 그의 관련 측정치들의 부정확하고/하거나 정밀하지 않은 측정은 경향 차트 또는 다른 형태들의 프로세스 제어의 효능을 감소시킨다.A further use of process window analysis is for process monitoring. Best focus, best exposure, exposure latitude and depth of focus can all be monitored over time using standard statistical techniques such as trend charting or statistical process control (SPC). Deviations between the current behavior of a charted variable and its historical behavior can be flagged for further investigation or other action. Inaccurate and/or imprecise measurement of the process window and its associated measurements reduces the effectiveness of trend charts or other forms of process control.

또한, 종래 기술의 기하학적 프로세스 윈도우 접근법은 최상의 초점, 최상의 노광, 초점 심도, 및 노광 관용도와 같은 다양한 분석 출력들에 대한 불확도 추정치들을 생성하지 않는다. 불확도 추정치가 없으면 이들 출력들의 유용성은 감소한다. 예를 들어, 제조 시에 스캐너의 선량 및 초점 설정들을 제어하기 위해 프로세스 윈도우 분석으로부터 결정된 최상의 선량 및 초점의 값들을 사용하는 것은, 해당 변화가 프로세스 윈도우 최상의 선량 및/또는 초점에 대한 불확도 추정치들보다 더 큰 경우 스캐너 설정들에 대한 변화만을 초래해야 한다.Additionally, the prior art geometric process window approach does not produce uncertainty estimates for various analysis outputs such as best focus, best exposure, depth of focus, and exposure latitude. Without uncertainty estimates, the usefulness of these outputs is reduced. For example, using the values of best dose and focus determined from process window analysis to control the scanner's dose and focus settings during manufacturing may result in changes in the uncertainty estimates for the process window best dose and/or focus. Anything larger should only result in changes to the scanner settings.

이들 및 다른 이유들로, 종래 기술의 프로세스 윈도우 접근법들에서의 문제점들 중 하나 이상을 해결하는 프로세스 윈도우 측정 및 분석을 위한 상이한 방법을 발견하고자 하는 요구가 있다.For these and other reasons, there is a need to discover a different method for process window measurement and analysis that solves one or more of the problems with prior art process window approaches.

15. 확률적 프로세스 윈도우15. Stochastic Process Window

프로세스 윈도우 측정 및 분석을 위한 새로운 방법 실시예, 및 프로세스 최적화 및 제어를 위한 그 사용이 이제 설명될 것이다. PPW(Probabilistic Process Window)라고 불리는 이 새로운 방법 실시예는 상술한 종래 기술의 방법(기하학적 프로세스 윈도우 등)의 많은 문제를 해결할 수 있다. 이 PPW 접근법은 하나 이상의 출력 결과에 대한 영향을 결정하기 위해 둘 이상의 상호 작용 프로세스 변수가 변화할 때 사용될 수 있다. 아래에서 사용되는 주요 예에서는, 두 가지 프로세스 변수에 대해 논의한다. 추가로, 아래의 주요 예제는 리소그래피 패터닝의 프로세스 단계 동안 노광 선량 및 초점의 2개의 프로세스 변수들을 사용할 것이다. 그러나, PPW 접근법은 리소그래피, 에칭, 증착, 또는 다른 프로세싱 단계들을 처리하는 2개 초과의 프로세스 변수들을 다루기에 대체로 충분하다.A new method embodiment for process window measurement and analysis, and its use for process optimization and control will now be described. This new method embodiment, called PPW (Probabilistic Process Window), can solve many problems of the prior art methods (geometric process window, etc.) described above. This PPW approach can be used when two or more interacting process variables are varied to determine the impact on one or more output outcomes. In the main example used below, two process variables are discussed. Additionally, the main example below will use two process variables: exposure dose and focus during the process steps of lithographic patterning. However, the PPW approach is generally sufficient to handle more than two process variables handling lithography, etching, deposition, or other processing steps.

일부 구현예들에서, 확률적 프로세스 윈도우들은, 다른 것들 중에서도, 리소그래피 프로세스 내의 연관들의 표시들의 확률들이 사양 요건을 충족하는 곳을 결정하기 위해 측정들에서의 불확도를 고려하는 데 사용된다. 예를 들어, ILM 계측 툴(765) 대신에(또는 그에 추가하여), 시스템(700)은 확률적 프로세스 윈도우 툴(미도시)을 포함할 수 있다. 실험들(DoE)의 2차원(2D) 설계는, 순서적으로 증가하는, 제1 DoE 인자를 나타내는 X값들(가능하게는 불규칙하게 이격됨)의 리스트를 포함할 수 있다. 이 제1 DoE 인자는 일부 출력 또는 출력들에 대한 그 영향을 결정하기 위해 의도적으로 변경된 프로세스 변수일 것이다. 2D DoE는 또한, 증가하는 제2 DoE 인자를 나타내는 Y값들(가능하게는 불규칙하게 이격됨)의 리스트를 포함할 수 있다. 이 제2 DoE 인자는 또한 그 출력 또는 출력들에 대한 그 영향을 결정하기 위해 의도적으로 변경된 프로세스 변수일 것이다.In some implementations, probabilistic process windows are used, among other things, to account for uncertainty in measurements to determine where the probabilities of representations of associations within a lithography process meet specification requirements. For example, instead of (or in addition to) ILM metrology tool 765, system 700 may include a probabilistic process window tool (not shown). The two-dimensional (2D) design of experiments (DoE) may include an sequentially increasing list of X values (possibly randomly spaced) representing a first DoE factor. This first DoE factor may be a process variable that has been intentionally changed to determine its effect on some output or outputs. The 2D DoE may also include a list of Y values (possibly randomly spaced) representing increasing second DoE factors. This second DoE factor may also be a process variable that has been intentionally changed to determine its effect on the output or outputs.

2D DoE는 또한 일부 프로세스 응답 또는 출력에 적용되는 사양들의 세트 s(최소 및/또는 최대 허용 가능한 값을 갖는)를 포함할 수 있다. 예를 들어, 리소그래피 프로세스에서, 하나의 출력은 인쇄된 피처의 임계 치수(CD)일 수 있다. 해당 출력에 대한 사양은 해당 출력에 대한 최소 허용가능 값 및/또는 해당 출력에 대한 최대 허용가능 값을 설명할 것이다. 일 예로서, CD의 사양은 타겟 (원하는) 값 +/- 10%일 수 있다. 20 nm의 CD의 타겟 값에 대해, 사양(각각 최소값 및 최대값)은 18 nm 및 22 nm로 설정될 수 있다.The 2D DoE may also include a set of specifications s (with minimum and/or maximum allowable values) that apply to some process response or output. For example, in a lithography process, one output may be the critical dimension (CD) of the printed feature. The specification for that output will describe the minimum allowable value for that output and/or the maximum allowable value for that output. As an example, the specification of the CD may be +/- 10% of the target (desired) value. For a target value of CD of 20 nm, the specifications (minimum and maximum, respectively) can be set to 18 nm and 22 nm.

일부 포인트들 에 대해, 2D DoE는 또한 z-값(측정 결과) 및 각각의 사양 에 대한 그 z-값에 대응하는 표준 오차(측정 불확실성의 표현)를 포함할 수 있다. 즉, 측정 데이터는 2개의 3차원 어레이에 의해 표현될 수 있는데, 하나는 z-값에 대한 것이고 하나는 표준 오차에 대한 것이며, 로 색인된다. 일부 포인트 가 사양내에 있을 확률을 결정하기 위해, 확률적 프로세스 윈도우를 생성하기 위해 프로세스가 사용될 수 있다. 일부 구현예들에서, 프로세스는 2개의 주요 단계들을 포함할 수 있다. 제1 단계에서, 각각의 주어진 z-값이 실제로 사양 s에 있을 확률은 아래에 추가로 설명되는 바와 같이 해당 포인트에 대한 표준 오차(또는 불확도의 다른 측정치)를 사용하여 결정된다. 제2 단계에서, 제1 단계에서 생성된 필드에 걸쳐 일부 테스트 포인트들(x, y 값들)에 중심을 둔 2개의 변수를 갖는(bivariate) 확률 분포는, 아래에서 추가로 설명되는 바와 같이, x 및 y의 일부 에러들이 주어지면, 테스트 포인트가 사양 내에 있을 확률을 결정하기 위해 적용된다.some points For , 2D DoE also provides z-value (measurement result) and respective specifications may include the standard error (an expression of measurement uncertainty) corresponding to that z-value for . That is, measurement data can be represented by two three-dimensional arrays, one for z-values and one for standard errors. It is indexed with some points A process can be used to create a probabilistic process window to determine the probability that is within specifications. In some implementations, the process may include two main steps. In the first step, the probability that each given z-value is actually within specification s is determined using the standard error (or other measure of uncertainty) for that point, as explained further below. In the second stage, a bivariate probability distribution centered at some test points (x, y values) over the field generated in the first stage is x and y are applied to determine the probability that a test point is within specification, given some errors in y.

PPW 결정의 실험 부분은 매트릭스에서 2개 이상의 프로세스 변수를 변화시킨다. 노광 선량 및 초점(exposure dose and focus)와 같은 2개의 프로세스 변수(여기서, x-입력 및 y-입력이라 함)를 고려한다. 노광 선량 및 초점 각각은 일부 범위에 걸쳐, 예를 들어, 선량에서 일정한 단계 크기 및 초점에서 일정한 단계 크기를 사용하여 변화된다. 선량 및 초점의 변수 단계 크기가 또한 사용될 수 있다. 패턴은 하나 이상의 웨이퍼 상에 입력 값들의 이러한 2차원 매트릭스를 사용하여 인쇄된다. 그런 다음, 이들 웨이퍼들은 하나 이상의 측정 출력들 (여기서, z-출력들로 지칭됨)을 결정하기 위해 측정된다. 예를 들어, CD, 비편향된 거칠기, 결함도, 국부 CDU, 패턴 형상 또는 충실도의 메트릭들, 또는 프로세스의 출력의 다른 메트릭들이 측정될 수 있다. The experimental part of the PPW determination varies two or more process variables in the matrix. Two process variables (here referred to as x-input and y-input) are considered: exposure dose and focus. The exposure dose and focus are each varied over some range, for example, using a constant step size at the dose and a constant step size at the focus. Variable step sizes of dose and focus can also be used. A pattern is printed using this two-dimensional matrix of input values on one or more wafers. These wafers are then measured to determine one or more measurement outputs (herein referred to as z-outputs). For example, metrics of CD, unbiased roughness, defectivity, local CDU, pattern shape or fidelity, or other metrics of the output of the process may be measured.

대부분의 경우들에서, CD와 같은 출력의 측정치는 해당 출력에 대한 측정 불확도의 추정치를 포함한다. 예를 들어, 웨이퍼의 단일 SEM 이미지는, 예를 들어, 20개의 라인 및 공간을 포함할 수 있다. 그러한 경우에, 측정치 출력으로서, 원하는 출력으로서 평균 CD, 즉 이미지 상의 각각의 개별 라인의 CD들의 평균 값을 생성하는 것이 일반적이다. 평균의 표준 오차는 그 평균 CD의 측정 불확도의 추정치로서 사용될 수 있다. 통계의 분야에서 알려진 바와 같이, 평균의 불확도의 다른 메트릭들이 또한 사용될 수 있다. 때때로 표준 오차의 배수(예를 들어, 2배)가 불확도의 추정치로서 사용된다. 총 측정 불확도의 다른 추정치들이 또한 사용될 수 있다.In most cases, measurements of an output, such as CD, include an estimate of the measurement uncertainty for that output. For example, a single SEM image of a wafer may contain, for example, 20 lines and spaces. In such cases, it is common to produce as measured output the desired output the average CD, i.e. the average value of the CDs of each individual line on the image. The standard error of the mean can be used as an estimate of the measurement uncertainty of that mean CD. As is known in the field of statistics, other metrics of uncertainty of the mean may also be used. Sometimes multiples of the standard error (e.g., 2) are used as estimates of uncertainty. Other estimates of total measurement uncertainty may also be used.

때때로, 다양한 이유들로, 입력 값들의 함수로서 출력들의 어레이 내의 하나 이상의 데이터 포인트들이 누락될 수 있다. 따라서, PPW 결정의 제1 단계 이전에, 누락 데이터 포인트들은 예를 들어, 보간에 의해 채워질 수 있다(그들의 값들은 근사화된다). 예를 들어, 하기 표 5 및 표 6은 데이터 포인트가 누락된 측정값이다. 누락 데이터 포인트들을 처리하기 위한 다른 방법들이 또한 이용될 수 있다.Sometimes, for various reasons, one or more data points in the array of outputs as a function of input values may be missing. Therefore, before the first step of PPW determination, missing data points can be filled (their values are approximated), for example by interpolation. For example, Tables 5 and 6 below are measurements with missing data points. Other methods for handling missing data points may also be used.

표 5Table 5

평균 선 CD(사양 1).Mean line CD (Specification 1).

표 6Table 6

비편향된 LER 평균(사양 2).Unbiased LER average (Specification 2).

예를 들어 보간에 의해 결정될 수 있는 만큼 많은 값이 채워진 각 사양에 대한 그리드(gird)로, 프로세스의 제1 단계에서 출력 값이 해당 사양을 충족하는지(즉, 값이 "사양 내(within specifications)"인지 또는 "사양 내(in spec)"인지)가 결정된다. 프로세스의 제1 단계의 제1 부분에서, 각각의 측정된 출력(평균 z-값) 및 해당 출력에 대한 불확도(예컨대, σz, 평균 z-값의 표준 오차)에 대해, 그리고 각각의 사양 s에 대해, 출력이 실제로 사양 내에 있을 확률이 결정된다. 예를 들어, 출력의 분포가 정규(즉, 가우시안) 분포를 따른다고 가정할 수 있다. 다른 확률 분포도 가능하다. 출력이 실제로 사양 내에 있을 확률의 계산이 이제 설명될 것이다.A grid for each specification populated with as many values as can be determined, for example, by interpolation, to ensure that the output values in the first step of the process meet that specification (i.e., that the values are "within specifications"). “Awareness” or “in spec”) is determined. In the first part of the first step of the process, for each measured output (mean z-value) and the uncertainty for that output (e.g. σ z , standard error of the mean z-value), and for each specification s For , the probability that the output is actually within specification is determined. For example, we can assume that the distribution of the output follows a normal (i.e. Gaussian) distribution. Other probability distributions are also possible. The calculation of the probability that the output is actually within specification will now be explained.

평균 출력값 z, 해당 평균의 표준 오차 σz 및 사양 s (최소 및 최대 사양 값, 예를 들어, smin 및 smax)이 주어지면, 측정된 평균값 z이 사양 내에 있을 확률을 결정하기를 원한다. 예를 들어, 평균값 z에 대한 가우시안 분포를 가정하면, 정규 곡선 아래의 면적은 z의 참값(true value)이 [smin,smax]인 확률을 결정하기 위해 smin로부터 smax까지 μ=z 및 σ=σz 로 계산될 수 있다. 예시적인 정규 분포 누적 분포 함수(CDF : cumulative distribution function)는 아래의 수학식 11에 주어진다:Given a mean output z, the standard error of that mean σ z , and a specification s (minimum and maximum specification values, e.g. s min and s max ), we want to determine the probability that the measured mean value z is within the specification. For example, assuming a Gaussian distribution for the mean value z, the area under the normal curve is μ=z from s min to s max to determine the probability that the true value of z is [s min , s max ]. and σ=σ z . An exemplary normal distribution cumulative distribution function (CDF) is given in Equation 11 below:

(11) (11)

여기서, erf는 잘 알려진 에러 함수이다. 에러 함수(Error Function)의 정의는 아래의 수학식 12에 주어진다 : Here, erf is a well-known error function. The definition of the error function is given in Equation 12 below:

(12) (12)

z 값이 사양(spec)에 있을 확률은 아래의 수학식 13을 이용하여 결정될 수 있다:The probability that a z value is in spec can be determined using Equation 13 below:

(13) (13)

최대 사양 값이 없으면, 제1 항은 1과 같다. 또한, 최소 사양 값이 없으면 제2 항은 0과 같다. 도 32는 확률 밀도 커브 아래의 측정값이 사양 내에 있을 확률을 적절한 면적으로 해석하는 예를 도시한다.If there is no maximum specification value, the first term is equal to 1. Additionally, if there is no minimum specification value, the second term is equal to 0. Figure 32 shows an example of interpreting the probability that a measurement value under the probability density curve is within specification as an appropriate area.

계측 불확도를 고려하지 않고, 전통적인 프로세스 윈도우 접근법에서, 출력 값은 사양 내에 있거나 그렇지 않다. 즉, 1의 확률은 사양 내(in-spec) 출력에 유효하게 기인하고, 0의 확률은 사양을 벗어난(out-of-spec) 출력에 유효하게 기인한다.Without considering measurement uncertainty, in a traditional process window approach, the output value is either within specification or not. That is, a probability of 1 is validly attributed to an in-spec output, and a probability of 0 is validly attributed to an out-of-spec output.

제1 단계의 제2 부분에서, 출력이 모든 사양들 내에 있을 확률이 결정된다. 제1 단계의 출력은 "확률적 프로세스 윈도우(Probabilistic Process Window)"라 불릴 수 있다. 로 주어지면, 각각의 포인트(입력 값의 쌍)(x,y)에 대해, 각각의 포인트가 모든 사양 내에 있을 확률이 결정된다. 예를 들어, 각각의 P가 독립적이라는 가정에 기초하여(즉, 하나의 출력 응답에 대한 측정 불확도가 제2 출력 응답의 측정 불확도와는 독립적임), 모든 사양들에 대한 모든 확률들은 아래의 수학식 14에 주어진 바와 같이 함께 곱해진다:In the second part of the first step, the probability that the output is within all specifications is determined. The output of the first stage may be called a “Probabilistic Process Window”. Given , for each point (pair of input values) (x,y), the probability that each point is within all specifications is determined. For example, based on the assumption that each P is independent (i.e., the measurement uncertainty for one output response is independent of the measurement uncertainty of the second output response), all probabilities for all specifications can be calculated using the equation below: are multiplied together as given in equation 14:

(14) (14)

다음으로 사양내 |s| 확률 그리드로 시직한 후, 제1 단계의 마지막 결과는 사양내 확률의 1 그리드이다. 일부 구현예들에서, 시스템(700)의 프로세서(755)는 사용자 인터페이스 상에(예를 들어, 출력 디바이스(770) 상에) 디스플레이된 그래프의 하나 이상의 그래픽 엘리먼트들로서 복수의 확률들을 제시하도록 구성된다. 예를 들어, 도 33은 제1 단계의 최종 결과를 나타내는 히트맵의 예이다. 도 33에 예시된 히트맵에 포함된 등고선들은 전통적인(기하학적) 프로세스 윈도우를 나타내고, 비교 목적들을 위해 도시된다. 3차원 최종 결과 히트맵(heat-map)의 대안 도면이 도 34에 예시된다. Next, within the specification |s| After starting with the probability grid, the final result of the first step is 1 grid of probabilities within specification. In some implementations, processor 755 of system 700 is configured to present a plurality of probabilities as one or more graphical elements of a graph displayed on a user interface (e.g., on output device 770). . For example, Figure 33 is an example of a heatmap showing the final result of the first step. The contour lines included in the heatmap illustrated in Figure 33 represent a traditional (geometric) process window and are shown for comparison purposes. An alternative view of the three-dimensional final result heat-map is illustrated in Figure 34.

프로세스의 제2 단계에서, 제1 단계로부터의 확률들의 그리드를 사용하여, 입력 값들의 2개의 변수를 사용하는 확률 분포가 일부 평균 μxy및 일부 에러 σxy를 사용하여 그리드에 적용된다. 평균 μxy 은 프로세스 설정점, 즉 프로세스에 의해 사용된 입력 변수 x 및 y의 공칭 값을 나타낸다. 에러들 σxy 은, 스캐너 필드에 걸친, 칩에 걸친, 웨이퍼에 걸친, 또는 웨이퍼로부터 웨이퍼로의 변동들과 같은, 프로세스에 존재하는 입력 변수들의 변동들을 나타낸다. 종종, 2개의 입력 변수들 x 및 y(초점 및 노광 선량(dose)과 같은)는 2개의 변수를 사용하는 확률 분포를 생성하기 위한 목적들을 위한 독립 변수들로서 고려될 수 있지만, 이는 필요 요건이 아니다. 일부 평균 μxy 과 일부 에러 σxy를 사용하는 2개의 변수를 사용하는 분포는 두 입력 변수 x와 y가 특정 값을 가질 확률 밀도를 나타낸다.In the second step of the process, using a grid of probabilities from the first step, a probability distribution using two variables of input values is gridded with some mean μ x , μ y and some error σ x , σ y Applies to. The average μ x , μ y represents the process set point, i.e. the nominal values of the input variables x and y used by the process. Errors σ Often, two input variables x and y (such as focus and exposure dose) can be considered independent variables for purposes of generating a probability distribution using two variables, but this is not a requirement. . A distribution with two variables, with some mean μ

제2 단계의 제1 부분에서, 포인트들 사이의 각각의 그리드 셀에 대해, 일부 에러 σxy 를 갖는 일부 평균 μxy 에서 2개의 변수를 사용하는 분포 중심 아래의 체적이 결정된다. 다음으로, 결정된 체적은 프로세스의 제1 단계에서 생성된 현재 그리드 셀의 코너 포인트들의 확률들에 피팅되는 함수의 출력에 의해 가중된다. 예를 들어, 가우시안 분포(Gaussian distribution)를 이용하여, 상기 체적은 하기의 수학식 14를 이용하여 결정될 수 있다:In the first part of the second step, for each grid cell between the points, the volume under the center of the distribution using two variables at some mean μ x , μ y with some error σ x , σ y is determined. do. Next, the determined volume is weighted by the output of a function that is fit to the probabilities of the corner points of the current grid cell generated in the first step of the process. For example, using a Gaussian distribution, the volume can be determined using equation 14:

(14) (14)

다음으로, 그리드 내의 모든 값들은 σxy를 갖는 이 평균 μxy 에 대한 전체 확률을 결정하기 위해 합산된다. 예를 들어, 그리드 내의 모든 값들은 아래의 수학식 15를 사용하여 합산될 수 있다:Next, all values in the grid are summed to determine the overall probability for this mean μ x , μ y with σ x , σ y . For example, all values in a grid can be summed using equation 15 below:

(15) (15)

제2 단계의 제2 부분에서, 제2 단계의 제1 부분은 해당 포인트를 중심으로 하는 평균을 갖는 사양내(in-spec) 확률을 결정하기 위해 μxy의 상이한 값들에 대해 반복된다. 예를 들어, 도 33 및 34에 도시된 제1 단계로부터의 원래 그리드를 사용하여, 각각의 μxy 값이 결정되지만, 그러나 μxy 가 원래의 입력 데이터 그리드의 값들로 설정되는 것이 필요 요건은 아니다. 도 35는 반복된 제2 단계의 제1 부분의 예를 도시하는 |X|×|Y|차트이다. 도 35에 예시된 각각의 정사각형은 해당 포인트에 중심을 둔 2개의 변수를 사용하는 가우시안(bivariate Gaussian)을 나타낸다. 도 35에 도시된 차트의 대안적인 차트 도면이 도 36에 도시된다. In the second part of the second step, the first part of the second step is repeated for different values of μ x , μ y to determine the in-spec probability with the mean centered on that point. . For example, using the original grid from the first step shown in Figures 33 and 34, each μ x , μ y value is determined, but μ x , μ y is set to the values of the original input data grid. Being is not a necessary requirement. Figure 35 is an |X| Each square illustrated in Figure 35 represents a bivariate Gaussian using two variables centered at that point. An alternative chart diagram to the chart shown in FIG. 35 is shown in FIG. 36.

프로세스의 제3 단계에서, 표준 비선형 최적화 알고리즘(예를 들어, 넬더-미드(Nelder-Mead) 또는 가우스-뉴턴(Gauss-Newton))이 어떤 에러 σxy에 대해 가장 높은 확률을 갖는 μxy 를 결정하는데 사용된다. 이는 입력 변수 x 및 y에 대한 프로세스 설정에 대한 "최상의" 값을 나타낸다. 여기서 "최상(best)"은 프로세스에 내장된 에러 σxy가 있는 경우 사양 내 기능의 가장 높은 분율을 생성하는 프로세스 설정(값 μxy)을 의미할 것이다. FEM 프로세스 윈도우의 경우, 이것은 최상의 초점 및 최상의 노광일 것이다. 이 초점과 노광 선량을 사용하도록 리소그래피 툴을 설정하는 것은 최상의 인쇄 결과들을 생성할 수 있다.In the third step of the process, a standard nonlinear optimization algorithm (e.g., Nelder-Mead or Gauss-Newton) determines μ with the highest probability for any error σ x , σ y . It is used to determine x and μ y . This represents the "best" value for the process settings for the input variables x and y. Here, “best” will mean the process setting (value μ x , μ y ) that produces the highest fraction of functionality within specification given the errors σ For the FEM process window, this will be the best focus and best exposure. Setting the lithography tool to use this focus and exposure dose can produce the best printing results.

프로세스의 제4 단계에서, σy 대 σx 커브가 생성된다. σx의 단계 크기가 선택된다. σx가 증분됨에 따라 σy 가 조정되고 제3 단계의 검색 방법이 반복적으로 사용되어 σy 에 대한 최상의 값을 결정한다(여기서 '최상의 값'은 예를 들어, 일부 컷오프를 초과하는 확률이 가장 낮은 점을 나타낸다 예를 들어, 99.73%). 컷오프 기준을 충족하지 않으면 σy의 증분이 중지된다. 결정된 포인트들은 σy 대 σx 커브를 생성하도록 플롯팅된다. 일부 구현예에서,σx 는 초점 심도(depth of focus)이고 σy는 노광 관용도(exposure latitude)이다. 도 37은 σy 대 σx 커브의 예이다. 전통적인 프로세스 윈도우 분석은 기하학적으로 프로세스 윈도우 내부에 맞는 가장 큰 직사각형 또는 타원을 찾는 것에 기초할 수 있다. 유사하지만 더 엄격한 곡선들은 상기에서 설명된 단계들을 사용하여 확률적 프로세스 윈도우(Probabilistic Process Window)를 사용하여 생성될 수 있다.In the fourth step of the process, a σ y versus σ x curve is generated. A step size of σ x is chosen. As σ _ indicates a low point (e.g. 99.73%). The increment of σ y is stopped if the cutoff criterion is not met. The determined points are plotted to produce a σ y versus σ x curve. In some implementations, σ x is depth of focus and σ y is exposure latitude. Figure 37 is an example of a σ y versus σ x curve. Traditional process window analysis can be based on finding the largest rectangle or ellipse that geometrically fits inside the process window. Similar but more stringent curves can be generated using a Probabilistic Process Window using the steps described above.

일단 특정 사양들을 갖는 특정 데이터세트에 대해 확률적 프로세스 윈도우가 결정되면, 확률적 프로세스 윈도우는, 둘 이상의 입력 프로세스 변수들이 동일하고 유사한 경계들을 갖는 한, 임의의 수의 다른 확률적 프로세스 윈도우들과 조합될 수 있다. 다른 확률적 프로세스 윈도우들은 확률들의 단위가 없는(unit-less) 특성으로 인해, 상이한 기본 출력 값들, 피처 유형들, 및 사양들을 가질 수 있고 여전히 조합될 수 있다. 확률적 프로세스 윈도우들을 조합하기 위해, 각각의 테스트 포인트가 평가될 수 있고, 결과적인 확률들은 확률적 프로세스 윈도우들에 걸쳐 조합된 확률을 결정하기 위해 함께 곱해진다.Once a stochastic process window is determined for a particular dataset with certain specifications, the stochastic process window can be combined with any number of other stochastic process windows, as long as two or more input process variables are identical and have similar bounds. It can be. Different stochastic process windows may have different default output values, feature types, and specifications and still be combined, due to the unit-less nature of probabilities. To combine the stochastic process windows, each test point can be evaluated and the resulting probabilities are multiplied together to determine the combined probability across the stochastic process windows.

이제 도 38을 참조하면, 예시적인 방법(3800)이 측정 불확도를 설명하는 확률적 프로세스 윈도우를 생성하기 위해 제공된다. 방법(3800)은 시작하고(블록 3802) 그래프의 제1 축 상에 표시된 제1 변수를 선택한다(3804). 다음으로, 방법(3800)은 그래프의 제2 축 상에 표시된 제2 변수를 선택하는 단계로 진행한다(블록 3806). 일부 구현예들에서, 제1 변수 및 제2 변수는 확률적 프로세스 윈도우와 연관된다. 일부 구현예들에서, 제1 변수는 노광 선량을 포함하고, 제2 변수는 주사 리소그래피 프로세스의 초점을 포함한다. 대안적인 구현예들에서, 제1 변수는 에칭 시간을 포함하고, 제2 변수는 웨이퍼 온도를 포함한다. 프로세스 변수들의 많은 다른 조합들이 가능하다.Referring now to FIG. 38, an example method 3800 is provided for generating a probabilistic process window that accounts for measurement uncertainty. The method 3800 begins (block 3802) and selects (3804) a first variable displayed on the first axis of the graph. Next, the method 3800 proceeds to select a second variable displayed on the second axis of the graph (block 3806). In some implementations, the first variable and the second variable are associated with a stochastic process window. In some implementations, the first variable includes the exposure dose and the second variable includes the focus of the scanning lithography process. In alternative implementations, the first variable includes etch time and the second variable includes wafer temperature. Many different combinations of process variables are possible.

다음으로, 방법(3800)은 제1 변수 및 제2 변수의 함수인 적어도 하나의 응답 변수(또는 출력 응답 변수)를 선택하도록 진행한다(블록 3808). 이러한 출력 응답 변수들은 제1 및 제2 변수들의 함수로서 측정된다. 각각의 출력 응답 변수는 제1 및 제2 변수들의 함수로서 그래프화될 수 있다. 각각의 출력 응답 변수에 대해, 사양들이 수용 가능한 것으로 간주되는 출력 응답의 값들에 대해 설정된다. Next, the method 3800 proceeds to select at least one response variable (or output response variable) that is a function of the first variable and the second variable (block 3808). These output response variables are measured as a function of first and second variables. Each output response variable can be graphed as a function of first and second variables. For each output response variable, specifications are set for values of the output response that are considered acceptable.

다음으로, 방법(3800)은 출력 응답 변수들 각각에 대한 측정 불확도를 결정하도록 진행한다(블록 3810). 다음으로, 방법(3800)은 하나 이상의 출력 응답 변수들의 측정치 및 적어도 하나의 출력 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 출력 응답 변수에 대한 사양 요건을 충족시키는지의 복수의 표시들을 나타내는 복수의 확률들을 결정하도록 진행한다(블록 3812). 복수의 확률들은 프로세스 윈도우를 나타낸다. 다음으로, 방법(3800)은 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하도록 진행한다. Next, the method 3800 proceeds to determine the measurement uncertainty for each of the output response variables (block 3810). Next, method 3800 determines, based on the measurements of one or more output response variables and the measurement uncertainty for at least one output response variable, that a plurality of points associated with the lithography process meet the specification requirements for each output response variable. Proceed to determine a plurality of probabilities representing the plurality of indications (block 3812). A plurality of probabilities represent a process window. Next, method 3800 proceeds to configure a lithography tool for manufacturing a semiconductor device, based on the process window.

일부 구현예에서, 제3 입력 변수가 선택된다. 일부 구현예에서, 제3 변수는 온도이다. 또한, 제1 및 제2 변수들의 변동들과 조합된 제3 변수의 함수로서 출력 응답의 측정에 기초하여, 리소그래피 프로세스와 연관된 제2 복수의 포인트들이 사양 요건을 충족시키는지의 여부의 제2 복수의 표시들을 표현하는 제2 복수의 확률들이 결정된다. 제2 복수의 확률들은 제2 프로세스 윈도우를 나타낸다. In some implementations, a third input variable is selected. In some implementations, the third variable is temperature. Additionally, a second plurality of points associated with the lithographic process meet specification requirements based on a measurement of the output response as a function of a third variable combined with variations of the first and second variables. A second plurality of probabilities for expressing the indications are determined. The second plurality of probabilities represent a second process window.

복수의 확률들은 반도체 디바이스를 제조하는 원하는 비용 최적화를 달성하기 위해 수정될 수 있다. 예를 들어, 일부 구현예들에서, 사용자가 실시간으로 또는 거의 실시간으로 복수의 확률들을 수정하기 위해 제1 및/또는 제2 변수를 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트들이 제시된다. 실시간성은 2초 이하를 의미할 수 있다. 거의 실시간은 2명의 개인이 그러한 사용자 인터페이스를 통해 대화에 참여할 수 있도록 충분히 짧은 시간의 임의의 상호 작용을 지칭할 수 있고, 일반적으로 10초 미만(또는 2개의 상이한 시간들 사이의 임의의 적합한 근접 차이)이지만 2초 초과일 것이다.The plurality of probabilities can be modified to achieve the desired cost optimization of manufacturing a semiconductor device. For example, in some implementations, one or more graphical elements are presented configured to allow a user to change a first and/or second variable to modify a plurality of probabilities in real time or near real time. Real-time can mean less than 2 seconds. Near real-time may refer to any interaction of sufficiently brief duration to allow two individuals to engage in conversation through such user interface, typically less than 10 seconds (or any suitable proximity difference between two different times). ), but it will be over 2 seconds.

일부 실시예들에서, 프로세스 범위 변동들은 제1 및 제2 변수들에 대해 선택된다. 제1 및 제2 변수들 각각에 대한 설정 및 프로세스 범위가 주어지면 사양 요건을 충족하는 피처들의 분율(fraction)이 결정된다. 사양 요건을 충족하는 피처들의 일부에 기초하여, 사양을 충족하는 피처들의 최대 분율을 생성하는 제1 및 제2 변수들의 설정들에 대한 결정이 이루어질 수 있다.In some embodiments, process range variations are selected for the first and second variables. Given the settings and process range for each of the first and second variables, the fraction of features that meet the specification requirements is determined. Based on the fraction of features that meet the specification requirements, a determination may be made regarding settings of the first and second variables that produce the maximum fraction of features that meet the specification.

16. 확률적 프로세스 윈도우을 사용하여 프로세스 제어16. Process control using stochastic process windows

초점 및 선량을 제어하는 것은 반도체 제조 동안 인쇄된 패턴의 임계 치수를 제어로 유지하는 중요한 부분이다. 초점 및 선량을 제어하는 방법을 이해하는 제1 단계는, 상기에서 논의된 바와 같이, 초점-노광 프로세스 윈도우를 사용하여 변동들에 대한 임계 피처들의 응답을 특성화하는 것이다. CD(및 다른 출력 응답들) 대 초점 및 선량 데이터의 적절한 분석은 프로세스 윈도우의 계산, 노광 관용도-DOF 플롯을 생성하기 위한 프로세스 윈도우 크기의 측정, 및 초점 심도에 대한 단일 값의 결정을 허용한다. 상기에서 논의된 바와 같이, 최상의 분석 방법은 확률적 프로세스 윈도우를 사용한다.Controlling focus and dose is an important part of keeping the critical dimensions of the printed pattern under control during semiconductor manufacturing. The first step in understanding how to control focus and dose is to characterize the response of critical features to variations using the focus-exposure process window, as discussed above. Proper analysis of CD (and other output responses) versus focus and dose data allows calculation of the process window, measurement of the process window size to generate exposure latitude-DOF plots, and determination of a single value for depth of focus. . As discussed above, the best analysis methods use stochastic process windows.

최상의 초점 및 선량은 또한 PPW에 기초하여 초점 및 선량 에러들에 대한 허용성을 최대화하는 프로세스 설정들로서 이 분석을 이용하여 결정된다. 일단 최상의 초점 및 선량이 결정되면, 다음 목표는 생산 웨이퍼들이 리소그래피 셀을 통과할 때 이 최상의 초점 및 선량 조건에서 프로세스가 중심에 있도록 하는것이다. 리소그래피에서 발생하는 거의 모든 에러가 유효 선량 에러(effective dose error) 또는 유효 초점 에러(effective focus error)와 같이 작용하기 때문에, 적절한 간격 후에 선량 및 초점을 적절히 조정하는 것은 훨씬 더 엄격한 패터닝 제어를 제공할 수 있다. 결국, 개선된 패터닝 제어는 더 높은 제조 수율들 및 제조되는 디바이스들의 더 양호한 성능으로 이어질 수 있다.The best focus and dose are also determined using this analysis as process settings that maximize tolerance for focus and dose errors based on PPW. Once the best focus and dose are determined, the next goal is to ensure that the process is centered on these best focus and dose conditions as the production wafers pass through the lithography cell. Since almost all errors that occur in lithography act as effective dose error or effective focus error, adjusting the dose and focus appropriately after an appropriate interval will provide much tighter patterning control. You can. Ultimately, improved patterning control can lead to higher manufacturing yields and better performance of the devices being manufactured.

종종, 확률적 프로세스 윈도우 분석에 사용될 수 있는 다른 프로세스 변수뿐만 아니라, 변수 선량 및 초점은 잘 알려진 APC(Advanced Process Control) 방법론을 사용하여 모니터링 및 제어된다. 이러한 APC 방법들은 프로세스 툴들을 제어하기 위한 피드-포워드(feed-forward) 및 피드백 루프들을 포함한다. 이러한 프로세스 제어 방법은 기존의 프로세스 윈도우 분석을 상술된 더욱 엄격하고 정확한 PPW 분석으로 대체함으로써 강화될 것이다.Often, the variables dose and focus, as well as other process variables that can be used in stochastic process window analysis, are monitored and controlled using the well-known Advanced Process Control (APC) methodology. These APC methods include feed-forward and feedback loops to control process tools. This process control method will be enhanced by replacing the traditional process window analysis with the more rigorous and accurate PPW analysis described above.

프로세스 윈도우 분석은 또한 웨이퍼 배치 목적들을 위해 사용될 수 있다. 웨이퍼 배치는 프로세스 윈도우 분석의 출력을 취하고, 프로세스 윈도우에 의해 표현되는 웨이퍼들이 후속 프로세스들로 진행되어야 하는지, 또는 예상된 불량한 인쇄된 패턴들로 인해 거부되어야 하는지를 결정한다. 거부되면, 이 웨이퍼들은 재가공되거나 폐기될 수 있다. 웨이퍼 배치 동안 부정확한 결정들은 매우 비용이 많이 들 수 있거나, 후속 프로세싱을 위해 불량 웨이퍼들을 전달하거나, 또는 양호한 웨이퍼들을 거부할 수 있다. 예를 들어, 상술된 PPW 프로세스를 사용하는 프로세스 윈도우 분석에서의 개선된 정확도는 웨이퍼 배치 정확도를 개선할 수 있다.Process window analysis can also be used for wafer placement purposes. Wafer Placement takes the output of the process window analysis and determines whether the wafers represented by the process window should proceed to subsequent processes or be rejected due to expected poor printed patterns. If rejected, these wafers can be reprocessed or discarded. Inaccurate decisions during wafer placement can be very costly, delivering bad wafers for subsequent processing, or rejecting good wafers. For example, improved accuracy in process window analysis using the PPW process described above can improve wafer placement accuracy.

프로세스 제어에 대한 하나의 접근법은 기계 학습을 사용한다. 기계 학습 알고리즘은, 디바이스들의 제조에 사용되는 다수의 프로세스 변수들에 기초하여, 예를 들어, 수율 또는 디바이스 성능과 관련된 예측들을 행하려고 시도할 것이다. 예측 기계 학습 모델은 먼저 측정된 입력들(제조 프로세스의 특정 단계에서의 특정 피처의 CD와 같은 프로세스 변수들 및 중간 결과들)의 함수로서 측정된 출력들(예측될 것들)을 사용하여 트레이닝된다. 기계 학습 알고리즘은 훈련 및 예측 모두에 대해 프로세스 윈도우 정보를 사용할 수 있다. 이와 같이, PPW 접근법의 개선된 정확도 및 정밀도는 개선된 기계 학습 예측들을 초래할 수 있다.One approach to process control uses machine learning. A machine learning algorithm will attempt to make predictions related to, for example, yield or device performance based on a number of process variables used in the manufacture of devices. A predictive machine learning model is first trained using measured outputs (things to be predicted) as a function of measured inputs (process variables and intermediate results, such as the CD of a particular feature at a particular stage of the manufacturing process). Machine learning algorithms can use process window information for both training and prediction. As such, the improved accuracy and precision of the PPW approach can result in improved machine learning predictions.

전술한 구현예들은 에지 거칠기를 측정하기 위해 명목상 평면 패턴 구조들의 탑-다운 이미지들을 참조하지만, 본 개시는 이러한 패턴 구조 기하학적 구조들로 제한되지 않는다. 본 발명을 이용하여 3차원 구조, 비평면 구조, 곡면, 또는 틸트된 구조를 측정할 수 있다. 에지 거칠기 이외에, 표면 거칠기는 본 개시에 기재된 바와 유사한 기술을 사용하여 측정 및 분석될 수 있다.Although the above-described implementations reference top-down images of nominally planar pattern structures to measure edge roughness, the present disclosure is not limited to such pattern structure geometries. The present invention can be used to measure three-dimensional, non-planar, curved, or tilted structures. In addition to edge roughness, surface roughness can be measured and analyzed using techniques similar to those described in this disclosure.

전술한 구현예들이 거칠기의 측정을 참조하지만, 본 개시는 다른 측정들을 행하는 데에도 사용될 수 있다. 예를 들어, 패턴 구조 에지들의 매우 정확한 결정은 피처 폭, 피처 배치, 에지 배치, 및 다른 유사한 측정치들의 측정에 사용될 수 있다. 측정된 피처들의 등고선들은 측정된 디바이스의 성능을 모델링하거나 제어하는 것과 같은 많은 목적들을 위해 사용될 수 있다. 많은 샘플의 측정을 수집하고 통계적으로 평균화함으로써, 훨씬 더 큰 정확도(더 낮은 불확도)가 획득될 수 있다.Although the above-described implementations refer to measurements of roughness, the present disclosure can be used to make other measurements as well. For example, highly accurate determination of pattern structure edges can be used for measurement of feature width, feature placement, edge placement, and other similar measurements. Contours of measured features can be used for many purposes, such as modeling or controlling the performance of the measured device. By collecting measurements from many samples and averaging them statistically, much greater accuracy (lower uncertainty) can be obtained.

상기 개시에 따라, 다음의 항목들에 열거된 시스템들 및 방법들의 예들이 구체적으로 고려되고 비제한적인 예들의 세트로서 의도된다.In accordance with the above disclosure, the examples of systems and methods listed in the following items are considered specifically and are intended as a non-limiting set of examples.

항목 1. 컴퓨터 구현 방법으로서,Item 1. A computer implemented method comprising:

제1 변수를 선택하는 단계;selecting a first variable;

제2 변수를 선택하는 단계;selecting a second variable;

상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하는 단계;selecting at least one response variable that is a function of the first variable and the second variable;

각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정하는 단계;determining measurement uncertainty for each response variable;

상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 - 상기 복수의 확률들은 프로세스 윈도우(process window)를 나타냄 -; 및A plurality of probabilities representing a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, based on a measurement of the response variable and a measurement uncertainty for the response variable. determining the probabilities, wherein the plurality of probabilities represent a process window; and

상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계를 포함하는, 컴퓨터 구현 방법.Based on the process window, a computer-implemented method comprising configuring a lithography tool for manufacturing a semiconductor device.

항목 2. 제1항에 있어서, 상기 구성하는 단계는 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 단계를 포함하는, 컴퓨터 구현 방법.Item 2. The method of clause 1, wherein the configuring step sets a first operating parameter based on the first variable and sets a second operating parameter based on the second variable. A computer implemented method comprising transmitting.

항목 3. 본 명세서의 임의의 항목에 있어서, 컴퓨팅 디바이스의 사용자 인터페이스 상에 그래프의 그래픽 엘리먼트들로서 복수의 확률들을 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.Item 3. The computer-implemented method of any item herein, further comprising presenting the plurality of probabilities as graphical elements of a graph on a user interface of the computing device.

항목 4. 본 명세서의 임의의 항목에 있어서, 상기 복수의 확률들의 제시는 사용자 인터페이스 상의 히트맵 또는 3D 플롯 또는 등고선 플롯(contour plot)인, 컴퓨터 구현 방법.Item 4. The computer-implemented method of any clause herein, wherein the presentation of the plurality of probabilities is a heatmap or a 3D plot or a contour plot on a user interface.

항목 5. 본 명세서의 임의의 항목에 있어서, 하나 이상의 응답 변수들의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)에 의해 표현되는, 컴퓨터 구현 방법.Item 5. The computer-implemented method of any clause herein, wherein the measurement uncertainty of one or more response variables is expressed by a Gaussian normal probability distribution.

항목 6. 본 명세서의 임의의 항목에 있어서, 상기 제1 및 제2 변수들은 확률적 프로세스 윈도우와 연관되는, 컴퓨터 구현 방법.Clause 6. The computer-implemented method of any clause herein, wherein the first and second variables are associated with a stochastic process window.

항목 7. 본 명세서의 임의의 항목에 있어서, 상기 제1 변수는 노광 선량을 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스의 초점을 포함하는, 컴퓨터 구현 방법.Clause 7. The computer-implemented method of any clause herein, wherein the first variable comprises an exposure dose and the second variable comprises a focus of a scanning lithography process.

항목 8. 본 명세서의 임의의 항목에 있어서, 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 사용자가 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트를 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.Item 8. The clause of any item herein, wherein one is configured to allow a user to change properties of the first variable, the second variable, or both to modify the plurality of probabilities in real time or near real time. A computer-implemented method, further comprising presenting the above graphical elements.

항목 9. 본 명세서의 임의의 항목에 있어서, 사용자가 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 특성들을 변경할 수 있게 하도록 구성되는 하나 이상의 그래픽 엘리먼트들을 제시하는 단계를 더 포함하며, 상기 복수의 확률들을 수정하는 단계는 상기 반도체 디바이스를 제조하는 원하는 비용 최적화와 연관되는, 컴퓨터 구현 방법.Item 9. The method of any item herein, configured to allow a user to change characteristics of the first variable, the second variable, or both to modify the plurality of probabilities in real time or near real time. A computer-implemented method further comprising presenting one or more graphical elements, wherein modifying the plurality of probabilities is associated with a desired cost optimization of manufacturing the semiconductor device.

항목 10. 시스템에 있어서,Item 10. In the system,

리소그래피 툴;lithography tools;

명령어들을 저장하는 메모리 디바이스; 및a memory device that stores instructions; and

상기 메모리 디바이스 및 상기 리소그래피 툴에 결합된 프로세싱 디바이스를 포함하고, - 상기 프로세싱 디바이스는 명령어들을 실행하여,comprising a processing device coupled to the memory device and the lithography tool, wherein the processing device executes instructions,

제1 변수를 선택하고;select a first variable;

제2 변수를 선택하고;select a second variable;

상기 제1 변수와 상기 제2 변수에 종속되는 응답 변수를 선택하고;select a response variable dependent on the first variable and the second variable;

*상기 응답 변수에 대한 측정 불확도를 결정하고;*Determine measurement uncertainty for the response variable;

상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 상기 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및Based on a measurement of the response variable and a measurement uncertainty for the response variable, determine a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, and - The plurality of probabilities represent a process window; and

상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 상기 리소그래피 툴을 구성하는, 시스템.Based on the process window, the system configures the lithography tool to fabricate a semiconductor device.

항목 11. 본 명세서의 임의의 항목에 있어서, 상기 구성하는 것은 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 시스템.Item 11. The method of any item herein, wherein the configuring controls the lithography tool to set a first operating parameter based on the first variable and set a second operating parameter based on the second variable. A system comprising transmitting signals.

항목 12. 본 명세서의 임의의 항목에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률을 상기 그래프의 그래픽 엘리먼트로서 제시하도록 추가로 구성되는, 시스템.Item 12. The system of any item herein, wherein the processing device is further configured to present the plurality of probabilities as a graphical element of the graph on a user interface of the computing device.

항목 13. 본 명세서의 임의의 항목에 있어서, 상기 복수의 확률의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map)인, 시스템.Item 13. The system of any item herein, wherein the presentation of the plurality of probabilities is a heat-map on the user interface.

항목 14. 본 명세서의 임의의 항목에 있어서, 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)인, 시스템.Item 14. The system of any item herein, wherein the measurement uncertainty of the response variable is a Gaussian normal probability distribution.

항목 15. 본 명세서의 임의의 항목에 있어서, 상기 제1 및 제2 변수들은 확률적 프로세스 윈도우와 연관되는, 시스템.Item 15. The system of any item herein, wherein the first and second variables are associated with a stochastic process window.

항목 16. 본 명세서의 임의의 항목에 있어서, 상기 제1 변수는 노광 선량을 포함하고, 상기 제 2 변수는 주사 리소그래피 프로세스의 초점을 포함하는, 시스템.Item 16. The system of any item herein, wherein the first variable comprises an exposure dose and the second variable comprises a focus of a scanning lithography process.

항목 17. 본 명세서의 임의의 항목에 있어서, 상기 프로세싱 디바이스는:Item 17. The method of any item herein, wherein the processing device:

상기 제1 및 제2 변수에 대한 프로세스 범위 변동을 선택하고,select process range variations for the first and second variables;

상기 제1 변수 및 제2 변수 각각에 대한 설정 및 프로세스 범위가 주어지면 상기 사양 요건을 충족하는 피처의 분율을 결정하고,Given settings and process ranges for each of the first and second variables, determine the fraction of features that meet the specification requirements;

사양 요건을 충족하는 피처의 분율에 기초하여 사양을 충족하는 피처의 최대 분율을 생성하는 상기 제1 및 제2 변수의 설정을 결정하도록 추가로 구성된, 시스템.The system further configured to determine settings of the first and second variables that produce a maximum fraction of features that meet a specification based on the fraction of features that meet a specification requirement.

항목 18. 명령어를 저장하는 유형(tangible)의, 비일시적 컴퓨터 판독가능 매체에 있어서, 상기 명령어가 실행될 때, 프로세싱 디바이스가Item 18. A tangible, non-transitory computer-readable medium storing instructions, wherein when the instructions are executed, a processing device

제1 변수를 선택하고;select a first variable;

제2 변수를 선택하고;select a second variable;

상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하고;select at least one response variable that is a function of the first variable and the second variable;

각각의 상기 응답 변수에 대한 측정 불확도를 결정하고;determine measurement uncertainty for each of the response variables;

상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 - 복수의 확률들은 프로세스 윈도우를 나타냄 -; 및Based on a measurement of the response variable and a measurement uncertainty for the response variable, determine a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, and - A plurality of probabilities represent a process window -; and

상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위해 리소그래피 툴을 구성하게 하는, 컴퓨터 판독가능 매체.A computer-readable medium that allows configuring a lithography tool to fabricate a semiconductor device based on the process window.

항목 19. 본 명세서의 임의의 항목에 있어서, 상기 구성하는 것은, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고, 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 컴퓨터 판독가능 매체.Item 19. The method of any item herein, wherein the configuring comprises: setting a first operating parameter based on the first variable, and setting a second operating parameter based on the second variable, to the lithography tool. A computer-readable medium comprising transmitting control signals.

항목 20. 본원의 임의의 항목에 있어서, 상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률들을 상기 그래프의 그래픽 엘리먼트들로서 제시하도록 추가로 구성되는, 컴퓨터 판독가능 매체.Item 20. The computer-readable medium of any clause herein, wherein the processing device is further configured to present the plurality of probabilities as graphical elements of the graph on a user interface of the computing device.

본 명세서에서 사용되는 용어는 단지 특정한 구현예들을 설명하기 위해 사용된 것으로, 본 개시를 제한하는 것으로 의도되지 않는다. 본 명세서에서 사용되는 단수 형태 "a", "an" 및 "the"는 문맥상 명백하게 달리 지시하지 않는 한, 복수의 형태도 포함하는 것으로 의도된다. 본 명세서에서 사용될 때, 용어 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 피처, 정수, 단계, 동작, 엘리먼트 및/또는 컴포넌트의 존재를 특정하지만, 하나 이상의 다른 피처, 정수, 단계, 동작, 엘리먼트, 컴포넌트 및/또는 이들의 그룹의 존재 또는 추가를 배제하지 않는다는 것을 추가로 이해할 것이다.The terminology used herein is only used to describe specific implementations and is not intended to limit the disclosure. As used herein, the singular forms “a”, “an” and “the” are intended to include plural forms as well, unless the context clearly dictates otherwise. As used herein, the terms “comprises” and/or “comprising” specify the presence of a referenced feature, integer, step, operation, element and/or component, but may also include one or more other features. , it will be further understood that it does not exclude the presence or addition of integers, steps, operations, elements, components and/or groups thereof.

전반적인 설명 또는 예들에서 상기 설명된 활동들 모두가 요구되는 것은 아니며, 특정 활동의 일부가 요구되지 않을 수 있으며, 설명된 것들에 추가하여 하나 이상의 추가 활동들이 수행될 수 있다는 것에 유의한다. 또한, 활동들이 나열되는 순서는 반드시 이들이 수행되는 순서는 아니다.Note that not all of the activities described above may be required in the overall description or examples, some of the specific activities may not be required, and one or more additional activities may be performed in addition to those described. Additionally, the order in which activities are listed is not necessarily the order in which they will be performed.

본 특허 문서 전체에 걸쳐 사용되는 특정 단어 및 문구의 정의를 제시하는 것이 유리할 수 있다. 용어 "통신" 뿐만 아니라 그의 파생어들은 직접 및 간접 통신 둘 모두를 포함한다. 용어 "포함한다(include)" 및 "포함한다(comprise)" 뿐만 아니라 이들의 파생어는 제한 없이 포함을 의미한다. 용어 "또는"은 포괄적으로, 및/또는을 의미한다. "연관된"이라는 어구 및 이의 파생어는 포함하다, 내에 포함되다, 상호 연결하다, 함유하다, 내에 함유되다, 연결하다, 결합하다, 통신 가능하다, 협력하다, 끼어들다, 병치하다, 근접하다, 속박되다, 소유하다, 속성을 갖다, 관계를 맺다 등을 의미한다. 어구 "적어도 하나"는, 아이템들의 리스트와 함께 사용될 때, 리스트된 아이템들 중 하나 이상의 상이한 조합들이 사용될 수 있고, 리스트 내의 하나의 아이템만이 필요할 수 있다는 것을 의미한다. 예를 들어, "A, B, 및 C 중 적어도 하나"는 다음의 조합들 중 임의의 것을 포함한다: A, B, C, A 및 B, A 및 C, B 및 C, 및 A 및 B 및 C.It may be advantageous to provide definitions of certain words and phrases used throughout this patent document. The term “communication” as well as its derivatives include both direct and indirect communication. The terms “include” and “comprise” as well as their derivatives mean inclusion without limitation. The term “or” refers generically to and/or. The phrase “associate” and its derivatives include, included within, interconnect, contain, be contained within, connect, combine, communicable, cooperate, intervene, juxtapose, proximate, bind. It means to become, to possess, to have attributes, to form relationships, etc. The phrase “at least one”, when used with a list of items, means that different combinations of one or more of the listed items may be used and that only one item in the list may be needed. For example, “at least one of A, B, and C” includes any of the following combinations: A, B, C, A and B, A and C, B and C, and A and B and C.

본 발명에서의 설명은 임의의 특정 엘리먼트, 단계 또는 기능이 청구 범위에 포함되어야 하는 필수 또는 중요 엘리먼트임을 암시하는 것으로 판독되어서는 안 된다. 특허된 주제의 범위는 허용된 청구항에 의해서만 정의된다. 또한, 청구항들 중 어느 청구항도, 정확한 단어들 "수단" 또는 "단계"가 기능을 식별하는 특정 어구가 후속하는 특정 청구항에서 명시적으로 사용되지 않는 한, 첨부된 청구항들 또는 청구 엘리먼트들 중 임의의 것에 대해 35 U.S.C. § 112(f)를 호출하지 않는다. 청구항 내의 "메커니즘", "모듈", "디바이스", "유닛", "컴포넌트", "엘리먼트", "부재", "장치", "기계", "시스템", "프로세서" 또는 "제어기"와 같은 (그러나 이에 제한되지 않는) 용어들의 사용은 청구항들 자체의 피처들에 의해 추가로 수정되거나 향상된, 관련 기술분야의 숙련자들에게 공지된 구조들을 지칭하는 것으로 이해되고 의도되며, 35 U.S.C. § 112(f)를 호출하도록 의도되지 않는다.The description herein should not be read to imply that any particular element, step or function is essential or critical to be included in the scope of the claims. The scope of patented subject matter is defined solely by the permitted claims. Moreover, no claim shall be permitted in any of the appended claims or claim elements unless the precise words "means" or "step" are specifically used in a subsequent particular claim to identify a function. 35 U.S.C. Do not invoke § 112(f). “mechanism,” “module,” “device,” “unit,” “component,” “element,” “member,” “device,” “machine,” “system,” “processor,” or “controller” in the claims; Use of the same (but not limited) terms is understood and intended to refer to structures known to those skilled in the art, as further modified or improved by the features of the claims themselves, and as defined in 35 U.S.C. § 112(f) is not intended to be invoked.

이점들, 다른 장점들, 및 문제들에 대한 해결책들이 특정 구현예들과 관련하여 상기에서 설명되었다. 그러나, 임의의 이점, 장점들, 또는 해결책이 발생하게 하거나 더 현저해질 수 있는 이점들, 장점들, 문제들에 대한 해결책들, 및 임의의 피처(들)은 청구항들 중 임의의 것 또는 전부의 결정적, 요구적, 또는 본질적 피처로 해석되지 않아야 한다.Advantages, other advantages, and solutions to problems are described above with respect to specific implementations. However, the advantages, advantages, solutions to problems, and any feature(s) that would cause any advantage, advantage, or solution to arise or become more pronounced are not covered by any or all of the claims. It should not be construed as a critical, required, or essential feature.

본 명세서를 읽은 후, 당업자는 명료함을 위해, 별개의 구현예들의 맥락에서 본 명세서에 설명된 특정 피처들이 또한 단일 실시예에서 조합하여 제공될 수 있다는 것을 인식할 것이다. 반대로, 간결함을 위해, 단일 실시예의 맥락에서 설명된 다양한 피처들은 또한 개별적으로 또는 임의의 서브 조합으로 제공될 수 있다. 또한, 범위들로 언급된 값들에 대한 언급들은 그 범위 내의 각각의 그리고 모든 값을 포함한다.After reading this specification, one skilled in the art will recognize that, for clarity, certain features described herein in the context of separate implementations may also be provided in combination in a single embodiment. Conversely, for the sake of brevity, various features described in the context of a single embodiment could also be provided individually or in any sub-combination. Additionally, references to values stated in ranges include each and every value within that range.

이하의 청구항들에서의 모든 수단들 또는 단계 플러스 기능 엘리먼트들의 대응하는 구조들, 재료들, 동작들, 및 등가물들은 구체적으로 청구된 다른 청구된 엘리먼트들과 조합하여 기능을 수행하기 위한 임의의 구조, 재료, 또는 동작을 포함하도록 의도된다. 본 발명의 설명은 예시 및 설명의 목적으로 제시되었지만, 개시된 형태로 본 발명에 한정되거나 포괄적인 것으로 의도되지 않는다. 많은 수정예들 및 변형예들이 본 개시의 범위 및 사상을 벗어나지 않고 당업자에게 명백할 것이다. 구현예들은 본 개시의 원리들 및 실제 애플리케이션을 가장 잘 설명하고, 당업자가 고려되는 특정 용도에 적합한 다양한 수정예들을 갖는 다양한 구현예들에 대해 본 개시를 이해할 수 있게 하기 위해 선택되고 설명되었다.Corresponding structures, materials, operations, and equivalents of all means or steps plus functional elements in the claims below include any structure for performing the function in combination with other specifically claimed elements; It is intended to include materials or actions. The description of the invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those skilled in the art without departing from the scope and spirit of the disclosure. The implementations have been selected and described to best explain the principles and practical application of the disclosure and to enable those skilled in the art to understand the disclosure in various implementations with various modifications suitable for the particular use contemplated.

Claims (20)

컴퓨터 구현 방법으로서,
제1 변수를 선택하는 단계;
제2 변수를 선택하는 단계;
상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수(response variable)를 선택하는 단계;
각각의 응답 변수에 대한 측정 불확도(measurement uncertainty)를 결정하는 단계;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 상기 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건(specification requirement)을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하는 단계 ― 상기 복수의 확률들은 프로세스 윈도우(process window)를 나타냄 ―; 및
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하는 단계
를 포함하는, 컴퓨터 구현 방법.
1. A computer implemented method, comprising:
selecting a first variable;
selecting a second variable;
selecting at least one response variable that is a function of the first variable and the second variable;
determining measurement uncertainty for each response variable;
a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, based on the measurement of the response variable and the measurement uncertainty for the response variable. determining probabilities, the plurality of probabilities representing a process window; and
Based on the process window, configuring a lithography tool for manufacturing a semiconductor device.
Including a computer implemented method.
제1항에 있어서,
상기 구성하는 단계는, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고 그리고 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 단계를 포함하는, 컴퓨터 구현 방법.
According to paragraph 1,
The configuring step includes sending control signals to the lithography tool to set a first operating parameter based on the first variable and set a second operating parameter based on the second variable. How to implement it.
제1항에 있어서,
컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률들을 그래프의 그래픽 엘리먼트들로서 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
According to paragraph 1,
The computer-implemented method further comprising presenting the plurality of probabilities as graphical elements of a graph on a user interface of a computing device.
제3항에 있어서,
상기 복수의 확률들의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map), 3차원 플롯, 또는 등고선 플롯(contour plot)인, 컴퓨터 구현 방법.
According to paragraph 3,
The computer-implemented method of claim 1, wherein the presentation of the plurality of probabilities is a heat-map, three-dimensional plot, or contour plot on the user interface.
제1항에 있어서,
상기 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)로 표현되는, 컴퓨터 구현 방법.
According to paragraph 1,
A computer-implemented method, wherein the measurement uncertainty of the response variable is expressed as a Gaussian normal probability distribution.
제1항에 있어서,
상기 제1 변수는 그래프의 제1 축 상에 표현되고, 상기 제2 변수는 상기 그래프의 제2 축 상에 표현되는, 컴퓨터 구현 방법.
According to paragraph 1,
wherein the first variable is represented on a first axis of the graph and the second variable is represented on a second axis of the graph.
제1항에 있어서, 상기 제1 변수는 노광 선량(exposure dose)을 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스(scanning lithography process)의 초점(focus)을 포함하는, 컴퓨터 구현 방법.The computer-implemented method of claim 1, wherein the first variable includes an exposure dose and the second variable includes a focus of a scanning lithography process. 제1항에 있어서,
실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 사용자가 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성된 하나 이상의 그래픽 엘리먼트들을 제시하는 단계를 더 포함하는, 컴퓨터 구현 방법.
According to paragraph 1,
further comprising presenting one or more graphical elements configured to enable a user to change properties of the first variable, the second variable, or both to modify the plurality of probabilities in real time or near real time. Computer implementation method.
제1항에 있어서,
사용자가 실시간으로 또는 거의 실시간으로 상기 복수의 확률들을 수정하기 위해 상기 제1 변수, 상기 제2 변수, 또는 둘 모두의 속성들을 변경할 수 있게 하도록 구성되는 하나 이상의 그래픽 엘리먼트들을 제시하는 단계를 더 포함하고,
상기 복수의 확률들을 수정하는 단계는 상기 반도체 디바이스를 제조하는 원하는 비용 최적화와 연관되는, 컴퓨터 구현 방법.
According to paragraph 1,
further comprising presenting one or more graphical elements configured to enable a user to change properties of the first variable, the second variable, or both to modify the plurality of probabilities in real time or near real time; ,
Wherein modifying the plurality of probabilities is associated with a desired cost optimization of manufacturing the semiconductor device.
시스템으로서,
리소그래피 툴;
명령어들을 저장하는 메모리 디바이스; 및
상기 메모리 디바이스 및 상기 리소그래피 툴에 결합된 프로세싱 디바이스
를 포함하고,
상기 프로세싱 디바이스는,
제1 변수를 선택하고;
제2 변수를 선택하고;
상기 제1 변수 및 상기 제2 변수에 종속되는 응답 변수를 선택하고;
상기 응답 변수에 대한 측정 불확도를 결정하고;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 ― 상기 복수의 확률들은 프로세스 윈도우를 나타냄 ―; 그리고
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 상기 리소그래피 툴을 구성하는
명령들을 실행하는, 시스템.
As a system,
lithography tools;
a memory device that stores instructions; and
A processing device coupled to the memory device and the lithography tool.
Including,
The processing device is,
select a first variable;
select a second variable;
select response variables dependent on the first variable and the second variable;
determine measurement uncertainty for the response variable;
Based on a measurement of the response variable and a measurement uncertainty for the response variable, determine a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, and - The plurality of probabilities represent a process window; and
Based on the process window, configuring the lithography tool for manufacturing a semiconductor device.
A system that executes commands.
제10항에 있어서,
상기 구성하는 것은, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고 그리고 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 시스템.
According to clause 10,
The configuring includes setting a first operating parameter based on the first variable and sending control signals to the lithography tool to set a second operating parameter based on the second variable.
제10항에 있어서,
상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률들을 그래프의 그래픽 엘리먼트들로서 제시하도록 추가로 구성되는, 시스템.
According to clause 10,
wherein the processing device is further configured to present the plurality of probabilities as graphical elements of a graph on a user interface of the computing device.
제12항에 있어서,
상기 복수의 확률들의 제시는 상기 사용자 인터페이스 상의 히트맵(heat-map)인, 시스템.
According to clause 12,
The system of claim 1, wherein the presentation of the plurality of probabilities is a heat-map on the user interface.
제10항에 있어서, 상기 응답 변수의 측정 불확도는 가우시안 정규 확률 분포(Gaussian normal probability distribution)인, 시스템.11. The system of claim 10, wherein the measurement uncertainty of the response variable is a Gaussian normal probability distribution. 제10항에 있어서,
상기 제1 변수는 그래프의 제1 축 상에 표현되고, 상기 제2 변수는 상기 그래프의 제2 축 상에 표현되는, 시스템.
According to clause 10,
The system of claim 1, wherein the first variable is represented on a first axis of the graph and the second variable is represented on a second axis of the graph.
제10항에 있어서,
상기 제1 변수는 노광 선량(exposure dose)를 포함하고, 상기 제2 변수는 주사 리소그래피 프로세스의 초점(focus)을 포함하는, 시스템.
According to clause 10,
The system of claim 1, wherein the first variable includes an exposure dose and the second variable includes a focus of the scanning lithography process.
제10항에 있어서,
상기 프로세싱 디바이스는,
상기 제1 변수 및 상기 제2 변수에 대한 프로세스 범위 변동을 선택하고,
상기 제1 변수 및 제2 변수 각각에 대한 설정 및 프로세스 범위가 주어진 사양 요건을 충족하는 피처(feature)의 분율(fraction)을 결정하고,
사양 요건을 충족하는 피처의 분율에 기초하여, 사양을 충족하는 피처의 최대 분율을 생성하는 상기 제1 변수 및 상기 제2 변수의 설정들을 결정하도록 추가로 구성되는, 시스템.
According to clause 10,
The processing device is,
select process range variations for the first variable and the second variable;
Determine the fraction of features for which settings and process ranges for each of the first and second variables meet given specification requirements,
The system is further configured to determine, based on the fraction of features that meet specification requirements, settings of the first variable and the second variable that produce a maximum fraction of features that meet a specification.
명령들을 저장하는 유형(tangible)의, 비일시적 컴퓨터 판독가능 매체에 있어서,
상기 명령들은, 실행될 때 프로세싱 디바이스로 하여금:
제1 변수를 선택하고;
제2 변수를 선택하고;
상기 제1 변수 및 상기 제2 변수의 함수인 적어도 하나의 응답 변수를 선택하고;
각각의 응답 변수에 대한 측정 불확도를 결정하고;
상기 응답 변수의 측정치 및 상기 응답 변수에 대한 측정 불확도에 기초하여, 리소그래피 프로세스와 연관된 복수의 포인트들이 각각의 응답 변수에 대한 사양 요건을 충족하는지 여부의 복수의 표시들을 나타내는 복수의 확률들을 결정하고 ― 상기 복수의 확률들은 프로세스 윈도우를 나타냄 ―; 그리고
상기 프로세스 윈도우에 기초하여, 반도체 디바이스를 제조하기 위한 리소그래피 툴을 구성하게 하는, 컴퓨터 판독가능 매체.
A tangible, non-transitory computer-readable medium storing instructions, comprising:
The above instructions, when executed, cause the processing device to:
select a first variable;
select a second variable;
select at least one response variable that is a function of the first variable and the second variable;
Determine the measurement uncertainty for each response variable;
Based on a measurement of the response variable and a measurement uncertainty for the response variable, determine a plurality of probabilities indicating a plurality of indications of whether a plurality of points associated with a lithographic process meet specification requirements for each response variable, and - The plurality of probabilities represent a process window; and
A computer-readable medium that allows configuring a lithography tool for manufacturing a semiconductor device based on the process window.
제18항에 있어서,
상기 구성하는 것은, 상기 제1 변수에 기초하여 제1 동작 파라미터를 설정하고 그리고 상기 제2 변수에 기초하여 제2 동작 파라미터를 설정하도록 상기 리소그래피 툴에 제어 신호들을 송신하는 것을 포함하는, 컴퓨터 판독가능 매체.
According to clause 18,
wherein configuring includes sending control signals to the lithography tool to set a first operating parameter based on the first variable and set a second operating parameter based on the second variable. media.
제18항에 있어서,
상기 프로세싱 디바이스는 컴퓨팅 디바이스의 사용자 인터페이스 상에 상기 복수의 확률들을 그래프의 그래픽 엘리먼트들로서 제시하도록 추가로 구성된, 컴퓨터 판독가능 매체.
According to clause 18,
wherein the processing device is further configured to present the plurality of probabilities as graphical elements of a graph on a user interface of a computing device.
KR1020237015350A 2021-09-10 2022-09-09 Detection of stochastic process windows KR102590974B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/472,335 US20220068594A1 (en) 2017-04-13 2021-09-10 Detection of probabilistic process windows
US17/472,335 2021-09-10
PCT/US2022/043101 WO2023039186A1 (en) 2021-09-10 2022-09-09 Detection of probabilistic process windows

Publications (2)

Publication Number Publication Date
KR20230074278A KR20230074278A (en) 2023-05-26
KR102590974B1 true KR102590974B1 (en) 2023-10-17

Family

ID=85506841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237015350A KR102590974B1 (en) 2021-09-10 2022-09-09 Detection of stochastic process windows

Country Status (7)

Country Link
EP (1) EP4222774A4 (en)
JP (1) JP2024508077A (en)
KR (1) KR102590974B1 (en)
CN (1) CN116670710A (en)
IL (1) IL303188A (en)
TW (1) TW202329183A (en)
WO (1) WO2023039186A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117389120B (en) * 2023-12-07 2024-03-22 华芯程(杭州)科技有限公司 Gradient angle detection method, device, equipment and medium

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050197772A1 (en) 2002-12-20 2005-09-08 Archie Charles N. Assessment and optimization for metrology instrument including uncertainty of total measurement uncertainty
US20170010538A1 (en) 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10901325B2 (en) * 2017-02-28 2021-01-26 Kla-Tencor Corporation Determining the impacts of stochastic behavior on overlay metrology data
US20220068594A1 (en) * 2017-04-13 2022-03-03 Fractilia, Llc Detection of probabilistic process windows
KR20200139800A (en) * 2018-04-06 2020-12-14 램 리써치 코포레이션 Process simulation model calibration using CD-SEM
US20230076218A1 (en) * 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050197772A1 (en) 2002-12-20 2005-09-08 Archie Charles N. Assessment and optimization for metrology instrument including uncertainty of total measurement uncertainty
US20170010538A1 (en) 2014-02-11 2017-01-12 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions

Also Published As

Publication number Publication date
EP4222774A1 (en) 2023-08-09
WO2023039186A1 (en) 2023-03-16
JP2024508077A (en) 2024-02-22
IL303188A (en) 2023-07-01
KR20230074278A (en) 2023-05-26
CN116670710A (en) 2023-08-29
TW202329183A (en) 2023-07-16
EP4222774A4 (en) 2024-03-06

Similar Documents

Publication Publication Date Title
US11670480B2 (en) System and method for generating and analyzing roughness measurements
US10656532B2 (en) Edge detection system and its use for optical proximity correction
US11664188B2 (en) Edge detection system
US10664955B2 (en) Edge detection system and its use for machine learning
US10648801B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10488188B2 (en) System and method for removing noise from roughness measurements
US11380516B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US20220068594A1 (en) Detection of probabilistic process windows
US11355306B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US11508546B2 (en) System and method for low-noise edge detection and its use for process monitoring and control
US11361937B2 (en) System and method for generating and analyzing roughness measurements and their use for process monitoring and control
KR20190029670A (en) Pattern measuring device, and computer program
US11521825B2 (en) System and method for predicting stochastic-aware process window and yield and their use for process monitoring and control
KR102590974B1 (en) Detection of stochastic process windows
US20230134093A1 (en) System and method for determining and/or predicting unbiased parameters associated with semiconductor measurements
US20230326710A1 (en) Screening edge placement uniformity wafer stochastics
TW202405379A (en) Screening edge placement uniformity wafer stochastics

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant