KR20200139800A - Process simulation model calibration using CD-SEM - Google Patents

Process simulation model calibration using CD-SEM Download PDF

Info

Publication number
KR20200139800A
KR20200139800A KR1020207032077A KR20207032077A KR20200139800A KR 20200139800 A KR20200139800 A KR 20200139800A KR 1020207032077 A KR1020207032077 A KR 1020207032077A KR 20207032077 A KR20207032077 A KR 20207032077A KR 20200139800 A KR20200139800 A KR 20200139800A
Authority
KR
South Korea
Prior art keywords
profile
semiconductor device
simulation model
computer
device manufacturing
Prior art date
Application number
KR1020207032077A
Other languages
Korean (ko)
Inventor
예 펭
쥴리앙 메일페흐
양 루
에밀리 앤 알덴
리셩 가오
데이비드 엠. 프라이드
다니엘 안토니 시몬
앤드류 디. 베일리 3세
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/946,940 external-priority patent/US10572697B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200139800A publication Critical patent/KR20200139800A/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

반도체 디바이스 제조 동작을 특징으로 하는 프로세스 파라미터 값들을 프로세싱하기 위해 반도체 디바이스 제조 동작의 결과를 예측하는 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법들이 개시된다. 방법들은 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과 및 적어도 부분적으로, 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 계측 결과를 사용하여 비용 값들을 생성하는 단계를 수반한다. 프로세스 시뮬레이션 모델의 파라미터들의 결정은 프로파일 계측 결과들에 대한 파라미터들의 결과적인 프로세스-후 프로파일들의 최적화를 통해, 프로세스-전 프로파일들을 채용할 수도 있다. 예를 들어, 광학 산란법 (optical scatterometry), 주사 전자 현미경법 (scanning electron microscopy) 및 투과 전자 현미경법 (transmission electron microscopy) 에 대한 비용 값들이 최적화를 가이드하기 위해 사용될 수도 있다.Computer-implemented methods are disclosed for optimizing a process simulation model that predicts a result of a semiconductor device manufacturing operation to process process parameter values that characterize a semiconductor device manufacturing operation. The methods include generating cost values using a computer predicted result of a semiconductor device manufacturing operation and, at least in part, a measurement result generated by performing a semiconductor device manufacturing operation in a reaction chamber operating under a set of fixed process parameter values. Entails. Determination of the parameters of the process simulation model may employ pre-process profiles, through optimization of the resulting post-process profiles of the parameters for profile measurement results. For example, cost values for optical scatterometry, scanning electron microscopy and transmission electron microscopy may be used to guide the optimization.

Description

CD-SEM을 사용한 프로세스 시뮬레이션 모델 캘리브레이션Process simulation model calibration using CD-SEM

참조로서 인용Quoted by reference

PCT 신청 양식이 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.A PCT application form was filed concurrently with this specification as part of this application. Each of the applications claiming priority or interest as identified in the PCT application form to which this application was filed at the same time is incorporated by reference in its entirety for all purposes.

플라즈마-보조된 에칭 프로세스들과 같은 반도체 디바이스 제조 동작들의 성능은 종종 반도체 디바이스 프로세싱 워크플로우의 성공에 필수적이다. 그러나, 에칭 프로세스들 및/또는 이들과 연관된 툴들 (예를 들어, 에칭 반응기들, 리소그래피 마스크들, 등) 의 최적화 또는 튜닝 (tuning) 은 종종 목표된 타깃 피처 프로파일을 생성하기 위해 에칭 프로세스 파라미터들 또는 툴 컴포넌트 설계들을 수동으로 조정하는 숙련자를 수반하여, 기술적으로 어렵고 시간 소모적으로 판명될 수도 있다. 현재, 목표된 에칭 프로파일을 담당하는 프로세스 파라미터들의 값들을 결정하기 위해 의존할 수도 있는, 충분한 정확도의 자동화된 절차가 존재하지 않는다.The performance of semiconductor device manufacturing operations, such as plasma-assisted etching processes, is often essential to the success of a semiconductor device processing workflow. However, optimization or tuning of etch processes and/or tools associated with them (e.g., etch reactors, lithographic masks, etc.) often involves etch process parameters or etch process parameters to create a target target feature profile It may turn out to be technically difficult and time consuming, involving the skilled person manually adjusting tool component designs. Currently, there is no automated procedure of sufficient accuracy that may depend to determine the values of the process parameters responsible for the desired etch profile.

특정한 모델들은 에칭 프로세스들 동안 반도체 기판 상에서 발생하는 물리적 및/또는 화학적 프로세스들을 시뮬레이팅한다. 이러한 모델들의 예들은 행동 모델들 (behavioral models) 로서 구현되거나 (예를 들어, NC, Cary 소재의 Coventor (Lam Research 소유) 로부터 입수가능한 SEMulator3D) 표면 반응들의 모델들로서 구현된 EPM들 (etch profile models) 을 포함한다; 예를 들어, M. Kushner와 동료들의 모델들뿐만 아니라 Cooperberg와 동료들의 모델들을 참조하라. 전자는 Y. Zhang, "Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation" Chapter 3, dissertation, University of Michigan (2015) 에 기술되고, 후자는 Cooperberg, Vahedi, and Gottscho, "Semiempirical profile simulation of aluminum etching in a Cl2/BCl3 plasma" J. Vac. Sci. Technol. A 20(5), 1536 (2002) 에 기술되고, 모두 전체가 참조로서 본 명세서에 인용된다. M. Kushner와 동료들의 에칭 프로파일 모델들의 부가적인 기술 (description) 은 J. Vac. Sci. Technol. A 15(4), 1913 (1997), J. Vac. Sci. Technol. B 16(4), 2102 (1998), J. Vac. Sci. Technol. A 16(6), 3274 (1998), J. Vac. Sci. Technol. A 19(2), 524 (2001), J. Vac. Sci. Technol. A 22(4), 1242 (2004), J. Appl. Phys. 97, 023307 (2005) 에서 찾을 수도 있고, 각각 전체가 또한 참조로서 본 명세서에 인용된다. Coventor의 에칭 프로파일 모델들의 부가적인 기술은 2008년 11월 25일 출원된 Lorenz 등의 미국 특허 제 9,015,016 호, 및 2015년 1월 26일 출원된 Greiner 등의 미국 특허 제 9,659,126 호에서 찾을 수 있고, 각각은 또한 전체가 참조로서 본 명세서에 인용된다. 이러한 개시된 모델들은 반도체 프로세싱 산업계에서 목표된 정도의 정확도 및 신뢰성에 도달하도록 추가 개발로부터 유리할 수도 있다. Certain models simulate physical and/or chemical processes that occur on a semiconductor substrate during etching processes. Examples of such models are EPMs (etch profile models) implemented as behavioral models (e.g. SEMulator3D available from Coventor (owned by Lam Research) of Cary, NC) or as models of surface reactions. Includes; See, for example, models of M. Kushner and colleagues, as well as models of Cooperberg and colleagues. The former is described in Y. Zhang, "Low Temperature Plasma Etching Control through Ion Energy Angular Distribution and 3-Dimensional Profile Simulation" Chapter 3, dissertation, University of Michigan (2015), and the latter is described in Cooperberg, Vahedi, and Gottscho, "Semiempirical. profile simulation of aluminum etching in a Cl 2 /BCl 3 plasma" J. Vac. Sci. Technol. A 20(5), 1536 (2002), all of which are incorporated herein by reference in their entirety. An additional description of the etch profile models of M. Kushner and colleagues can be found in J. Vac. Sci. Technol. A 15(4), 1913 (1997), J. Vac. Sci. Technol. B 16(4), 2102 (1998), J. Vac. Sci. Technol. A 16(6), 3274 (1998), J. Vac. Sci. Technol. A 19(2), 524 (2001), J. Vac. Sci. Technol. A 22(4), 1242 (2004), J. Appl. Phys. 97, 023307 (2005), each of which is also incorporated herein by reference in its entirety. Additional descriptions of Coventor's etch profile models can be found in U.S. Patent No. 9,015,016 to Lorenz et al. filed on November 25, 2008, and U.S. Patent No. 9,659,126 to Greiner et al. filed January 26, 2015, respectively. Is also incorporated herein by reference in its entirety. These disclosed models may benefit from further development to reach the degree of accuracy and reliability desired in the semiconductor processing industry.

본 명세서에 포함된 배경기술 및 맥락상 (contextual) 기술은 단지 본 개시의 맥락을 일반적으로 제시할 목적이다. 본 개시의 많은 부분은 발명자들의 업적을 제시하고, 이러한 업적이 배경기술 섹션에 기술되거나 본 명세서의 다른 곳에서 맥락으로 제시된다는 이유만으로, 종래기술로 인정되는 것을 의미하지 않는다. Background and contextual descriptions contained herein are for the sole purpose of presenting the context of the present disclosure generally. Much of this disclosure presents the achievements of the inventors, and is not meant to be admitted to be prior art merely because such achievements are described in the background section or presented in context elsewhere in this specification.

본 개시의 일 양태는 반도체 디바이스 제조 동작을 특징으로 하는 (characterizing) 프로세스 파라미터 값들로부터 반도체 디바이스 제조 동작의 결과를 예측하는 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법을 제공한다. 방법은 다음 단계들: (a) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하는 단계; (b) 프로세스 시뮬레이션 모델에 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하는 단계; (c) 구성된 프로세스 시뮬레이션 모델을 사용하여, 반도체 디바이스 제조 동작의 컴퓨터로 (computationally) 예측된 결과를 생성하는 단계; (d) 적어도 부분적으로, 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 계측 결과와 컴퓨터로 예측된 결과를 비교하는 단계로서, 비교는 반도체 디바이스 제조 동작과 컴퓨터로 예측된 결과와 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성하는, 비교하는 단계; (e) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들의 업데이트를 생성하도록 하나 이상의 비용 값들 및/또는 수렴 체크 (convergence check) 를 사용하는 단계; (f) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들의 업데이트를 사용하여 단계 (b) 를 수행하는 단계; 및 (g) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들이 비용 값들을 최소화하는 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 단계 (c) 내지 단계 (f) 를 반복하는 단계를 특징으로 할 수도 있다. One aspect of the present disclosure provides a computer-implemented method for optimizing a process simulation model that predicts a result of a semiconductor device manufacturing operation from process parameter values characterizing a semiconductor device manufacturing operation. The method includes the following steps: (a) receiving current values of one or more plotted process model parameters to be optimized; (b) generating a process simulation model constructed by providing the process simulation model with current values of one or more plotted process model parameters; (c) using the constructed process simulation model, generating a computationally predicted result of the semiconductor device manufacturing operation; (d) comparing, at least in part, measurement results obtained from one or more substrate features generated by performing a semiconductor device manufacturing operation with a computer predicted result, wherein the comparison comprises a semiconductor device manufacturing operation and a computer predicted result. Comparing, generating one or more cost values based on the difference between the measurement results; (e) using one or more cost values and/or a convergence check to generate an update of current values of the one or more plotted process model parameters; (f) performing step (b) using an update of current values of one or more plotted process model parameters; And (g) repeating steps (c) to (f) until current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize cost values. It can also be characterized.

특정한 실시예들에서, 프로세스 시뮬레이션 모델은 부가적으로 단계 (b) 의 고정된 프로세스 모델 파라미터 값들의 세트로 구성되고, 계측 결과는 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버 내에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된다. 특정한 실시예들에서, 고정된 프로세스 모델 파라미터 값(들)의 세트 또는 하나 이상의 플로팅된 프로세스 모델 파라미터들은 반응 챔버의 하나 이상의 온도 값들, 반응 챔버의 하나 이상의 RF 조건들, 반응 챔버의 하나 이상의 프로세스 가스들, 반응 챔버의 압력, 또는 이들의 조합을 포함한다.In certain embodiments, the process simulation model additionally consists of a set of fixed process model parameter values of step (b), and the measurement result is a semiconductor device fabrication in a reaction chamber operating under a fixed set of process parameter values. It is obtained from one or more substrate features created by performing the operation. In certain embodiments, the set of fixed process model parameter value(s) or one or more of the floating process model parameters is one or more temperature values of the reaction chamber, one or more RF conditions of the reaction chamber, one or more process gases of the reaction chamber. S, the pressure of the reaction chamber, or a combination thereof.

특정한 실시예들에서, 반도체 디바이스 제조 동작은 공제 (subtractive) 프로세스 또는 재료 첨가 프로세스이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작은 에칭 프로세스, 평탄화 프로세스, 또는 증착 프로세스이다. In certain embodiments, the semiconductor device manufacturing operation is a subtractive process or a material addition process. In certain embodiments, the semiconductor device manufacturing operation is an etching process, a planarization process, or a deposition process.

특정한 실시예들에서, 하나 이상의 플로팅된 프로세스 모델 파라미터들은 반도체 디바이스 제조 동작을 겪는 기판의 특징 (characteristic) 을 포함하고, 특징은 반응 레이트 상수, 반응물질 및/또는 생성물 부착 계수, 반응물질 확산 상수, 생성물 확산 상수, 및/또는 광학 분산 속성이다. 특정한 실시예들에서, 하나 이상의 플로팅된 프로세스 모델 파라미터들은 수직 에칭 레이트, 측방향 에칭 레이트, 공칭 에칭 깊이, 에칭 선택도, 이온 입사 틸팅 각도, 이온 입사 트위스트 각도, 피처 내로 가시도, 각도 분산, 스퍼터링 최대 산출 각도 (sputter maximum yield angle), 및/또는 결정 방향 당 에칭 비를 포함한다. 특정한 실시예들에서, 하나 이상의 플로팅된 프로세스 모델 파라미터들은 반도체 디바이스 제조 동작을 겪는 기판의 임의의 2 이상의 특징들의 조합을 포함한다.In certain embodiments, the one or more plotted process model parameters include a characteristic of a substrate undergoing a semiconductor device manufacturing operation, the characteristic being a reaction rate constant, a reactant and/or product adhesion coefficient, a reactant diffusion constant, Product diffusion constant, and/or optical dispersion properties. In certain embodiments, one or more of the plotted process model parameters include vertical etch rate, lateral etch rate, nominal etch depth, etch selectivity, ion incident tilt angle, ion incident twist angle, visibility into feature, angular dispersion, sputtering. The sputter maximum yield angle, and/or the etch rate per crystal direction. In certain embodiments, the one or more plotted process model parameters include a combination of any two or more features of a substrate undergoing a semiconductor device manufacturing operation.

특정한 실시예들에서, (b) 에서 구성된 프로세스 시뮬레이션 모델을 생성하는 단계는 부가적으로 기판이 반도체 디바이스 제조 동작을 겪기 전 기판의 프로세스 시뮬레이션 모델 프로파일을 제공하는 단계를 포함하고, 기판의 프로파일은 반도체 디바이스 제조 동작에 의해 수정될 하나 이상의 피처들을 갖는다.In certain embodiments, generating the process simulation model constructed in (b) additionally comprises providing a process simulation model profile of the substrate before the substrate undergoes a semiconductor device manufacturing operation, wherein the profile of the substrate is semiconductor. It has one or more features to be modified by the device manufacturing operation.

특정한 실시예들에서, 방법은 부가적으로 단계 (c) 전에, 반도체 디바이스 제조 동작을 겪는 기판의 최초 프로파일을 제공하는 단계를 포함하여, 단계 (c) 에서 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계는 최초 프로파일을 사용한다. 특정한 실시예들에서, 최초 프로파일은 반도체 디바이스 제조 동작 전에 발생하는 제조 단계에 관한 정보를 사용하여 컴퓨터로 생성된다. 특정한 실시예들에서, 최초 프로파일은 반도체 디바이스 제조 동작 전에 발생하는 제조 단계로부터 생성된 하나 이상의 최초 기판 피처들에 대한 계측을 수행함으로써 결정된다.In certain embodiments, the method further comprises, prior to step (c), providing an initial profile of the substrate undergoing the semiconductor device manufacturing operation, the computer predicted result of the semiconductor device manufacturing operation in step (c). The step of creating a uses the initial profile In certain embodiments, the initial profile is computer-generated using information about manufacturing steps that occur prior to a semiconductor device manufacturing operation. In certain embodiments, the initial profile is determined by performing metrology on one or more initial substrate features generated from a manufacturing step that occurs prior to a semiconductor device manufacturing operation.

특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 입사 전자기 방사선의 상호 작용에 의해 생성된 신호이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계는 다음 단계들: (i) 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 기하학적 프로파일 좌표들로 나타낸 산출된 (compute) 에칭 프로파일을 생성하는 단계; 및 (ii) 단계 (i) 에서 생성된 산출된 에칭 프로파일로부터, 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 산출된 반사율 또는 타원 스펙트럼을 생성하는 단계들을 포함한다. 일부 경우들에서, 방법은 부가적으로, 단계 (ii) 전, 프로파일 일부 통계적 프로파일 변동들을 평활화하도록 산출된 에칭 프로파일을 컨디셔닝하는 단계를 포함한다. 특정한 실시예들에서, 산출된 반사율 또는 타원 스펙트럼을 생성하는 단계는 산출된 에칭 프로파일을 사용하여 "RCWA" (Rigorous Coupled Wave Analysis) 시뮬레이션을 수행하는 단계를 수반한다. 특정한 실시예들에서, 산출된 반사율 또는 타원 스펙트럼을 생성하는 단계는 산출된 에칭 프로파일을 사용하여 "FDTD" (Finite Difference Time-Domain) 시뮬레이션을 수행하는 단계를 수반한다. 특정한 실시예들에서, 방법은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 단계; 및 계측 결과를 포함하는 실험적 반사 스펙트럼을 생성하도록 에칭된 기판을 입사 전자기 방사선에 노출하는 단계를 포함한다. 특정한 실시예들에서, 방법은 하나 이상의 부가적인 산출된 반사율 또는 타원형 스펙트럼을 생성하는 단계를 더 포함한다. 특정한 실시예들에서, 방법은 부가적으로, 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 피처들을 포함하는 기판 상에서 반사측정법, 돔 산란측정법 (dome scatterometry), 각도-분해 산란측정법 (angle-resolved scatterometry), 소각 X-레이 산란측정법 (small-angle X-ray scatterometry) 및/또는 타원편광법 (ellipsometry) 을 수행함으로써 계측 결과를 생성하는 단계를 포함한다.In certain embodiments, the result of a semiconductor device fabrication operation is a signal generated by the interaction of an etched feature, a deposited feature, or a planarized feature with incident electromagnetic radiation. In certain embodiments, generating a computer-predicted result of a semiconductor device manufacturing operation includes the following steps: (i) Compute etching represented by a series of geometric profile coordinates, using a constructed process simulation model. Creating a profile; And (ii) from the calculated etching profile generated in step (i), generating a calculated reflectance or elliptic spectrum by simulating reflection of the electromagnetic radiation from the calculated etching profile. In some cases, the method additionally includes, prior to step (ii), conditioning the calculated etch profile to smooth out some statistical profile variations in the profile. In certain embodiments, generating the calculated reflectance or elliptic spectrum involves performing a “RCWA” (Rigorous Coupled Wave Analysis) simulation using the calculated etch profile. In certain embodiments, generating the calculated reflectance or elliptic spectrum involves performing a “Finite Difference Time-Domain” (FDTD) simulation using the calculated etch profile. In certain embodiments, the method further includes performing a semiconductor device fabrication operation on a test substrate under a set of process parameter values to produce an additionally etched substrate; And exposing the etched substrate to incident electromagnetic radiation to produce an empirical reflection spectrum containing the measurement results. In certain embodiments, the method further includes generating one or more additional calculated reflectance or elliptical spectra. In certain embodiments, the method additionally comprises reflectometry, dome scatterometry, and angle on a substrate comprising features created by performing a semiconductor device fabrication operation in a reaction chamber operating under a set of process parameter values. -Generating measurement results by performing angle-resolved scatterometry, small-angle X-ray scatterometry and/or ellipsometry.

특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과는 에칭된 피처의 프로파일, 증착된 피처의 프로파일, 및/또는 평탄화된 피처의 프로파일이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계는 구성된 프로세스 시뮬레이션 모델을 사용하여, 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 단계를 포함한다. 이러한 실시예들에서, 방법은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 단계; 및 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 에칭된 기판의 피처들을 측정하는 단계를 포함할 수도 있다. 특정한 실시예들에서, 에칭된 기판의 피처들을 측정하는 단계는 에칭된 기판에 대한 현미경법 (microscopy), 또는 광학적 계측법을 수행하는 단계를 포함한다. 일부 경우들에서, 현미경법을 수행하는 단계는 TEM (transmission electron microscopy) 및/또는 SEM (scanning electron microscopy) 을 수행하는 단계를 수반한다.In certain embodiments, the result of a semiconductor device fabrication operation is a profile of an etched feature, a profile of a deposited feature, and/or a profile of a planarized feature. In certain embodiments, generating the computer-predicted result of the semiconductor device manufacturing operation includes using the constructed process simulation model to generate a calculated etch profile represented by etch profile coordinates. In such embodiments, the method further includes performing a semiconductor device fabrication operation on a test substrate under a set of process parameter values to produce an etched substrate; And measuring the features of the etched substrate to produce experimental etch profile coordinates including the metrology result. In certain embodiments, measuring the features of the etched substrate includes performing microscopy, or optical metrology, of the etched substrate. In some cases, performing microscopy entails performing transmission electron microscopy (TEM) and/or scanning electron microscopy (SEM).

특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 또는 증착된 피처, 또는 평탄화된 피처의 기하구조를 특징으로 하는 기하학적 프로파일 파라미터들의 세트이다. 기하학적 프로파일 파라미터들은 "OCD" (Optical CD) 프로파일 파라미터들이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계는: (i) 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 단계; 및 (ii) 단계 (i) 에서 생성된 산출된 에칭 프로파일을 산출된 에칭 프로파일의 기하구조를 특징으로 하는 제 1 기하학적 프로파일 파라미터들의 세트로 변환하는 단계들을 포함한다. 이러한 실시예들에서, 방법은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 단계; 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 에칭된 기판의 피처들을 측정하는 단계; 및 실험적 에칭 프로파일 좌표들을 에칭된 기판의 에칭된 피처의 기하구조를 특징으로 하는 제 2 기하학적 프로파일 파라미터들의 세트로 변환하는 단계를 포함할 수도 있다. 다른 이러한 실시예들에서, 하나 이상의 비용 값들은 제 1 기하학적 프로파일 파라미터들의 세트를 사용하는 컴퓨터로 예측된 결과와 제 2 기하학적 프로파일 파라미터들의 세트를 사용하는 계측 결과 사이의 차에 기초할 수도 있다. In certain embodiments, the result of the semiconductor device fabrication operation is a set of geometrical profile parameters that characterize the geometry of an etched feature, or a deposited feature, or a planarized feature. Geometric profile parameters are “OCD” (Optical CD) profile parameters. In certain embodiments, generating a computer-predicted result of a semiconductor device manufacturing operation includes: (i) using the constructed process simulation model to generate a calculated etch profile represented by a series of etch profile coordinates; And (ii) converting the calculated etch profile generated in step (i) into a first set of geometric profile parameters that characterize the geometry of the calculated etch profile. In such embodiments, the method further includes performing a semiconductor device fabrication operation on a test substrate under a set of process parameter values to produce an etched substrate; Measuring features of the etched substrate to produce empirical etch profile coordinates including metrology results; And converting the experimental etch profile coordinates to a second set of geometrical profile parameters that characterize the geometry of the etched feature of the etched substrate. In other such embodiments, the one or more cost values may be based on a difference between a computer predicted result using the first set of geometric profile parameters and a metrology result using the second set of geometric profile parameters.

특정한 실시예들에서, 단계 (c) 에서 생성된 컴퓨터로 예측된 결과는 구성된 프로세스 시뮬레이션 모델로부터 산출되고 기판 공제 프로세스 또는 기판 추가 프로세스의 상이한 지속기간들을 나타내는 시간들의 시퀀스에 대응하는 기판 피처의 기하학적 프로파일들 또는 프로파일 파라미터들의 시퀀스를 포함한다. 특정한 실시예들에서, 단계 (d) 의 계측 결과는 기판 공제 프로세스 또는 기판 추가 프로세스의 상이한 지속기간들에서 기판의 실험적 측정값들로부터 획득된 기판 피처의 기하학적 프로파일들 또는 프로파일 파라미터들의 시퀀스를 포함한다.In certain embodiments, the computer-predicted result generated in step (c) is calculated from the constructed process simulation model and the geometric profile of the substrate feature corresponding to a sequence of times representing different durations of the substrate subtraction process or substrate addition process. Or a sequence of profile parameters. In certain embodiments, the measurement result of step (d) comprises a sequence of profile parameters or geometric profiles of the substrate feature obtained from experimental measurements of the substrate at different durations of the substrate subtraction process or the substrate addition process. .

특정한 실시예들에서, 방법은 부가적으로: (i) 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 프로세스 시뮬레이션 모델을 구성하는 단계; 및 (ii) 리소그래픽의 마스크 패턴을 결정하고, 리소그래픽 마스크를 생성하는 것을 인에이블하도록 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 프로세스 시뮬레이션 모델을 사용하는 단계를 포함한다. 일부 경우들에서, 리소그래픽 마스크를 생성하는 단계는 레지스트 층의 패턴을 전사하는 것을 포함한다. 일부 이러한 경우들에서, 방법은 부가적으로 레지스트 층을 현상하는 단계 및 패턴을 하부 크롬 층에 전사하는 단계를 포함한다.In certain embodiments, the method further comprises: (i) constructing a process simulation model from final values of one or more plotted process model parameters from step (g); And (ii) determining a mask pattern of the lithographic and using the process simulation model consisting of the final values of the one or more plotted process model parameters from step (g) to enable generation of the lithographic mask. . In some cases, creating the lithographic mask includes transferring the pattern of the resist layer. In some such cases, the method additionally includes developing the resist layer and transferring the pattern to the underlying chromium layer.

특정한 실시예들에서, 방법은 부가적으로: (i) 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 프로세스 시뮬레이션 모델을 구성하는 단계; (ii) 반도체 프로세싱 장치의 설계를 식별하고, 반도체 프로세싱 장치의 설계를 사용함으로써 반도체 프로세싱 장치를 제조하는 것을 인에이블하도록 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 프로세스 시뮬레이션 모델을 사용하는 단계를 포함한다. In certain embodiments, the method further comprises: (i) constructing a process simulation model from final values of one or more plotted process model parameters from step (g); (ii) a process simulation model consisting of the final values of one or more plotted process model parameters from step (g) to identify the design of the semiconductor processing device and enable manufacturing of the semiconductor processing device by using the design of the semiconductor processing device. And using.

특정한 실시예들에서, 방법은 부가적으로: (i) 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 프로세스 시뮬레이션 모델을 구성하는 단계; (ii) 반도체 프로세싱 장치의 동작 조건들을 식별하고, 이 동작 조건들 하에서 반도체 프로세싱 장치를 동작시킴으로써 반도체 디바이스들의 제조를 인에이블하도록 단계 (g) 로부터 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 프로세스 시뮬레이션 모델을 사용하는 단계를 포함한다. In certain embodiments, the method further comprises: (i) constructing a process simulation model from final values of one or more plotted process model parameters from step (g); (ii) a process consisting of the final values of one or more plotted process model parameters from step (g) to identify the operating conditions of the semiconductor processing apparatus and enable fabrication of semiconductor devices by operating the semiconductor processing apparatus under these operating conditions. And using the simulation model.

일부 실시예들에서, 단계 (c) 내지 단계 (f) 를 반복하는 단계는 획득된 하나 이상의 비용 값들에서 실질적으로 국소적 또는 전역 최소값을 식별하는 것을 포함한다. 특정한 실시예들에서, 방법은 부가적으로 반응 챔버에서 인시츄 계측, 반응 챔버 외부에서 비파괴적 독립형 계측, 및/또는 반응 챔버 외부에서 독립형 파괴적 계측을 수행함으로써 계측 결과를 획득하는 단계를 포함한다.In some embodiments, repeating steps (c) through (f) includes identifying a substantially local or global minimum in the obtained one or more cost values. In certain embodiments, the method additionally includes obtaining a measurement result by performing an in -situ metrology in the reaction chamber, a non-destructive standalone metrology outside the reaction chamber, and/or a standalone destructive metrology outside the reaction chamber.

일부 실시예들에서, 컴퓨터로 (computationally) 예측된 결과를 생성하는 단계는 반도체 기판 상의 피처 프로파일을 나타내는 지점들의 그리드에서 국소적 반응 레이트들을 계산하기 (calculate) 위해 구성된 프로세스 시뮬레이션 모델을 사용하는 단계를 포함한다. 일부 이러한 실시예들에서, 국소적 반응 레이트들을 계산하기 위해 구성된 프로세스 시뮬레이션 모델을 사용하는 단계는 시간의 함수로서 반응 레이트들을 계산한다.In some embodiments, generating a computationally predicted result comprises using a process simulation model configured to calculate local reaction rates in a grid of points representing a feature profile on a semiconductor substrate. Include. In some such embodiments, using the configured process simulation model to calculate local reaction rates calculates the reaction rates as a function of time.

본 개시의 또 다른 양태는 산출 시스템으로 하여금 반도체 디바이스 제조 동작을 특징으로 하는 프로세스 파라미터 값들로부터 반도체 디바이스 제조 동작의 결과를 계산하는 최적화된 프로세스 시뮬레이션 모델을 실행하게 하는 인스트럭션들이 제공되는 비일시적인 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품에 관한 것이다. 인스트럭션들은 (a) 최적화된 프로세스 시뮬레이션 모델로 입력들로서 프로세스 파라미터 값들을 수신하고; (b) 프로세스 파라미터 값들을 사용하여 최적화된 프로세스 시뮬레이션 모델을 실행하고; 그리고 (c) 반도체 디바이스 제조 동작의 계산된 결과를 출력하기 위한 인스트럭션들을 포함한다. 특정한 실시예들에서, 최적화된 프로세스 시뮬레이션 모델은 기술된 방법들 중 하나에 의해 최적화된다. 예로서, 프로세스 시뮬레이션 모델은: (i) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하는 단계; (ii) 프로세스 시뮬레이션 모델에 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들 및 고정된 프로세스 모델 파라미터 값들의 세트 값(들)을 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하는 단계; (iii) 구성된 프로세스 시뮬레이션 모델을 사용하여, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계; (iv) 적어도 부분적으로, 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 계측 결과와 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 비교하는 단계로서, 비교는 반도체 디바이스 제조 동작과 컴퓨터로 예측된 결과와 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성하는, 비교하는 단계; (v) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들의 업데이트를 생성하도록 하나 이상의 비용 값들 및/또는 수렴 체크 (convergence check) 를 사용하는 단계; (vi) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들의 업데이트를 사용하여 단계 (ii) 를 수행하는 단계; 및 (vii) 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들이 비용 값들을 최소화하는 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 단계 (iii) 내지 단계 (vi) 를 반복함으로써 최적화될 수도 있다.Yet another aspect of the present disclosure is a non-transitory computer-readable, provided with instructions that cause a calculation system to execute an optimized process simulation model that calculates a result of a semiconductor device manufacturing operation from process parameter values characterizing the semiconductor device manufacturing operation It relates to a computer program product comprising a medium. The instructions (a) receive process parameter values as inputs to the optimized process simulation model; (b) run the optimized process simulation model using the process parameter values; And (c) instructions for outputting the calculated result of the semiconductor device manufacturing operation. In certain embodiments, the optimized process simulation model is optimized by one of the described methods. As an example, a process simulation model may include: (i) receiving current values of one or more plotted process model parameters to be optimized; (ii) generating a process simulation model constructed by providing the process simulation model with current values of one or more plotted process model parameters and set value(s) of fixed process model parameter values; (iii) using the constructed process simulation model, generating a computer-predicted result of the semiconductor device manufacturing operation; (iv) at least in part, measurement results obtained from one or more substrate features generated by performing a semiconductor device manufacturing operation in a reaction chamber operating under a set of fixed process parameter values and a computer-predicted result of the semiconductor device manufacturing operation. Comparing, wherein the comparing generates one or more cost values based on a semiconductor device manufacturing operation and a difference between a computer predicted result and a measurement result; (v) using one or more cost values and/or a convergence check to generate an update of the current values of the one or more plotted process model parameters; (vi) performing step (ii) using an update of current values of one or more plotted process model parameters; And (vii) optimization by repeating steps (iii) through (vi) until the current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize cost values. It could be.

컴퓨터 프로그램 제품과 연관된 프로세스 시뮬레이션 모델은 본 개시의 프로세스 시뮬레이션 모델 양태를 최적화하는 방법의 기술된 임의의 동작들에 의해 최적화될 수도 있다. 특정한 실시예들에서, 인스트럭션들은 동작 (ii) 전에, 반도체 디바이스 제조 동작을 겪는 기판의 최초 프로파일을 수신하는 것을 더 포함한다. The process simulation model associated with the computer program product may be optimized by any of the described operations of the method for optimizing the process simulation model aspect of the present disclosure. In certain embodiments, the instructions further include, prior to operation (ii), receiving an initial profile of the substrate undergoing a semiconductor device manufacturing operation.

특정한 실시예들에서, 하나 이상의 플로팅된 프로세스 모델 파라미터들은 수직 에칭 레이트, 측방향 에칭 레이트, 공칭 에칭 깊이, 에칭 선택도, 이온 입사 틸팅 각도, 이온 입사 트위스트 각도, 피처 내로 가시도, 각도 분산, 스퍼터링 최대 산출 각도, 및/또는 결정 방향 당 에칭 비를 포함한다. 특정한 실시예들에서, (ii) 에서 구성된 프로세스 시뮬레이션 모델을 생성하는 동작은 기판이 반도체 디바이스 제조 동작을 겪기 전 기판의 프로세스 시뮬레이션 모델 프로파일을 제공하는 동작을 더 포함하고, 기판의 프로파일은 반도체 디바이스 제조 동작에 의해 수정될 하나 이상의 피처들을 갖는다.In certain embodiments, one or more of the plotted process model parameters are vertical etch rate, lateral etch rate, nominal etch depth, etch selectivity, ion incident tilt angle, ion incident twist angle, visibility into feature, angular dispersion, sputtering. The maximum calculated angle, and/or the etch rate per crystal direction. In certain embodiments, generating the process simulation model constructed in (ii) further comprises providing a process simulation model profile of the substrate before the substrate undergoes a semiconductor device manufacturing operation, the profile of the substrate being semiconductor device manufacturing. It has one or more features to be modified by the operation.

특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 입사 전자기 방사선의 상호 작용에 의해 생성된 신호이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 동작은: 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 기하학적 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 단계; 및 산출된 에칭 프로파일로부터, 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 산출된 반사율 또는 타원 스펙트럼을 생성하는 동작을 포함한다. 특정한 실시예들에서, 최적화된 프로세스 시뮬레이션 모델은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 동작; 및 계측 결과를 포함하는 실험적 반사 스펙트럼을 생성하도록 에칭된 기판을 입사 전자기 방사선에 노출하는 동작에 의해 최적화된다. In certain embodiments, the result of a semiconductor device fabrication operation is a signal generated by the interaction of an etched feature, a deposited feature, or a planarized feature with incident electromagnetic radiation. In certain embodiments, generating a computer-predicted result of a semiconductor device manufacturing operation includes: using the constructed process simulation model, generating a calculated etch profile represented by a series of geometric profile coordinates; And from the calculated etching profile, generating a calculated reflectance or elliptic spectrum by simulating reflection of the electromagnetic radiation from the calculated etching profile. In certain embodiments, the optimized process simulation model may further include performing a semiconductor device manufacturing operation on a test substrate under a set of process parameter values to produce an etched substrate; And exposing the etched substrate to incident electromagnetic radiation to produce an empirical reflection spectrum including the measurement results.

특정한 실시예들에서, 최적화된 프로세스 시뮬레이션 모델은 부가적으로, 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 피처들을 포함하는 기판 상에서 반사측정법, 돔 산란측정법 (dome scatterometry), 각도-분해 산란측정법 (angle-resolved scatterometry), 소각 X-레이 산란측정법 (small-angle X-ray scatterometry) 및/또는 타원편광법 (ellipsometry) 을 수행함으로써 계측 결과를 생성하는 동작에 의해 최적화된다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 동작은 구성된 프로세스 시뮬레이션 모델을 사용하여, 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 동작을 포함한다. 일부 이러한 실시예들에서, 최적화된 프로세스 시뮬레이션 모델은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 동작; 및 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 에칭된 기판의 피처들을 측정하는 동작에 의해 최적화된다.In certain embodiments, the optimized process simulation model may additionally be applied to reflectometry, dome scatterometry on a substrate containing features created by performing a semiconductor device fabrication operation in a reaction chamber operating under a set of process parameter values. scatterometry), angle-resolved scatterometry, small-angle X-ray scatterometry, and/or ellipsometry to generate measurement results. Is optimized. In certain embodiments, generating a computer-predicted result of a semiconductor device manufacturing operation includes using the constructed process simulation model to generate a calculated etch profile represented by etch profile coordinates. In some such embodiments, the optimized process simulation model may additionally include performing a semiconductor device fabrication operation on a test substrate under a set of process parameter values to produce an etched substrate; And measuring the features of the etched substrate to generate experimental etch profile coordinates including the metrology result.

특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 또는 증착된 피처, 또는 평탄화된 피처의 기하구조를 특징으로 하는 기하학적 프로파일 파라미터들의 세트이다. 일부 이러한 실시예들에서, 기하학적 프로파일 파라미터들은 "OCD" (Optical CD) 프로파일 파라미터들이다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 동작은: 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 동작; 및 산출된 에칭 프로파일을 산출된 에칭 프로파일의 기하구조를 특징으로 하는 제 1 기하학적 프로파일 파라미터들의 세트로 변환하는 동작들을 포함한다. 일부 이러한 실시예들에서, 최적화된 프로세스 시뮬레이션 모델은 부가적으로 에칭된 기판을 생성하도록 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 반도체 디바이스 제조 동작을 수행하는 동작; 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 에칭된 기판의 피처들을 측정하는 동작; 및 실험적 에칭 프로파일 좌표들을 에칭된 기판의 에칭된 피처의 기하구조를 특징으로 하는 제 2 기하학적 프로파일 파라미터들의 세트로 변환하는 동작에 의해 최적화된다.In certain embodiments, the result of the semiconductor device fabrication operation is a set of geometrical profile parameters that characterize the geometry of an etched feature, or a deposited feature, or a planarized feature. In some such embodiments, the geometrical profile parameters are “OCD” (Optical CD) profile parameters. In certain embodiments, generating a computer-predicted result of a semiconductor device manufacturing operation includes: generating, using the constructed process simulation model, a calculated etch profile represented by a series of etch profile coordinates; And converting the calculated etch profile into a first set of geometrical profile parameters that characterize the geometry of the calculated etch profile. In some such embodiments, the optimized process simulation model may additionally include performing a semiconductor device fabrication operation on a test substrate under a set of process parameter values to produce an etched substrate; Measuring features of the etched substrate to produce empirical etch profile coordinates including metrology results; And transforming the experimental etch profile coordinates into a second set of geometric profile parameters that characterize the geometry of the etched feature of the etched substrate.

특정한 실시예들에서, 인스트럭션들은 부가적으로 리소그래픽 마스크의 패턴을 결정하기 위해 계산된 결과를 사용하기 위한 인스트럭션들을 포함한다. 특정한 실시예들에서, 인스트럭션들은 부가적으로 반도체 프로세싱 장치의 설계를 식별하기 위해 계산된 결과를 사용하기 위한 인스트럭션들을 포함한다. 특정한 실시예들에서, 인스트럭션들은 부가적으로 동작 조건들 하에서 반도체 프로세싱 장치를 동작시킴으로써 반도체 디바이스들의 제조를 인에이블하도록 반도체 프로세싱 장치의 동작 조건들을 식별하기 위해 계산된 결과를 사용하기 위한 인스트럭션들을 포함한다. In certain embodiments, the instructions additionally include instructions for using the calculated result to determine the pattern of the lithographic mask. In certain embodiments, the instructions additionally include instructions for using the calculated result to identify the design of the semiconductor processing apparatus. In certain embodiments, the instructions additionally include instructions for using the calculated result to identify operating conditions of the semiconductor processing apparatus to enable fabrication of semiconductor devices by operating the semiconductor processing apparatus under operating conditions. .

본 개시의 또 다른 양태는 기술된 바와 같은 컴퓨터 프로그램 제품 및 반도체 디바이스 제조 동작의 계산된 결과를 사용하여 리소그래픽 마스크 패턴을 결정하도록 구성된 리소그래피 마스크 생성 장치를 포함하는, 시스템에 관한 것이다. 이 시스템과 연관된 프로세스 시뮬레이션 모델은 본 개시의 프로세스 시뮬레이션 모델 양태를 최적화하는 방법의 기술된 임의의 동작들에 의해 최적화될 수도 있다.Another aspect of the present disclosure relates to a system comprising a computer program product as described and an apparatus for generating a lithographic mask configured to determine a lithographic mask pattern using calculated results of a semiconductor device manufacturing operation. The process simulation model associated with this system may be optimized by any of the described operations of the method of optimizing the process simulation model aspect of the present disclosure.

본 개시의 또 다른 양태는 기술된 바와 같은 컴퓨터 프로그램 제품 및 반도체 디바이스 제조 동작의 계산된 결과에 제공된 프로세스 조건들 하에서 동작하도록 구성된 반도체 프로세싱 장치를 포함하는, 시스템에 관한 것이다. 이 시스템과 연관된 프로세스 시뮬레이션 모델은 본 개시의 프로세스 시뮬레이션 모델 양태를 최적화하는 방법의 기술된 임의의 동작들에 의해 최적화될 수도 있다. Another aspect of the present disclosure relates to a system comprising a computer program product as described and a semiconductor processing apparatus configured to operate under process conditions provided in a calculated result of a semiconductor device manufacturing operation. The process simulation model associated with this system may be optimized by any of the described operations of the method of optimizing the process simulation model aspect of the present disclosure.

본 개시의 또 다른 양태는 반도체 디바이스 제조 동작 (예컨대 재료 에칭, 평탄화, 또는 증착) 의 결과를 예측하기 위해 프로세스 시뮬레이션 모델을 사용하고 반도체 디바이스 제조 동작의 동작을 개선하기 위해 결과를 사용하는 방법들에 관한 것이다. 이러한 용도는, 예를 들어, (마스크에 구현될 수도 있는) 개선된 마스크 레이아웃을 생성하는 것, 반도체 디바이스 제조 동작을 수행하기 위해 개선된 반응기를 설계하는 것, 및/또는 반도체 디바이스 제조 동작을 위한 프로세스 윈도우를 규정하는 것을 포함한다. 본 개시의 이 양태에서 사용된 프로세스 시뮬레이션 모델은 본 개시의 프로세스 시뮬레이션 모델 양태를 최적화하는 방법에서 기술된 임의의 동작들에 의해 최적화될 수도 있다. Another aspect of the present disclosure relates to methods of using a process simulation model to predict the outcome of a semiconductor device manufacturing operation (e.g., material etching, planarization, or deposition) and using the result to improve the operation of a semiconductor device manufacturing operation. About. Such uses include, for example, creating improved mask layouts (which may be implemented in masks), designing improved reactors to perform semiconductor device manufacturing operations, and/or for semiconductor device manufacturing operations. Includes defining the process window. The process simulation model used in this aspect of the present disclosure may be optimized by any of the operations described in the method for optimizing the process simulation model aspect of the present disclosure.

이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다. These and other features will be described below with reference to the associated drawings.

예시적인 실시예들은 이제 도면들과 함께 기술될 것이다.
도 1은 에칭 프로세스의 에칭 프로파일 모델로부터 컴퓨터로 예상된 에칭 프로파일의 예를 나타낸다.
도 2는 도 1에 도시된 바와 유사하지만, 이 도면에서, 하나 이상의 계측 툴들로 이루어진 실험적 측정값들로부터 산출된 에칭 프로파일의 예를 나타낸다.
도 3은 특정한 실시예들에 따른 프로세스 시뮬레이션 모델을 최적화하는 프로세스의 개괄도를 도시한다.
도 4는 시뮬레이팅되고 측정된 반사율 또는 타원 값들의 비교를 채용하는 최적화 시스템의 실시예를 도시한다.
도 5는 시뮬레이팅되고 측정된 피처 프로파일 값들의 비교를 채용하는 최적화 시스템의 예를 도시한다.
도 6은 예를 들어, 잠재적으로 보다 적은 데이터 지점들 또는 이들의 세트를 사용하여 피처 프로파일의 기하구조를 나타내기 위한 "프로파일 파라미터들"의 세트를 채용하는 최적화 시스템의 예를 도시한다.
도 7은 프로세스 시뮬레이션 모델들을 최적화 및/또는 사용하도록 사용될 수도 있는 예시적인 산출 시스템을 도시한다.
도 8은 CD-SEM과 같은 톱 다운 계측 툴을 채용하는 예시적인 최적화 시스템/흐름을 도시한다.
Exemplary embodiments will now be described in conjunction with the drawings.
1 shows an example of an etch profile predicted by a computer from an etch profile model of an etch process.
Figure 2 is similar to that shown in Figure 1, but in this figure, shows an example of an etch profile calculated from experimental measurements made with one or more metrology tools.
3 shows a schematic diagram of a process for optimizing a process simulation model according to certain embodiments.
4 shows an embodiment of an optimization system that employs a comparison of simulated and measured reflectance or elliptic values.
5 shows an example of an optimization system that employs a comparison of simulated and measured feature profile values.
6 shows an example of an optimization system that employs a set of “profile parameters” to represent the geometry of a feature profile using, for example, potentially fewer data points or sets thereof.
7 shows an example calculation system that may be used to optimize and/or use process simulation models.
8 shows an exemplary optimization system/flow employing a top down metrology tool such as a CD-SEM.

도입Introduction

프로세스 시뮬레이션 모델들이 반도체 프로세싱 산업계에 용인가능한 정확도의 레벨로 반도체 디바이스 제조 동작들로부터 발생하는, 반도체 피처 프로파일들의 표현들을 생성하도록 사용될 수도 있도록 상기 참조된 에칭 프로파일 모델들 (EPMS) (그리고/또는 다른 유사한 모델들) 과 같은 프로세스 시뮬레이션 모델들의 활용을 개선하기 위한 방법들 및/또는 절차들이 본 명세서에 개시된다. 일반적으로, 개시된 방법들은 프로세스 시뮬레이션 모델들의 예측 능력들을 개선한다. Etch Profile Models (EPMS) referenced above (and/or other similar) so that process simulation models may be used to generate representations of semiconductor feature profiles, resulting from semiconductor device manufacturing operations with a level of accuracy acceptable to the semiconductor processing industry. Models) are disclosed herein for improving the utilization of process simulation models. In general, the disclosed methods improve the predictive capabilities of process simulation models.

프로세스 시뮬레이션 모델들은 기판 표면 프로파일의 "진전 (evolution)", 예를 들어, 많은 공간적 위치들 각각에서 에칭 프로세스와 연관된 반응 레이트들 또는 다른 프로세스 파라미터들을 산출함으로써, 피처의 표면 상의 다양한 공간적 위치들에서 시간에 따라 측정된 피처의 에칭 프로파일에 대한 순차적 변화들 또는 피처의 형상의 시간-종속 변화들을 시뮬레이팅할 수도 있다. 반응 레이트들의 변동은 에천트의 플럭스, 선택된 증착 재료의 특징들, 반응 챔버의 플라즈마 조건들, 또는 임의의 수의 다른 인자들로부터 발생할 수도 있다. 또한, 계산된 반응 레이트들은 시뮬레이팅된 에칭 프로세스 동안 동요할 (fluctuate) 수도 있다. 모든 프로세스 시뮬레이션 모델들은 반도체 디바이스 제조 동작 동안 진전을 시뮬레이팅하지 않고; 일부는 단순히 (동작의 지속기간을 포함하여) 최종 프로파일 미리 결정된 반응 조건들 및 최초 피처 프로파일을 예측한다. Process simulation models can be used to calculate the "evolution" of the substrate surface profile, e.g., the reaction rates or other process parameters associated with the etching process at each of the many spatial locations, thereby allowing time at various spatial locations on the surface of the feature. It may simulate sequential changes to the etch profile of the measured feature or time-dependent changes in the shape of the feature. Variations in reaction rates may arise from the flux of the etchant, characteristics of the selected deposition material, plasma conditions of the reaction chamber, or any number of other factors. In addition, the calculated reaction rates may fluctuate during the simulated etching process. All process simulation models do not simulate progress during semiconductor device manufacturing operations; Some simply predict the final profile (including the duration of the motion) and the initial feature profile, predetermined reaction conditions.

일부 실시예들에서, 시뮬레이팅된 에칭 프로파일의 출력은 도 1에 도시된 바와 같이, 공간적으로 규정하고 그리고/또는 달리 프로파일의 형상을 맵핑하는 데이터 지점들의 이산적인 세트 즉, 프로파일 좌표들로 나타낼 수도 있다. 또한, 도 1에 도시된 바와 같은 시뮬레이팅된 프로파일은 도 2에 도시된 바와 같은 실제 측정된 에칭 프로파일에 대응할 수도 있다. 시간에 다른 시뮬레이팅된 에칭 프로파일의 진전이 모델링된, 공간적으로-분해된 국소적 에칭 레이트들에 종속되고, 결국 에칭 프로세스의 근본적인 화학 및 물리에 종속된다. In some embodiments, the output of the simulated etch profile may be represented as a discrete set of data points that spatially define and/or otherwise map the shape of the profile, i.e., profile coordinates, as shown in FIG. have. Further, the simulated profile as shown in FIG. 1 may correspond to an actual measured etch profile as shown in FIG. 2. The evolution of the simulated etch profile that differs in time depends on the modeled, spatially-resolved local etch rates, and in turn depends on the underlying chemistry and physics of the etch process.

이에 따라, 예를 들어, EPM에 의해 수행될 때, 프로파일 시뮬레이션은 디바이스 제조 프로세스들 기저의 화학적 반응 메커니즘들과 연관된 다양한 물리적 및/또는 화학적 파라미터들, 및 또한 (이로 제한되는 것은 아니지만): 온도, 압력, 플라즈마 전력, 반응물질 플로우 레이트, 등과 같은 챔버 분위기를 특징으로 할 수도 있는, 물리적 및/또는 화학적 파라미터들에 종속될 수도 있다. 이러한 파라미터들은 통상적으로 프로세스 엔지니어의 제어 하에 있다. Thus, for example, when performed by the EPM, the profile simulation can include, but is not limited to, various physical and/or chemical parameters associated with the chemical reaction mechanisms underlying the device manufacturing processes, and also (but not limited to): temperature, It may be dependent on physical and/or chemical parameters, which may characterize the chamber atmosphere such as pressure, plasma power, reactant flow rate, and the like. These parameters are typically under the control of the process engineer.

표면 반응들의 표현에 의존하는 프로세스 시뮬레이션 모델들은 핵심 세트를 채용할 수도 있고 또는 "기본적인 (fundamental)" 화학적 및/또는 물리적 입력 파라미터들, 예들은 (달리 제한되는 것은 아니지만): 반응 확률들, 부착 계수들, 이온 및 중성자 플럭스들, 등을 포함한다. 파라미터들은 서로 독립적으로 제어가능할 수도 있고 제어가능하지 않을 수도 있다. 또한, 특정한 프로세스 상황들 및/또는 구성들에서, 제조 프로세스를 관리하는 프로세스 엔지니어는 그럼에도 불구하고, 프로세스 시뮬레이션 모델을 실행하기 위해 필요한, 파라미터들 중 하나 이상을 인지하지 못할 수도 있다. 이러한 파라미터들은 문헌으로부터 취해질 수도 있는 특정한 값들을 갖는 것으로 가정될 수도 있고, 이들의 용도는 모델링될 프로세스 이면의 근본적인 물리적 메커니즘 및 화학적 메커니즘의 특정한 간략화 (및/또는 개략) 를 들 수 있다. Process simulation models that rely on the representation of surface reactions may employ a core set or "fundamental" chemical and/or physical input parameters, examples (but not otherwise limited): reaction probabilities, adhesion coefficient Ions, ionic and neutron fluxes, and the like. The parameters may or may not be controllable independently of each other. Further, in certain process situations and/or configurations, the process engineer managing the manufacturing process may nonetheless be unaware of one or more of the parameters, necessary to run the process simulation model. These parameters may be assumed to have specific values that may be taken from the literature, and their use may include specific simplifications (and/or outlines) of the underlying physical and chemical mechanisms behind the process to be modeled.

개시된 방법들 및/또는 프로세스들은 기판들을 개질하는 반도체 디바이스 제조 동작들을 위한 프로세스 시뮬레이션 모델들의 실제 산업적 적용가능성을 개선하도록 실험적 기법들 및 데이터 분석 방법론들을 결합한다. The disclosed methods and/or processes combine experimental techniques and data analysis methodologies to improve the practical industrial applicability of process simulation models for semiconductor device manufacturing operations that modify substrates.

특정한 실시예들에서, 본 명세서에 개시된 기법들은 이들 모델들에 의해 사용되는 화학적, 물리적, 및/또는 행동 입력 파라미터 값들―때때로 "플로팅된 (floated)" 프로세스 모델 파라미터 값들로 지칭됨―을 최적화하고, 파라미터들에 대한 값들의 보다 효과적인 세트들을 결정함으로써 모델들의 예측 정확도를 개선한다. 파라미터들을 최적화는, 기본적인 파라미터들에 대해 결정된 최적 값들이 문헌 (또는 다른 실험들)이 이들 파라미터들에 대해 "참 (true)" 또는 이상적, 물리적/화학적 값들로 결정하는 것과 상이할 수도 있는, 환경들에서도 이들이 사용되는 프로세스 시뮬레이션 모델의 정확도를 개선한다. 최적화될 파라미터들은 에칭 프로세스의 특정한 화학적 또는 물리적 속성들 또는 메커니즘들에 직접 대응할 필요는 없다. 일부 경우들에서, 이들은 단순히 모델로 하여금 반응기 조건들과 같은 입력들의 미리 결정된 세트에 대한 에칭 결과들을 정확하게 예측하게 하는 파라미터들을 나타낸다. In certain embodiments, the techniques disclosed herein optimize the chemical, physical, and/or behavioral input parameter values used by these models, sometimes referred to as “floated” process model parameter values. , Improves the prediction accuracy of models by determining more effective sets of values for the parameters. Optimizing parameters, an environment in which the optimal values determined for the basic parameters may be different from what the literature (or other experiments) determine as "true" or ideal, physical/chemical values for these parameters. They also improve the accuracy of the process simulation models used. The parameters to be optimized need not directly correspond to the specific chemical or physical properties or mechanisms of the etching process. In some cases, they simply represent parameters that allow the model to accurately predict etch results for a predetermined set of inputs such as reactor conditions.

프로세스 시뮬레이션 모델들은 프로세스 장비 내 물리적 속성들 및/또는 측정가능한 수치들, 뿐만 아니라 나노미터 레벨의 기판 및/또는 반도체 웨이퍼 속성들을 고려할 수도 있다. 그러나, 모든 웨이퍼 속성들이 편리하게 직접적으로 측정되지 않을 수도 있고, 즉, 종종 SEM (scanning electron microscopy) 및 다른 계측 기법들과 같은 현미경을 통해 관찰 및/또는 주사될 기판 샘플들의 절단 및/또는 제외한-설정을 필요로 한다. Process simulation models may take into account physical properties and/or measurable values within the process equipment, as well as substrate and/or semiconductor wafer properties at the nanometer level. However, not all wafer properties may be conveniently measured directly, i.e., cutting and/or excluding substrate samples to be observed and/or scanned through a microscope, such as often scanning electron microscopy (SEM) and other metrology techniques. Requires setting.

정의들Definitions

이하의 용어들은 순간적인 명세 전반에서 간헐적으로 사용될 수도 있다:The following terms may be used intermittently throughout the instant specification:

본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환가능하게 사용될 수도 있다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위에 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 반도체 웨이퍼를 지칭할 수 있다는 것을 이해한다. 반도체 디바이스 산업계에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이 상세한 기술은 실시예들이 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들 외에, 개시된 실시예들의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계 디바이스들, 등과 같은 다양한 물품들을 포함한다.In this specification, the terms “semiconductor wafer”, “wafer”, “substrate”, “wafer substrate”, and “partially fabricated integrated circuit” may be used interchangeably. One of skill in the art understands that the term “partially fabricated integrated circuit” may refer to a semiconductor wafer during any of the many stages of integrated circuit fabrication thereon. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. This detailed description assumes that the embodiments are implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes, and materials. Besides semiconductor wafers, other workpieces that may take advantage of the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micro-mechanical devices, etc. Include.

본 명세서에서 사용될 때 "피처 (feature)"는 기판 표면, 통상적으로 반도체 디바이스 제조 동작에서 수정될 표면 상에서 비-평면형 구조체이다. 피처들의 예들은 트렌치들, 비아들, 패드들, 필라들 (pillars), 돔들 (domes), 등을 포함한다. 피처들은 포토레지스트 현상, 마스크 규정, 리소그래픽 에칭, 리소그래픽 증착, 에피택셜 성장, 다마신 증착, 등에 의해 생성될 수도 있다. 피처는 통상적으로 종횡비 (깊이 또는 높이 대 폭) 를 갖는다. 피처 종횡비들의 예들은 적어도 약 1:0.5, 적어도 약 1:1, 적어도 약 2:1, 적어도 약 5:1, 적어도 약 10:1, 이상의 종횡비를 포함한다. 특정한 실시예들에서, 피처는 약 10 nm 내지 500 nm, 예를 들어 약 25 nm 내지 약 300 nm의 폭 치수 (CD (critical dimension) 일 수도 있음) 를 갖는다. 피처 프로파일은 피처 개구부에 오버행 (overhang) 을 포함할 수도 있고 그리고/또는 점진적으로 좁아질 수도 있다. 재차들어간 (re-entrant) 프로파일은 피처의 내부 또는 하단부로부터 피처 개구부로 좁아지는 것이다. As used herein, a "feature" is a non-planar structure on a substrate surface, typically a surface to be modified in a semiconductor device manufacturing operation. Examples of features include trenches, vias, pads, pillars, domes, and the like. Features may be created by photoresist development, mask definition, lithographic etching, lithographic deposition, epitaxial growth, damascene deposition, and the like. Features typically have an aspect ratio (depth or height to width). Examples of feature aspect ratios include aspect ratios of at least about 1:0.5, at least about 1:1, at least about 2:1, at least about 5:1, at least about 10:1, or greater. In certain embodiments, the feature has a width dimension (which may be a critical dimension (CD)) of about 10 nm to 500 nm, such as about 25 nm to about 300 nm. The feature profile may include an overhang in the feature opening and/or may gradually narrow. The re-entrant profile is one that narrows from the inside or the bottom of the feature to the feature opening.

본 명세서에서 사용될 때 "최초 프로파일"은 반도체 디바이스 제조 동작에 의해 프로세싱될 기판 표면의 기하구조의 프로파일이다. 최초 프로파일은 하나 이상의 피처들을 갖고 (또는 완전히 평면형일 수도 있고) 나중에 최초 프로파일을 수정할 반도체 디바이스 제조 동작을 위한 시작 또는 입력 프로파일로 역할한다. 최초 프로파일은 반도체 디바이스 제조 동작에 선행하는 제조 단계에 관한 정보를 사용하여 컴퓨터로 생성될 수도 있다. 대안적으로, 최초 프로파일은 반도체 디바이스 제조 동작에 선행하는 제조 단계로부터 생성된 기판 표면 상에서 계측을 수행함으로써 생성된다. 반도체 디바이스 제조 동작 동안, 실제 또는 시뮬레이팅된, 기판 표면이 최초 프로파일로부터 최종 프로파일로 수정된다. The "initial profile" as used herein is the profile of the geometry of the substrate surface to be processed by a semiconductor device manufacturing operation. The initial profile has one or more features (or may be completely planar) and serves as a starting or input profile for a semiconductor device manufacturing operation that will later modify the initial profile. The initial profile may be computer generated using information about the manufacturing steps preceding the semiconductor device manufacturing operation. Alternatively, the initial profile is created by performing metrology on the substrate surface created from the manufacturing step preceding the semiconductor device manufacturing operation. During a semiconductor device manufacturing operation, the actual or simulated substrate surface is modified from the original profile to the final profile.

본 명세서에서 사용될 때 "반도체 디바이스 제조 동작"은 반도체 디바이스들의 제조 동안 수행된 단위 동작이다. 통상적으로, 전체 제조 프로세스는 복수의 반도체 디바이스 제조 동작들을 포함하고, 각각은 플라즈마 반응기, 전기도금 셀, 화학적 기계적 평탄화 툴, 습식 에칭 툴, 등과 같은 고유의 반도체 제조 툴에서 수행된다. 반도체 디바이스 제조 동작들의 카테고리들은 공제 프로세스들, 예컨대 에칭 프로세스들 및 평탄화 프로세스들, 그리고 재료 첨가 프로세스들, 예컨대 증착 프로세스들을 포함한다. 에칭 프로세스들의 맥락에서, 기판 에칭 프로세스는 마스크 층을 에칭하는 프로세스들, 보다 일반적으로 기판 표면 상에 이전에 증착된 그리고/또는 달리 존재하는 재료의 임의의 층을 에칭하는 프로세스들을 포함한다. 이러한 에칭 프로세스는 기판의 층들의 스택을 에칭할 수도 있다. As used herein, a "semiconductor device manufacturing operation" is a unit operation performed during manufacturing of semiconductor devices. Typically, the overall manufacturing process includes a plurality of semiconductor device manufacturing operations, each performed in a unique semiconductor manufacturing tool such as a plasma reactor, electroplating cell, chemical mechanical planarization tool, wet etch tool, and the like. Categories of semiconductor device manufacturing operations include subtraction processes, such as etching processes and planarization processes, and material addition processes, such as deposition processes. In the context of etching processes, a substrate etching process includes processes that etch a mask layer, more generally processes that etch any layer of material previously deposited and/or otherwise present on the substrate surface. This etching process may etch the stack of layers of the substrate.

본 명세서에서 사용될 때 "반도체 디바이스 제조 동작의 결과"는 반도체 제조 동작을 겪는 기판의 특징이다. 이러한 결과의 일 예는 반도체 제조 동작 후 기판의 기하학적 프로파일이다. 프로파일은 피처들의 그룹 또는 피처의 위치들을 나타내는 공간의 지점들의 세트이다. 예들로서, 프로파일은 에칭된 피처의 프로파일, 증착된 피처의 프로파일, 평탄화된 피처의 프로파일, 등일 수도 있다. 또 다른 예에서, 반도체 제조 동작의 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 같은 하나 이상의 기판 피처와 입사 전자기 방사선의 상호 작용에 의해 생성된 신호이다. 이러한 예들에서, 결과는 파장 및/또는 편광 상태의 함수로서 반사율 크기를 포함할 수도 있는, 예를 들어, 반사율 신호일 수도 있다. 결과는 또한 타원 신호일 수도 있다. 또 다른 예에서, 반도체 제조 동작의 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 같은 피처의 기하구조를 특징화하는 "OCD" (Optical CD) 프로파일 파라미터들과 같은, 프로파일 파라미터들의 세트이다. 이러한 프로파일 파라미터들은 피처의 평균 CD, 피처의 측벽 각도들, 피처의 깊이, 등과 같은 피처의 전체 특징들을 특징으로 할 수도 있다. As used herein, "the result of a semiconductor device manufacturing operation" is a characteristic of a substrate undergoing a semiconductor manufacturing operation. An example of this result is the geometric profile of the substrate after the semiconductor manufacturing operation. A profile is a group of features or a set of points in space that represent locations of features. As examples, the profile may be a profile of an etched feature, a profile of a deposited feature, a profile of a planarized feature, and the like. In another example, the result of a semiconductor manufacturing operation is a signal generated by the interaction of incident electromagnetic radiation with one or more substrate features, such as etched features, deposited features, or planarized features. In such examples, the result may be a reflectance signal, for example, that may include the reflectance magnitude as a function of wavelength and/or polarization state. The result may also be an elliptic signal. In another example, the result of a semiconductor fabrication operation is a set of profile parameters, such as "OCD" (Optical CD) profile parameters that characterize the geometry of the feature, such as an etched feature, a deposited feature, or a planarized feature. to be. These profile parameters may characterize overall features of the feature, such as the average CD of the feature, sidewall angles of the feature, depth of the feature, and the like.

반도체 제조 동작의 결과는 반도체 제조 동작 동안 복수의 시간 지점들에 걸쳐 또는 일 시간 지점에서 획득될 수도 있다. 결과가 일 시점에만 제공되면, 반도체 제조 동작이 완료된 시점일 수도 있다. The result of the semiconductor manufacturing operation may be obtained over a plurality of time points or at one time point during the semiconductor manufacturing operation. If the result is provided only at one point in time, it may be the point at which the semiconductor manufacturing operation is completed.

본 명세서에 사용될 때 "반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과"는 고찰 중인 디바이스 제조 동작을 위한 산출 모델, 예를 들어, 프로세스 시뮬레이션 모델과 같이 컴퓨터로 생성된 반도체 디바이스 제조 동작의 예측된 결과이다. 특정한 실시예들에서, 산출 프로세스는 기하학적 프로파일 좌표들로 나타낸 예측된 피처 프로파일을 계산한다. 다른 경우들에서, 산출 프로세스는 예측된 피처 프로파일과 상호작용하는 전자기 방사선에 의해 생성된 예측된 광학 응답을 계산한다. 또 다른 경우들에서, 산출 프로세스는 반도체 디바이스 제조 동작에 의해 생성된 피처 프로파일의 예측된 기하학적 프로파일 파라미터들 (예를 들어, 산출된 에칭 프로파일의 기하구조를 특징으로 하는 OCD 프로파일 파라미터들의 세트) 을 계산한다. 일부 실시예들에서, 피처 프로파일들, 광학 응답들, 및/또는 프로파일 파라미터들은 (반도체 디바이스 제조 동작이 발생하는) 시간의 함수로서 산출된다. 특정한 실시예들에서, 반도체 디바이스 제조 동작의 결과를 예측하기 위해, 산출 프로세스는 반도체 기판 상의 피처 프로파일을 나타내는 지점들의 그리드에서 국소적 반응 레이트들을 예측한다. 이는 산출의 시작시 사용된 최초 프로파일로부터 도출되는 기판/피처 프로파일을 발생시킨다. As used herein, the "computer predicted result of semiconductor device manufacturing operation" is a calculated model for the device manufacturing operation under consideration, for example, a predicted result of a computer-generated semiconductor device manufacturing operation, such as a process simulation model. . In certain embodiments, the calculation process calculates a predicted feature profile represented by geometric profile coordinates. In other cases, the calculation process calculates a predicted optical response produced by electromagnetic radiation interacting with the predicted feature profile. In still other cases, the calculation process calculates the predicted geometrical profile parameters of the feature profile produced by the semiconductor device manufacturing operation (e.g., a set of OCD profile parameters that characterize the geometry of the calculated etch profile). do. In some embodiments, feature profiles, optical responses, and/or profile parameters are calculated as a function of time (a semiconductor device manufacturing operation occurs). In certain embodiments, to predict the outcome of a semiconductor device manufacturing operation, the calculation process predicts local reaction rates in a grid of points representing a feature profile on a semiconductor substrate. This generates a substrate/feature profile that is derived from the initial profile used at the beginning of the calculation.

산출 프로세스가 예측된 광학 응답을 계산하면, 상기 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 반사 스펙트럼 또는 타원 응답을 산출할 수도 있다. 반사 스펙트럼 또는 타원 응답은 예를 들어, "RCWA" (Rigorous Coupled Wave Analysis) 시뮬레이션 또는 "FDTD" (Finite Difference Time-Domai) 시뮬레이션을 사용하여 생성될 수도 있다.Once the calculation process calculates the predicted optical response, it is also possible to calculate the reflection spectrum or elliptic response by simulating the reflection of electromagnetic radiation from the calculated etching profile. The reflection spectrum or elliptic response may be generated using, for example, a “RCWA” (Rigorous Coupled Wave Analysis) simulation or a “FDTD” (Finite Difference Time-Domai) simulation.

특정한 실시예들에서, 산출 프로세스는 기판 피처의 기하학적 프로파일들 또는 프로파일 파라미터들의 시간 시퀀스를 생성한다. 특정한 실시예들에서, 산출 프로세스는 상이한 시간들에서 산출된 기판 피처 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 생성된 산출된 반사 스펙트럼 또는 타원 응답의 시간 시퀀스를 생성한다. 시간 시퀀스는 반도체 디바이스 제조 동작의 상이한 지속기간들에 생성될 수도 있다. 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과는 기판 공제 프로세스들 및/또는 기판 추가 프로세스들을 위해 제공될 수도 있다.In certain embodiments, the calculation process generates geometric profiles of a substrate feature or a time sequence of profile parameters. In certain embodiments, the calculation process produces a time sequence of elliptic response or a calculated reflection spectrum generated by simulating reflection of electromagnetic radiation from a calculated substrate feature profile at different times. The time sequence may be created at different durations of a semiconductor device manufacturing operation. Computer-predicted results of a semiconductor device manufacturing operation may be provided for substrate subtraction processes and/or substrate addition processes.

본 명세서에서 사용될 때 용어 "프로파일 컨디셔닝 (conditioning)"은 일부 통계적 프로파일 변동들을 평활화 (smooth) 하기 위한 산출된 에칭 프로파일의 평활화를 지칭한다. 프로파일 컨디셔닝은 상기 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅하는 것과 같은 또 다른 산출 프로세스 전 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과에 적용될 수도 있다. The term “profile conditioning” as used herein refers to the smoothing of the calculated etch profile to smooth out some statistical profile variations. Profile conditioning may be applied to the computer predicted results of the semiconductor device manufacturing operation before another computational process, such as simulating the reflection of electromagnetic radiation from the computed etch profile.

본 명세서에서 사용될 때 "계측 결과"는 적어도 부분적으로, 프로세싱된 기판의 피처들을 측정함으로써 생성된 결과를 지칭한다. 측정들은 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행하는 동안 또는 후 이루어질 수도 있다. 특정한 실시예들에서, 프로세싱된 기판의 피처들을 측정하는 것은 프로파일 좌표들을 생성한다. 이러한 실시예들에서, 프로세싱된 기판의 피처들을 측정하는 단계는 에칭된 기판에 대한 현미경법 (microscopy) (예를 들어, CD-SEM, SEM, TEM, STEM, REM, AFM), 또는 광학적 계측법을 수행하는 단계를 포함한다. 광학 계측을 사용할 때, 시스템은 측정된 광학 계측 신호들로부터 이들을 계산함으로써 프로파일 좌표들을 획득할 수도 있다. 특정한 실시예들에서, 계측 결과는 측정된 피처 프로파일 좌표들을 프로세싱된 기판의 피처의 기하구조를 특징으로 하는 기하학적 프로파일 파라미터들의 세트 (예를 들어, CD, 측벽 각도들, 깊이, 등) 로 변환함으로써 생성된다. 특정한 실시예들에서, 계측 결과는 프로세싱된 기판 상에서 반사측정법, 돔 산란측정법, 각도-분해 산란측정법, 소각 X-레이 산란측정법 및/또는 타원편광법을 수행함으로써 생성된다. 특정한 실시예들에서, 계측 결과는 특정한 프로세스에 대한 엔드포인트 검출이다. 인시츄 결정될 수도 있는, 엔드포인트 검출은 다양한 광학적 기법들에 의해 측정될 수도 있다. "Measurement result" as used herein refers to a result produced, at least in part, by measuring features of a processed substrate. Measurements may be made during or after performing a semiconductor device manufacturing operation in a reaction chamber operating under a fixed set of process parameter values. In certain embodiments, measuring the features of the processed substrate creates profile coordinates. In these embodiments, measuring the features of the processed substrate may be performed using microscopy (e.g., CD-SEM, SEM, TEM, STEM, REM, AFM), or optical metrology on the etched substrate. It includes performing steps. When using optical metrology, the system may obtain profile coordinates by calculating them from the measured optical metrology signals. In certain embodiments, the metrology result is by converting the measured feature profile coordinates to a set of geometric profile parameters (e.g., CD, sidewall angles, depth, etc.) that characterize the geometry of the feature of the processed substrate. Is created. In certain embodiments, measurement results are generated by performing reflectometry, dome scattering, angle-resolved scattering, small-angle X-ray scattering, and/or elliptical polarization on the processed substrate. In certain embodiments, the measurement result is endpoint detection for a particular process. Endpoint detection, which may be determined in situ , may be measured by various optical techniques.

특정한 실시예들에서, 계측 결과는 측정된 기하학적 프로파일들의 시간 시퀀스, 반사율 또는 타원 데이터, 또는 기판 피처의 프로파일 파라미터들로서 제공된다. 이들 측정된 계측 결과들은 반도체 디바이스 제조 동작의 상이한 지속기간들에서 생성된다.In certain embodiments, the measurement result is provided as a temporal sequence of measured geometrical profiles, reflectance or elliptic data, or as profile parameters of a substrate feature. These measured metrology results are produced at different durations of the semiconductor device manufacturing operation.

본 명세서에서 사용될 때 "프로세스 시뮬레이션 모델"은 반도체 디바이스 제조 동작의 결과를 예측하는 산출 모델이다. 달리 말하면, 결과를 출력한다. 설명된 바와 같이, 결과들의 예들은 피처 프로파일들 (예를 들어, 피처의 상세한 Cartesian 좌표들), 피처를 특징화하는 프로파일 파라미터들 (예를 들어, CD, 측벽 각도들, 깊이, 등), 및/또는 광학적 계측이 피처들을 프로브하기 위해 사용되면, 생성된 반사율/타원 데이터를 포함한다. 결과들은 시뮬레이팅된 반도체 디바이스 제조 동작 동안 생성되거나 수정된 피처들에 기초한다. 결과들은 반도체 디바이스 제조 동작 동안 1 회 이상 예측될 수도 있다. As used herein, the "process simulation model" is a calculation model that predicts the result of a semiconductor device manufacturing operation. In other words, it prints the result. As described, examples of results include feature profiles (e.g., detailed Cartesian coordinates of the feature), profile parameters characterizing the feature (e.g., CD, sidewall angles, depth, etc.), and /Or if optical metrology is used to probe the features, include the generated reflectance/ellipse data. Results are based on features created or modified during a simulated semiconductor device manufacturing operation. Results may be predicted more than once during a semiconductor device manufacturing operation.

프로세스 시뮬레이션 모델로의 입력들은 반도체 디바이스 제조 동작을 특징으로 하는 하나 이상의 프로세스 파라미터 값들을 포함한다. 입력들로서 사용된 프로세스 파라미터들은 종종 온도 (페데스탈, 샤워헤드, 등), 플라즈마 조건들 (밀도, 전위, 전력, 등), 프로세스 가스 조건들 (조성, 예컨대 컴포넌트들의 분압들, 플로우 레이트, 압력, 등), 등과 같은 반응기 조건들이다. 통상적으로, 프로세스 시뮬레이션 모델은 또한 모델링된 반도체 디바이스 제조 동작을 통해 프로세싱되기 직전에 기판 표면의 프로파일을 나타내는, 최초 프로파일 기판을 수신한다. 단순한 경우에서, 최초 프로파일은 단순히 평면형 표면이다. 보다 통상적으로, 최초 프로파일은 마스크 또는 포토레지스트 피처들과 같은 피처들을 갖는다. Inputs to the process simulation model include one or more process parameter values that characterize the semiconductor device manufacturing operation. Process parameters used as inputs are often temperature (pedestal, showerhead, etc.), plasma conditions (density, potential, power, etc.), process gas conditions (composition, e.g. partial pressures of components, flow rate, pressure, etc.) ), and the like. Typically, the process simulation model also receives an initial profile substrate, representing the profile of the substrate surface immediately before being processed through the modeled semiconductor device manufacturing operation. In the simple case, the initial profile is simply a planar surface. More typically, the initial profile has features such as mask or photoresist features.

때때로, 프로세스 시뮬레이션 모델은 기판 에칭 프로세스 또는 평탄화 프로세스와 같은 공제 프로세스를 시뮬레이팅한다. 다양한 실시예들에서, 프로세스 시뮬레이션 모델은 본 명세서에 기술된 바와 같이 에칭 프로파일 모델이다. 때때로, 프로세스 시뮬레이션 모델은 기판 증착 프로세스 (예를 들어, CVD (chemical vapor deposition), PVD (physical vapor deposition), ALD (atomic layer deposition), 등) 와 같은 부가 프로세스를 시뮬레이팅한다.Sometimes, the process simulation model simulates a subtraction process such as a substrate etching process or a planarization process. In various embodiments, the process simulation model is an etch profile model as described herein. Sometimes, process simulation models simulate additional processes such as substrate deposition processes (eg, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), etc.).

본 명세서에서 사용될 때 "구성된 프로세스 시뮬레이션 모델"은 하나 또는 플로팅된 프로세스 모델 파라미터들로 구성된 프로세스 시뮬레이션 모델을 기술한다. 이렇게 구성될 때, 그리고 입력된 프로세스 파라미터들 및 기판 최초 프로파일을 수신한 후, 프로세스 시뮬레이션 모델은 반도체 디바이스 제조 동작의 결과를 예측하도록 실행될 수 있다. "Constructed process simulation model" as used herein describes a process simulation model composed of one or the plotted process model parameters. When so configured, and after receiving the input process parameters and the substrate initial profile, the process simulation model can be executed to predict the outcome of the semiconductor device manufacturing operation.

본 명세서에서 사용될 때 "프로세스 파라미터"는 반도체 디바이스 제조 동작 동안 반응 챔버 내, 종종 동작에 의해 수정될 기판 표면 상에서 발생하는 프로세스를 특징으로 하는 파라미터이다. 통상적으로, 많은 이러한 프로세스 파라미터들은 프로세스를 고유하게 특징화하기 위해 필요하다. 일부 프로세스 파라미터들은 제어 및/또는 측정하기 상대적으로 쉬운 프로세스의 양태들을 특징으로 한다. 이러한 프로세스 파라미터들의 예들은 (페데스탈, 샤워헤드, 등의) 온도, 플라즈마 조건들 (플라즈마 밀도, 플라즈마 전위, 인가된 전력, 등), 프로세스 가스 조건들 (조성, 예컨대 컴포넌트들의 분압들, 플로우 레이트, 압력, 등), 및 페데스탈과 샤워헤드 사이의 분리와 같은 조정가능한 챔버 기하구조 파라미터들을 포함한다. 다른 프로세스 파라미터들은 직접 제어가능하지 않고 그리고/또는 용이하게 측정되지 않는 프로세스의 양태들을 특징으로 한다. 이러한 프로세스 파라미터들의 예들은 기판 표면 상의 위치에서 플라즈마 밀도, 방향, 또는 에너지와 같은 국소적 조건들, 및 반응 레이트 상수, 반응물질 및/또는 생성물 부착 계수, 반응물질 확산 상수, 생성물 확산 상수, 광학 분산 속성, 및 이들의 조합들과 같은 기계적 특징들을 포함한다. 프로세스 파라미터의 값은 프로세스 시뮬레이션 모델의 구성 또는 입력으로서 사용된다. 이 값은 스칼라, 벡터, 매트릭스, 텐서 (tensor), 등일 수도 있다. As used herein, a “process parameter” is a parameter that characterizes a process occurring within a reaction chamber during a semiconductor device manufacturing operation, often on a substrate surface to be modified by operation. Typically, many of these process parameters are needed to uniquely characterize the process. Some process parameters characterize aspects of the process that are relatively easy to control and/or measure. Examples of such process parameters include temperature (pedestal, showerhead, etc.), plasma conditions (plasma density, plasma potential, applied power, etc.), process gas conditions (composition, e.g. partial pressures of components, flow rate, etc.) Pressure, etc.), and adjustable chamber geometry parameters such as separation between the pedestal and the showerhead. Other process parameters characterize aspects of the process that are not directly controllable and/or are not easily measured. Examples of these process parameters include local conditions such as plasma density, direction, or energy at a location on the substrate surface, and reaction rate constant, reactant and/or product adhesion coefficient, reactant diffusion constant, product diffusion constant, optical dispersion. Mechanical properties such as properties, and combinations thereof. The values of the process parameters are used as inputs or constructs of the process simulation model. This value may be a scalar, vector, matrix, tensor, etc.

본 명세서에서 사용될 때 "고정된 프로세스 모델 파라미터"는 프로세스 시뮬레이션 모델에 의해 요구된 프로세스 파라미터이지만, 이 값은 프로세스 시뮬레이션 모델의 성능을 개선하기 위해 사용된 최적화 프로세스 동안 고정된다. 달리 말하면, 고정된 프로세스 모델 파라미터의 값은 최적화 프로세스 동안 변화되지 않는다. 이는 최적화 활동 동안 값이 변화하는 플로팅된 프로세스 모델 파라미터와 구별된다. 일부 실시예들에서, 고정된 프로세스 모델 파라미터는 직접 제어가능하고 그리고/또는 측정하기 쉽다. 예들은 반응 챔버 내 온도, 반응 챔버 내 하나 이상의 인가된 RF (radio frequency) 또는 플라즈마 조건들, 반응 챔버 내 하나 이상의 프로세스 가스 조건들, 반응 챔버 내 압력, 또는 이들의 임의의 조합을 포함한다. 그러나, 고정된 프로세스 모델 파라미터는 대안적으로 국소적 또는 기계적 파라미터일 수도 있다. 때때로 본 명세서에 기술된 모델 최적화 프로세스의 편의를 위해, 고정된 프로세스 모델 파라미터의 값 또는 이러한 값들의 그룹은 심볼 μ로 나타낸다.The "fixed process model parameter" as used herein is a process parameter required by the process simulation model, but this value is fixed during the optimization process used to improve the performance of the process simulation model. In other words, the value of the fixed process model parameter does not change during the optimization process. This is distinct from the plotted process model parameters whose values change during the optimization activity. In some embodiments, the fixed process model parameter is directly controllable and/or easy to measure. Examples include temperature in the reaction chamber, one or more applied radio frequency (RF) or plasma conditions in the reaction chamber, one or more process gas conditions in the reaction chamber, pressure in the reaction chamber, or any combination thereof. However, the fixed process model parameters may alternatively be local or mechanical parameters. Sometimes, for the convenience of the model optimization process described herein, the value of a fixed process model parameter or group of such values is indicated by the symbol μ.

본 명세서에서 사용될 때 "플로팅된 프로세스 모델 파라미터"는 프로세스 시뮬레이션 모델에 의해 요구된 프로세스 파라미터이지만 이 값은 최적화 프로세스 동안 플로팅 (변화, 조정, 등) 한다. 최초 또는 시드 값으로부터 최종 값으로 플로팅된 프로세스 모델 파라미터 값의 반복적인 수정은 모델 최적화 프로세스의 목적이다. 최적화 루틴이 성공하면, 플로팅된 프로세스 모델 파라미터의 최종 값으로 구성된 프로세스 시뮬레이션 모델은 플로팅된 프로세스 모델 파라미터의 최초 값으로 구성된 프로세스 시뮬레이션 모델보다 우수한 예측 능력을 제공한다. 때때로 본 명세서에 기술된 모델 최적화 프로세스의 편의를 위해, 고정된 프로세스 모델 파라미터의 값 또는 이러한 값들의 그룹은 심볼 α로 나타낸다.As used herein, the "floated process model parameter" is a process parameter required by the process simulation model, but this value floats (changes, adjusts, etc.) during the optimization process. Iterative modification of the process model parameter values plotted from the initial or seed value to the final value is the objective of the model optimization process. If the optimization routine is successful, the process simulation model consisting of the final values of the plotted process model parameters provides better prediction capability than the process simulation model consisting of the initial values of the plotted process model parameters. Sometimes for the convenience of the model optimization process described herein, the value of a fixed process model parameter or group of such values is denoted by the symbol α.

특정한 실시예들에서, 플로팅된 프로세스 모델 파라미터들은 반도체 디바이스 제조 동작을 겪는 기판의 특징을 나타낸다. 일반적인 예들은 반도체 디바이스 제조 동작 동안 반응기 내 국소적 조건들 및/또는 반응들의 기계적 속성들을 측정하는 것의 어려움을 포함한다. 일부 예들에서, 특징은 반응 레이트 상수, 반응물질 및/또는 생성물 부착 계수, 반응물질 확산 상수, 생성물 확산 상수, 국소적 플라즈마 속성들 (예를 들어, 기판 표면에서 이온 플럭스, 이온 방향, 라디칼 플럭스, 등), 광학 분산 속성, 또는 이들의 임의의 조합이다. 그러나, 플로팅된 프로세스 모델 파라미터는 이러한 파라미터들로 제한되지 않는다. 고정된 프로세스 모델 파라미터들로서 보다 통상적으로 사용될 수도 있는 파라미터들은 또한 플로팅된 프로세스 모델 파라미터(들)로서 또는 일부로서 사용될 수도 있다. 이러한 비기계적 파라미터들의 예들은 반응 챔버 내 온도, 반응 챔버 내 하나 이상의 RF 조건들, 반응 챔버 내 하나 이상의 프로세스 가스들, 반응 챔버 내 압력, 인가된 플라즈마 조건들, 또는 이들의 임의의 조합을 포함한다. 일부 실시예들에서, 플로팅된 프로세스 모델 파라미터들은 프로세스 시뮬레이션 모델에 의해 나타낸, 미리 결정된 프로세스에 대해 보다 전역으로 포커싱된 파라미터들을 포함한다. 이러한 플로팅된 프로세스 모델 파라미터들의 예들은 모두 미리 결정된 반도체 디바이스 제조 동작을 겪는 미리 결정된 재료에 대한 수직 에칭 레이트, 측방향 에칭 레이트, 공칭 에칭 깊이, 에칭 선택도, 수직 증착 레이트, 스퍼터링 수율의 플라즈마 각도 종속성, 및 스퍼터링 수율의 플라즈마 에너지 종속성을 포함한다. 플로팅된 프로세스 모델 파라미터들의 다른 예들은 다시 모두 미리 결정된 반도체 디바이스 제조 동작을 겪는 미리 결정된 재료에 대한, 이온 입사 틸팅 각도, 이온 입사 트위스트 각도, 에칭 및/또는 증착을 위한 (예를 들어, 피처 내로) 가시도, 각도 분산 (때때로 소위 소스 시그마), 부착 계수 (때때로 소위 등방성 비), 스퍼터링 최대 산출 각도, 스퍼터링 비, 및 결정 방향 당 에칭 비를 포함한다. In certain embodiments, the plotted process model parameters represent a characteristic of a substrate undergoing a semiconductor device fabrication operation. Typical examples include the difficulty of measuring the mechanical properties of local conditions and/or reactions in the reactor during semiconductor device manufacturing operation. In some examples, the characteristic is a reaction rate constant, reactant and/or product adhesion coefficient, reactant diffusion constant, product diffusion constant, local plasma properties (e.g., ion flux at the substrate surface, ion direction, radical flux, Etc.), optical dispersion properties, or any combination thereof. However, the plotted process model parameters are not limited to these parameters. Parameters, which may be more commonly used as fixed process model parameters, may also be used as or as part of the plotted process model parameter(s). Examples of such non-mechanical parameters include temperature in the reaction chamber, one or more RF conditions in the reaction chamber, one or more process gases in the reaction chamber, pressure in the reaction chamber, applied plasma conditions, or any combination thereof. . In some embodiments, the plotted process model parameters include parameters that are more globally focused for a predetermined process, indicated by the process simulation model. Examples of these plotted process model parameters are all plasma angular dependence of vertical etch rate, lateral etch rate, nominal etch depth, etch selectivity, vertical deposition rate, sputtering yield for a predetermined material undergoing a predetermined semiconductor device manufacturing operation. , And the plasma energy dependence of the sputtering yield. Other examples of the plotted process model parameters are, again, for a predetermined material that all undergoes a predetermined semiconductor device fabrication operation, for an ion incident tilt angle, an ion incident twist angle, for etching and/or deposition (e.g., into a feature). Visibility, angular dispersion (sometimes called source sigma), adhesion coefficient (sometimes called isotropic ratio), sputtering maximum calculated angle, sputtering ratio, and etching ratio per crystal direction.

다양한 실시예들에서, 플로팅된 프로세스 모델 파라미터는 반도체 디바이스 제조 동작을 겪는 기판을 특징화하는 임의의 2 이상의 프로세스 모델 파라미터들을 조합한다. 이 조합은 모델의 예측 능력에 대해 개별 파라미터들의 상대적인 중요도에 기초하여 또는 다른 인자들에 기초하여 가중될 수도 있는, 파라미터들의 개별 값들의 곱 또는 합일 수도 있다. 때때로, 개별 파라미터들의 일부 또는 모든 값들은 조합 전에 정규화된다. 일부 실시예들에서, 개별 값들은 벡터의 형태로 별도의 원인들로서 제공된다. 일 예에서, 파라미터들의 조합은 이온 밀도 및 표면 상의 재료들과의 반응 레이트일 수 있다. 임의의 다른 인자들을 고려하지 않고, 제거 확률이 이온 밀도, 반응 레이트, 기판 재료 밀도, 및 원래 프로파일의 표면적의 곱에 비례할 것이다. 그 결과, 이온 밀도 및 반응 레이트는 고유하게 결정될 수 없고 이들의 곱이 있을 수 있다. 일부 경우들에서, 플로팅된 프로세스 모델 파라미터는 디바이스 제조 동작의 물리적 및/또는 화학적 프로세스들에 대한 공지의 연결을 갖지 않는다. 이러한 플로팅된 프로세스 모델 파라미터들은 행동 프로세스 시뮬레이션 모델들을 최적화할 때 적절할 수도 있다. In various embodiments, the plotted process model parameter combines any two or more process model parameters that characterize a substrate undergoing a semiconductor device manufacturing operation. This combination may be a product or sum of individual values of the parameters, which may be weighted based on the relative importance of the individual parameters to the predictive ability of the model or based on other factors. Sometimes, some or all values of the individual parameters are normalized prior to combination. In some embodiments, individual values are provided as separate causes in the form of a vector. In one example, the combination of parameters may be an ion density and a rate of reaction with materials on the surface. Without taking into account any other factors, the probability of removal will be proportional to the product of the ion density, reaction rate, substrate material density, and surface area of the original profile. As a result, the ion density and reaction rate cannot be uniquely determined and there may be a product of them. In some cases, the plotted process model parameter does not have a known connection to the physical and/or chemical processes of the device manufacturing operation. These plotted process model parameters may be appropriate when optimizing behavioral process simulation models.

반복적 최적화 프로세스 동안, 임의의 반복에서, 플로팅된 프로세스 모델 파라미터의 값이 플로팅된 프로세스 모델 파라미터의 "현재 값"으로 생각된다. 이전 반복 동안 파라미터의 값은 소위 플로팅된 프로세스 모델 파라미터의 이전 값일 수도 있고, 연속적인 반복 동안 파라미터의 값은 소위 플로팅된 프로세스 모델 파라미터의 연속적인 값일 수도 있다. 일 반복으로부터 다음 반복으로 플로팅된 프로세스 모델 파라미터의 값의 수정은 때때로 플로팅된 프로세스 모델 파라미터의 현재 값의 소위 업데이트이다. 반복적인 최적화 프로세스의 종료시, 플로팅된 프로세스 모델 파라미터의 값은 소위 플로팅된 프로세스 모델 파라미터의 최종 값이다.During the iterative optimization process, at any iteration, the value of the plotted process model parameter is considered the "current value" of the plotted process model parameter. The value of the parameter during the previous iteration may be a previous value of a so-called floating process model parameter, and the value of the parameter during successive iterations may be a continuous value of the so-called floating process model parameter. Modification of the value of a process model parameter plotted from one iteration to the next is sometimes a so-called update of the current value of the process model parameter plotted. At the end of the iterative optimization process, the value of the plotted process model parameter is the final value of the so-called plotted process model parameter.

본 명세서에서 사용될 때, 프로세스 시뮬레이션 모델을 "최적화"하는 것은 모델이 시뮬레이팅하도록 설계되는 반도체 디바이스 제조 동작의 결과를 예측하기 위해 프로세스 시뮬레이션 모델의 능력을 개선하는 것이다. 본 명세서의 논의에서, 최적화 루틴은 종종 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값을 반복적으로 조정함으로써 프로세스 시뮬레이션 모델을 최적화한다. 최적화 동안, 플로팅된 프로세스 모델 파라미터(들)의 현재 값(들)을 사용하는, 프로세스 시뮬레이션 모델의 컴퓨터로 예측된 결과는 실험적으로 결정된 결과 (예를 들어, 계측 결과) 와, 동일한 반도체 디바이스 제조 동작을 위해 생성될 실험적으로 결정된 결과 및 예측된 결과 모두와 비교될 수도 있다. 이 비교는 예측된/시뮬레이션 결과와 실험적으로 결정된 결과 사이의 차 (또는 일치) 의 크기를 반영하는 비용 값을 제공한다. 최적화 루틴은 적어도 (i) 플로팅된 프로세스 모델 파라미터 값(들)의 값(들)이 수렴되는지 여부를 결정하고, 그리고 (ii) 값(들)이 수렴되지 않았다면, 다음 반복을 위해 플로팅된 프로세스 모델 파라미터(들)의 현재 값(들)을 조정하는 방법을 결정하기 위해 비용 값을 사용한다. 특정한 실시예들에서, 프로세스는 전역 최적화를 위해 검색하도록, 현재 반복의 비용 값뿐만 아니라 이력 반복들의 일부 또는 전부의 이전 비용 값들을 사용한다. As used herein, "optimizing" a process simulation model is to improve the ability of the process simulation model to predict the outcome of the semiconductor device manufacturing operation for which the model is designed to simulate. In the discussion herein, an optimization routine often optimizes a process simulation model by iteratively adjusting the current value of one or more plotted process model parameters. During optimization, the computer-predicted result of the process simulation model, using the current value(s) of the plotted process model parameter(s), is the same as the experimentally determined result (e.g., measurement result) and the same semiconductor device manufacturing operation It may be compared with both the experimentally determined results and the predicted results to be generated for. This comparison provides a cost value that reflects the magnitude of the difference (or match) between the predicted/simulated results and the experimentally determined results. The optimization routine at least (i) determines whether the value(s) of the plotted process model parameter value(s) converge, and (ii) if the value(s) do not converge, the plotted process model for the next iteration. The cost value is used to determine how to adjust the current value(s) of the parameter(s). In certain embodiments, the process uses the cost value of the current iteration as well as previous cost values of some or all of the historical iterations to search for global optimization.

본 명세서에서 사용될 때, 프로세스 시뮬레이션 모델의 컴퓨터로 예측된 결과와 실험적으로 결정된 결과 (예를 들어, 계측 결과) 를 "비교"하는 것은 하나 이상의 피처들 또는 2 개의 결과들의 인덱스들을 비교하는 것을 의미한다. 이 비교는 최적화 프로세스의 비용 값 또는 값들을 제공한다. 차들 (비용 값들) 의 예들은 다차원 결과 공간의 L1 및 L2 표준들, Euclidean 거리, 및 Mahalanobis 거리를 포함한다. 복수의 피처들 또는 인덱스들을 갖는 결과들을 사용한 예로서, 이 비교는 차들을 기술하기 위해 복수의 인덱스들을 추출함으로써 이루어질 수도 있다. 예들로서, 이들 인덱스들은 피처의 복수의 높이들의 CD (critical dimension) 차들, 프로세스 엔드포인트 차들 (예를 들어, 에칭 프로세스의 엔드포인트의 차들), 미리 결정된 재료에 대한 두께 차들, 또는 전체 스펙트럼의 스펙트럼 차들일 수도 있다. 이들 인덱스들은 최적화를 위한 비용 함수를 구성하고, 이 함수는 또한 각각에 대해 가중 인자들과 이들의 조합일 수도 있다. 비용 함수는 때때로 단순한 수학 연산 - B보다 넓게 해석되는, "차"로 본 명세서에 참조된다. As used herein, "comparing" a computer-predicted result of a process simulation model with an experimentally determined result (e.g., a measurement result) means comparing the indices of one or more features or two results. . This comparison gives the cost value or values of the optimization process. Examples of differences (cost values) include the L1 and L2 standards of the multidimensional result space, Euclidean distance, and Mahalanobis distance. As an example of using results with multiple features or indices, this comparison may be made by extracting multiple indices to describe the differences. By way of example, these indices may include critical dimension (CD) differences of a plurality of heights of a feature, process endpoint differences (e.g., differences in endpoints of an etching process), thickness differences for a predetermined material, or full spectrum of It could be cars. These indices constitute a cost function for optimization, which may also be weighting factors and combinations of these for each. The cost function is sometimes referred to herein as a simple mathematical operation-"difference", which is interpreted broader than B.

본 명세서에서 사용될 때, 플로팅된 프로세스 모델 파라미터 값들은, 이들로 구성된 프로세스 시뮬레이션 모델이 가까운 적용예에 대해 적절히 수행할 때, "수렴한다". 다양한 수렴 기준들이 당업계에 공지되고 적용될 수도 있다. 이들 중 일부는 이하에 기술된다. 일반적으로, 비용 값들이 최적화 루틴의 반복 각각에서 평가된다. 단일 반복 동안 생성된 비용 값은 다른 반복들로부터 비용 값들과 함께 또는 독립적으로 평가될 수도 있다. 이러한 평가는 최적화 루틴으로 하여금 수렴 체크를 수행하게 한다. 플로팅된 프로세스 모델 파라미터의 현재 값을 나타내는 비용 값 또는 비용 값들이 허용가능하게 수행하고 그리고/또는 더이상 상당히 개선하지 않는, 프로세스 시뮬레이션 모델을 제공하면, 최적화 루틴은 프로세스를 종료하고 플로팅된 프로세스 모델 파라미터의 현재 값을 최종 값으로 여긴다. 최적화 루틴은 수렴한다. 따라서, 특정한 실시예들에서, 수렴 방법은 파라미터 추정 (비용 함수) 의 에러가 더 이상 개선될 수 없을 때를 결정한다. 이는 종료 문제에 대한 Bayesian 뷰 (view) 를 허용한다. 수렴 체크는 국소적 또는 전역 최소값 (또는 비용 값의 구조에 따라 최대값) 을 검색할 수도 있다. 최적화의 종료는 예를 들어, 통계적 기울기 강하, 배치 (batch) 기울기 강하, Bayesian 최적화, 등을 채용할 수도 있다. As used herein, the plotted process model parameter values "converge" when a process simulation model composed of them performs appropriately for a close application. Various convergence criteria may be known and applied in the art. Some of these are described below. In general, cost values are evaluated at each iteration of the optimization routine. The cost value generated during a single iteration may be evaluated independently or together with cost values from other iterations. This evaluation causes the optimization routine to perform a convergence check. Once the cost value or cost values representing the current value of the plotted process model parameter provide a process simulation model that performs acceptable and/or no longer significantly improves, the optimization routine terminates the process and The current value is considered the final value. The optimization routine converges. Thus, in certain embodiments, the convergence method determines when the error of parameter estimation (cost function) can no longer be improved. This allows a Bayesian view of the termination problem. The convergence check may search for a local or global minimum (or maximum depending on the structure of the cost value). The end of optimization may employ, for example, statistical gradient descent, batch gradient descent, Bayesian optimization, and the like.

최적화 프로세스Optimization process

도 3은 특정한 실시예들에 따른 프로세스 시뮬레이션 모델을 최적화하는 최적화 프로세스 (300) 의 개괄도를 도시한다. 프로세스 시뮬레이팅된 모델이 반도체 디바이스 제조 동작을 특징화하는 프로세스 파라미터 값들을 사용하여 반도체 디바이스 제조 동작의 결과를 예측하도록 구성된다. 3 shows a schematic diagram of an optimization process 300 for optimizing a process simulation model according to certain embodiments. A process simulated model is configured to predict the outcome of the semiconductor device manufacturing operation using process parameter values that characterize the semiconductor device manufacturing operation.

방법들은, 단계 302에서, 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하는 단계를 수반할 수도 있다. 제 1 반복에서, 이들 현재 값들은 최초 값들로 고려될 수도 있다. 단계 304에서, 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들, 입력 프로파일 및 고정된 프로세스 모델 파라미터 값(들)의 세트를 프로세스 시뮬레이션 모델에 제공함으로써 구성된 프로세스 시뮬레이션 모델이 이어서 생성된다. 단계 306에서, 구성된 프로세스 시뮬레이션 모델을 사용하여, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과가 생성된다. 단계 308에서, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 적어도 부분적으로, 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 반도체 디바이스 제조 동작을 수행함으로써 생성된 계측 결과와 비교하고, 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과와 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성한다. 단계 310에서, 최적화 프로세스가 수렴하는지 여부를 결정하기 위해, 수렴 체크가 사용된다. 그렇다면, 프로세스는 311에 나타낸 바와 같이 완료된다. 그렇지 않으면, 최적화 프로세스는 현재 값(들)의 업데이트를 생성한다. 단계 312를 참조하라. 이 업데이트는 비용 값(들), 하나 이상의 플로팅된 프로세스 모델 파라미터 값들의 현재 값들 및 선택가능하게 플로팅된 프로세스 모델 파라미터 값들의 하나 이상의 이전 값들을 사용하여 생성될 수도 있다. 이 때, 프로세스 제어는 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들의 업데이트와 함께 단계 304로 돌아간다. 그 후, 단계들 304, 306, 308, 310, 및 312가 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들이 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하기 위해 수렴할 때까지 반복된다.The methods may involve receiving, at step 302, current values of one or more plotted process model parameters to be optimized. In a first iteration, these current values may be considered original values. In step 304, a configured process simulation model is then created by providing the set of current values, input profile, and fixed process model parameter value(s) of one or more plotted process model parameters to the process simulation model. In step 306, using the constructed process simulation model, a computer-predicted result of the semiconductor device manufacturing operation is generated. In step 308, the computer-predicted result of the semiconductor device manufacturing operation is compared, at least in part, with the measurement result generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under a set of fixed process parameter values, and manufacturing the semiconductor device. Generate one or more cost values based on the difference between the computer-predicted result of operation and the measurement result. In step 310, a convergence check is used to determine whether the optimization process converges. If so, the process is completed as shown at 311. Otherwise, the optimization process generates an update of the current value(s). See step 312. This update may be generated using the cost value(s), current values of one or more plotted process model parameter values, and one or more previous values of optionally plotted process model parameter values. At this point, process control returns to step 304 with an update of the current values of the one or more plotted process model parameters. Thereafter, steps 304, 306, 308, 310, and 312 are repeated until current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters.

도 4는 시뮬레이팅되고 측정된 반사율 또는 타원 값들의 비교를 채용하는 최적화 프로세스의 실시예 (400) 를 도시한다. 나타낸 바와 같이, 프로세스 시뮬레이션 모델을 최적화하는 것은 모델이 예를 들어, 컴퓨터로 생성된 피처 프로파일시뮬레이팅하도록 설계되는 반도체 디바이스 제조 동작의 결과를 예측하기 위해 프로세스 시뮬레이션 모델의 능력을 개선하는 것이다. 최적화 프로세스 (400) 의 반복적인 동작은 하나 이상의 플로팅된 프로세스 모델 파라미터들, α의 현재 값을 반복적으로 조정하는 것을 수반한다.4 shows an embodiment 400 of an optimization process that employs a comparison of simulated and measured reflectance or elliptic values. As shown, optimizing the process simulation model improves the ability of the process simulation model to predict the outcome of a semiconductor device manufacturing operation in which the model is designed to, for example, simulate a computer generated feature profile. The iterative operation of the optimization process 400 involves iteratively adjusting one or more of the plotted process model parameters, a current value of α.

일부 실시예들에서, 도면에서 "α" 및 "μ"로 표기된 2 타입들의 프로세스 모델 파라미터들의 최초 값들과 함께 최초 피처 프로파일은 앞서 논의된 에칭 프로파일 모델 (EPM) 과 같은, 프로세스 시뮬레이션 모델로 입력된다. 이 입력은 402로 예시되고, 반사율 또는 타원 비교 최적화 프로세스 (400) 를 시작한다. 앞서 도입된 바와 같이, 402로 도시된 용어들 "최초 프로파일" 및 "최초 피처 프로파일"은 상호교환가능하게 사용될 수도 있고 반도체 디바이스 제조 동작에 의해 프로세싱될 수도 있는 기판 표면 상의 공간적 위치들을 지칭할 수도 있다. 예를 들어, 최초 프로파일은 하나 이상의 피처들을 가질 수도 있고 (또는 완전히 평면형일 수도 있고), 나중에 최초 프로파일을 수정할 반도체 디바이스 제조 동작에 대한 시작 또는 입력 프로파일로 역할한다. 프로세스 모델 파라미터 α는 최적화 프로세스 (400) 동안 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들을 나타내고 고정된 모델 파라미터들 μ은 프로세스 시뮬레이션 모델을 실행하기 위해 필요하지만 최적화 절차 동안 변화지 않는 프로세스 모델 파라미터들이다. 플로팅된 및 고정된 프로세스 모델 파라미터들로서 사용된 파라미터들의 타입들이 불변으로 설정될 필요가 없고, 예를 들어, 이온 플럭스와 같은 국소적 플라즈마 속성들은 일부 최적화 실시예들에서, 플로팅된 모델 파라미터를 구성할 수도 있고, 다른 최적화 실시예들에서 고정된 모델 파라미터를 구성할 수도 있다. In some embodiments, the initial feature profile along with the initial values of the two types of process model parameters denoted as "α" and "μ" in the figure is entered into a process simulation model, such as the Etch Profile Model (EPM) discussed above. . This input is illustrated at 402 and initiates the reflectance or ellipse comparison optimization process 400. As introduced above, the terms “initial profile” and “initial feature profile” shown as 402 may be used interchangeably and may refer to spatial locations on a substrate surface that may be processed by a semiconductor device manufacturing operation. . For example, the initial profile may have one or more features (or may be completely planar), and serve as a starting or input profile for a semiconductor device manufacturing operation that will later modify the initial profile. Process model parameter α represents one or more plotted process model parameters to be optimized during the optimization process 400 and fixed model parameters [mu] are process model parameters that are required to run the process simulation model but do not change during the optimization procedure. The types of parameters used as the plotted and fixed process model parameters do not need to be set invariant, and local plasma properties, such as, for example, ion flux, may, in some optimization embodiments, constitute the plotted model parameter. Alternatively, fixed model parameters can be configured in other optimization embodiments.

다음에, 최초 프로파일, 및 파라미터들 α 및 μ의 최초 값들을 제공한 후 구성된 프로세스 시뮬레이션 모델 404이 실행된다. 실행 동안, 모델은 프로세스 시뮬레이션 모델에 의해 모델링된 반도체 디바이스 제조 동작의 결과를 예측하도록 의도된 방식으로 프로파일을 출력하기 위해 입력된 최초 프로파일을 조정한다. Next, the constructed process simulation model 404 is run after providing the initial profile and the initial values of the parameters α and μ. During execution, the model adjusts the input initial profile to output the profile in a manner intended to predict the outcome of the semiconductor device manufacturing operation modeled by the process simulation model.

프로세스 시뮬레이션 모델 404의 실행, 및 이의 수반된 계산들 및 조정들은 궁극적으로 406으로 예시된 컴퓨터로 생성된 피처 프로파일을 출력한다. 이 프로파일은 고려 중인 반도체 디바이스 제조 동작의 결과의 모델의 예측이다. 에칭 프로세스의 경우, 입력된 피처 프로파일은 기판 상의 마스크 프로파일일 수도 있고, 출력된 컴퓨터로 생성된 피처 프로파일은 마스크 개구부들 아래 기판의 에칭 프로파일일 수도 있다. 그러나, 프로세스 시뮬레이션 모델이 평탄화 프로세스 또는 부가 프로세스를 모델링하는 경우들에서, 출력된 컴퓨터로 생성된 피처 프로파일은 평탄화 또는 부가 프로세스를 반영하는 기판의 프로파일일 수도 있다. The execution of the process simulation model 404, and its accompanying calculations and adjustments, ultimately outputs a computer-generated feature profile illustrated at 406. This profile is a prediction of the model of the outcome of the semiconductor device manufacturing operation under consideration. For the etching process, the input feature profile may be a mask profile on the substrate, and the output computer-generated feature profile may be the etching profile of the substrate under the mask openings. However, in cases where the process simulation model models the planarization process or the addition process, the output computer-generated feature profile may be a profile of the substrate reflecting the planarization or addition process.

일부 실시예들에서, 프로파일 컨디셔너 및/또는 프로파일 컨디셔닝 동작 (도 4에 미도시) 이 피처 프로파일을 사용하는 또 다른 산출 프로세스 전; 예를 들어, 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅하는 산출 프로세스가 수행되기 전 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과에 대해 수행된다. In some embodiments, a profile conditioner and/or profile conditioning operation (not shown in FIG. 4) prior to another calculation process using this feature profile; For example, a calculation process simulating reflection of electromagnetic radiation from the calculated etching profile is performed on the computer-predicted results of the semiconductor device manufacturing operation before being performed.

도 4에 도시된 실시예들에서, 채용된다면, 프로파일 컨디셔너의 동작은, 406으로 나타낸 컴퓨터로 생성된 피처 프로파일에 대해 수행된다. 프로파일 컨디셔너, 또는 프로파일 컨디셔닝 동작은 예측된 피처 프로파일의 프로세스 시뮬레이션 모델에 의해 생성된 다양한 비주기적인 이탈들의 효과를 평활화 및/또는 달리 감소시킨다. 이들 변동들은 프로세스 시뮬레이션 모델의 통계적 행동에 의해 도입될 수도 있다. In the embodiments shown in Fig. 4, if employed, the operation of the profile conditioner is performed on the computer-generated feature profile, indicated by 406. The profile conditioner, or profile conditioning operation, smoothes and/or otherwise reduces the effect of the various aperiodic deviations generated by the process simulation model of the predicted feature profile. These variations can also be introduced by the statistical behavior of the process simulation model.

프로세스 시뮬레이션 모델의 출력 406인, 에칭 프로파일에 입사 전자기 방사선을 제공함으로써 생성된 반사율 패턴을 정확하게 예측하기 위해, 최적화 프로세스는 에칭된 피처들, 예컨대 피처 및/또는 피처 영역의 특정한 주기를 가정하고, 에칭될 기판 재료의 재료 속성들 및 에칭으로부터 발생된 다양한 갭들을 더 가정하는 RCWA (Rigorous Coupled-Wave Analysis) 와 같은 산출 툴 또는 알고리즘을 채용한다. 더욱이, RCWA는 상이한 재료, 공기로 주어진 재료, 즉 유전체 고체의 특정한 천이를 예상된 주기 내, 당업계에 일반적으로 규정된, 일 피처 영역의 유사한 영역으로부터 다음으로 길이-방향 거리로서 예상한다. RCWA로의 대안은 FDTD (Finite Difference Time Domain) 기법이다. In order to accurately predict the reflectance pattern generated by providing incident electromagnetic radiation to the etching profile, the output 406 of the process simulation model, the optimization process assumes a specific period of etched features, such as features and/or feature regions, and It employs a calculation tool or algorithm such as Rigorous Coupled-Wave Analysis (RCWA) that further assumes the material properties of the substrate material to be processed and the various gaps resulting from the etching. Moreover, RCWA expects a specific transition of a given material, i.e. dielectric solid, to a different material, i.e. air, as a length-wise distance to the next from a similar area of one feature area within the expected period, i . . An alternative to RCWA is the Finite Difference Time Domain (FDTD) technique.

피처의 비주기적 또는 통계적 이탈의 존재는 RCWA 방법의 적절한 동작을 간섭할 수도 있어서, 방법은 이탈이 존재할 때 생성된 반사율 또는 타원 스펙트럼을 정확하게 예측하지 못할 수도 있다. The presence of aperiodic or statistical deviations of features may interfere with the proper operation of the RCWA method, such that the method may not accurately predict the reflectance or elliptic spectrum generated when the deviation is present.

프로파일 컨디셔너는 피처로부터 특정한 비주기적인 구조체들을 식별하고 제거한다. 일부 실시예들에서, 프로파일 컨디셔너는 이탈의 높이에 기초하여 이탈을 처리할지 여부를 결정할 수도 있다. The profile conditioner identifies and removes certain aperiodic structures from the feature. In some embodiments, the profile conditioner may determine whether or not to handle the breakout based on the height of the breakout.

예를 들어, 프로파일 컨디셔너는특정한 미리 명시된 높이를 초과하는 이탈들만을 식별 및 처리하도록 구성될 수도 있다. 일부 실시예들에서, "마이크로-범프들 (micro-bumps)" 미리 명시된 높이 문턱값 밑의 이탈들은 프로파일 컨디셔너로부터 무시된다. 유사하게, 미리 명시된 높이 문턱값을 초과하는 이탈들은 반사율/타원 스펙트럼을 산출하기 위해 RCWA의 적용 전에 평균 프로파일을 생성하도록 프로파일 컨디셔너에 의해 평균되거나 달리 조합될 수도 있다For example, the profile conditioner may be configured to identify and process only deviations that exceed a certain pre-specified height. In some embodiments, "micro-bumps" ie deviations below a predefined height threshold are ignored from the profile conditioner. Similarly, deviations exceeding a predefined height threshold may be averaged or otherwise combined by the profile conditioner to produce an average profile prior to application of RCWA to yield a reflectance/elliptic spectrum.

도 4의 실시예를 다시 참조하면, 컴퓨터로 생성된 피처 프로파일은 도면에서 "R[λ]calc"로 예시된 컴퓨터로 생성된 출력된 반사율/타원형 스펙트럼을 출력하기 위해 반사율/타원형 스펙트럼 생성기 (408) 로 제공된다. 반사율/타원형 스펙트럼 생성기는 예를 들어, 모두 본 명세서의 다른 곳에 기술된 바와 같은, RCWA 또는 FDTD (Finite Difference Time Domain) 를 구현하는 알고리즘 툴일 수도 있다. 반사율/타원형 스펙트럼 생성기는 독립형 툴일 수도 있고 또는 툴 또는 루틴들의 세트로 구현될 수도 있다. 일 예에서, 반사율/타원형 스펙트럼 생성기는 Netherlands, Veldhoven 소재의 ASML Netherlands B.V.로부터 입수가능한 YieldStar™ 산란계 제품들과 같은 툴의 일부이다. 예를 들어, 전체가 참조로서 본 명세서에 인용된 Cramer 등의 "High-NA optical CD metrology on small in-cell targets enabling improved higher order dose control and process control for logic," Proceedings of SPIE,10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 101451B (28 March 2017) 를 참조하라. Referring back to the embodiment of FIG. 4, the computer-generated feature profile is a reflectance/elliptic spectrum generator 408 to output a computer-generated output reflectance/elliptic spectrum exemplified by “R[λ] calc ” in the drawing. ). The reflectance/elliptic spectrum generator may be, for example, an algorithmic tool that implements RCWA or Finite Difference Time Domain (FDTD), all as described elsewhere herein. The reflectance/elliptic spectrum generator may be a standalone tool or may be implemented as a tool or set of routines. In one example, the reflectance/elliptic spectrum generator is part of a tool such as the YieldStar™ scattering system products available from ASML Netherlands BV of Veldhoven, Netherlands. For example, "High-NA optical CD metrology on small in-cell targets enabling improved higher order dose control and process control for logic," Proceedings of SPIE, 10145, Metrology, such as Cramer, which is incorporated herein by reference in its entirety. See Inspection, and Process Control for Microlithography XXXI, 101451B (28 March 2017).

컴퓨터로 생성된 반사율/타원형 스펙트럼의 정확도는 α의 현재 값(들)으로 구성될 때 프로세스 시뮬레이션 모델의 예측 전력에 종속된다. 도시된 프로세스에서, 컴퓨터로 생성된 반사율/타원형 스펙트럼의 정확도는 프로세스 시뮬레이션 모델에 의해 모델링되는 반도체 디바이스 제조 동작에 따라 프로세싱된 실제 기판들의 피처 프로파일들로부터 생성되는 R[λ]exp 로 표기된 실험적으로 측정된 결과들 (예를 들어, 계측 결과들) 과 이들을 비교함으로써 결정된다. 시뮬레이팅된 동작들 및 실제 제조 동작들 모두 동일한 세트의 고정된 프로세스 파라미터들 및 최초 피처 프로파일을 사용한다. 실제 (비컴퓨터로 생성된) 결과들은 최적화 시스템 (400) 의 414로 예시된 바와 같이, 산란측정법 (scatterometry) 및 타원편광법과 같은 광학 계측 기법들로부터 실험적으로 측정된 반사율/타원형 스펙트럼일 수도 있다. 산출되고 실험적으로 생성된 결과들은 동일한 검출 기법 (예를 들어, 광학 계측에 대해 동일한 편광, 파장 범위, 입사 각도 및 검출, 등) 및 동일한 피처 특징 (예를 들어, 특정한 깊이에서 CD, 엔드포인트 검출, 증착된 층 두께, 등) 에 대한 것이다.The accuracy of the computer-generated reflectance/elliptic spectrum depends on the predicted power of the process simulation model when constructed with the current value(s) of α. In the illustrated process, the accuracy of the computer-generated reflectance/elliptic spectrum is experimentally measured, expressed as R[λ] exp , generated from feature profiles of real substrates processed according to the semiconductor device manufacturing operation modeled by the process simulation model. It is determined by comparing the resulted results (eg measurement results) with them. Both simulated operations and actual manufacturing operations use the same set of fixed process parameters and an initial feature profile. Actual (non-computer generated) results may be reflectance/elliptic spectra experimentally measured from optical metrology techniques such as scatterometry and elliptic polarization, as illustrated by 414 of optimization system 400. Calculated and experimentally generated results are based on the same detection technique (e.g., the same polarization, wavelength range, angle of incidence and detection, etc. for optical instrumentation) and the same feature characteristics (e.g. CD at a specific depth, endpoint detection , Deposited layer thickness, etc.).

컴퓨터로 예측된 결과 R[λ]calc, 및 계측 생성된 결과 R[λ]exp 는 예를 들어, 도면에서 R[λ]exp - R[λ]calc로 식별된 하나 이상의 비용 값들을 출력하도록 "비용 함수 계산기" (412) 에 의해 비교된다 (예를 들어, 차, 비, 또는 다른 메트릭이 결정된다). 이 비교는 예측된/시뮬레이션 결과, 예를 들어, R[λ]calc와 실험적으로 결정된 결과, R[λ]exp 사이의 차 (또는 일치) 의 크기를 반영하는 비용 값(들)을 제공한다. 최적화 시스템 (400) 은 적어도 (i) 플로팅된 프로세스 모델 파라미터 값(들)의 값(들)이 수렴되었는지 여부를 결정하고, 그리고 (ii) 값(들)이 수렴되지 않았다면, 다음 반복을 위해 플로팅된 프로세스 모델 파라미터(들)의 현재 값(들)을 조정하는 방법 및 정도를 결정하기 위해 비용 값을 사용한다. 앞서 도입된 바와 같이, 비교 결과들의 일 예 (비용 값들) 는 단순히 다차원 결과 공간의 Euclidean 거리이다. 특정한 실시예들에서, 비용 함수는 특정한 형태의 이미지로 산란측정 결과들을 제공하는 YieldStar™ 산란계 제품들과 같은 산란측정 툴과 연관된 결과 공간에서 결정된다. The computer-predicted result R[λ] calc , and the measurement-generated result R[λ] exp are, for example, R[λ] exp -Compared by "cost function calculator" 412 to output one or more cost values identified as R[λ] calc (eg, difference, ratio, or other metric is determined). This comparison is based on the predicted/simulated result, e.g. R[λ] calc and the experimentally determined result, R[λ] exp It provides the cost value(s) reflecting the magnitude of the difference (or coincidence) between. The optimization system 400 at least (i) determines whether the value(s) of the plotted process model parameter value(s) have converged, and (ii) if the value(s) have not converged, plotting for the next iteration. The cost value is used to determine how and to what extent to adjust the current value(s) of the processed model parameter(s). As introduced earlier, one example of comparison results (cost values) is simply the Euclidean distance of the multidimensional result space. In certain embodiments, the cost function is determined in a result space associated with a scatter measurement tool, such as YieldStar™ scatterometer products, that provide scatter measurement results in a particular type of image.

추정기 (418) 는 플로팅된 프로세스 모델 파라미터 값, α의 잠재적인 수렴을 평가하기 위한 알고리즘인 "수렴 체커"를 채용한다. 일부 실시예들에서, 수렴 체커 또는 추정기 (418) 의 실행은 하나 이상의 비용 값들의 실질적으로 국소적 또는 전역 최소값 및/또는 최근 반복들에 걸쳐 α의 변화의 양을 식별하는 것을 수반한다. α의 수렴 결정시, 수렴 체커는 이를 420에서 나타내고, 플로팅된 프로세스 모델 파라미터(들) α의 최종, 또는 최적화된 값의 출력으로 이어진다. 앞서 나타낸 바와 같이, 예를 들어, 402에 제공된 최초 또는 시드 값으로부터 예를 들어, 422에서 출력된, 최종 값으로 플로팅된 프로세스 모델 파라미터 값의 반복적인 수정은 최적화 시스템 (400) 에 의해 수행된 모델 최적화 프로세스의 목적이다. 일부 실시예들에서, 최적화 프로세스의 반복적인 실행은 플로팅된 프로세스 모델 파라미터의 최종 값으로 구성된 프로세스 시뮬레이션 모델을 발생시킬 것이고, 이는 예를 들어, 플로팅된 프로세스 모델 파라미터의 최초 값으로 구성된 프로세스 시뮬레이션 모델보다 우수한 예측 능력을 제공한다. Estimator 418 employs a "convergence checker", an algorithm for evaluating the potential convergence of the plotted process model parameter values, α. In some embodiments, execution of the convergence checker or estimator 418 involves identifying a substantially local or global minimum of one or more cost values and/or an amount of change in α over recent iterations. Upon determining the convergence of α, the convergence checker represents it at 420, leading to the output of the final, or optimized value of the plotted process model parameter(s) α. As indicated above, iterative modification of the process model parameter values plotted to the final value, e.g., output at 422, from the initial or seed value provided at 402, for example, is a model performed by the optimization system 400 This is the purpose of the optimization process. In some embodiments, iterative execution of the optimization process will generate a process simulation model consisting of the final values of the plotted process model parameters, which is, for example, a process simulation model consisting of the initial values of the plotted process model parameters. Provides excellent predictive ability.

종종, 최종 수렴 기준을 만족하기 전, 최적화 루틴의 1회 이상의 반복들 동안, 수렴 체커 (418) 의 실행은 비용 값들이 요구된 수렴 조건에 도달하지 못하였다는 것을 나타낼 것이다. 이러한 예들에서, 수렴 체커는 424에 예시된 바와 같이 α의 현재 값을 조정하고 α의 조정된 값을 출력한다. α를 조정하는 것은 당업자에게 이해될 바와 같이, α 및/또는 비용 값의 현재 값, 뿐만 아니라, 선택가능하게, 하나 이상의 α의 이전 값들 및/또는 비용 값의 이전 값들을 채용할 수도 있다. 기울기 강하 기법은 이 목적을 위해 채용될 수도 있다. 조정된 α는 프로세스 시뮬레이션 모델 404에서 고정된 프로세스 파라미터들, μ를 일정하게 유지하면서, 426에서 예시된 바와 같이, 이어서 재입력된다. 달리 말하면, 프로세스 시뮬레이션 모델은 α의 조정된 값으로 재구성된다. 프로세스 시뮬레이션 모델은 이어서 동일한 최초 프로파일 및 동일한 고정된 프로세스 모델 파라미터들, 그러나 조정된 플로팅된 프로세스 모델 파라미터들로 재실행된다. 최적화 시스템 (400) 은 이어서 컴포넌트들 404 내지 418의 동작들을 반복하고, 이는 요구된 수렴 조건을 달성할 수도 있고, 또는 수렴이 달성되지 않으면, 컴포넌트들 404 내지 426의 동작들을 반복한다. 그러나, 414에서 획득된 계측 결과들은 이 새로운 사이클로 재사용될 수도 있다. 이 사이클을 통해, 최적화 시스템 (400) 은 필요에 따라 α를 더 조정할 수도 있다. 최적화 프로세스는 비용 값에 대해 수렴 조건을 만족하는 것에 대응하는, α의 최종 값을 출력할 수 있도록 필요에 따라 여러 번 반복들로 계속된다. Often, during one or more iterations of the optimization routine, before meeting the final convergence criterion, execution of convergence checker 418 will indicate that the cost values have not reached the required convergence condition. In these examples, the convergence checker adjusts the current value of α and outputs the adjusted value of α as illustrated at 424. Adjusting α may employ the current value of α and/or the cost value, as well as, optionally, one or more previous values of α and/or previous values of the cost value, as will be appreciated by those skilled in the art. The gradient descent technique may be employed for this purpose. The adjusted α is then re-entered, as illustrated at 426, keeping the fixed process parameters, μ, in the process simulation model 404 constant. In other words, the process simulation model is reconstructed with the adjusted value of α. The process simulation model is then rerun with the same initial profile and the same fixed process model parameters, but with adjusted floating process model parameters. Optimization system 400 then repeats the operations of components 404-418, which may achieve the required convergence condition, or if convergence is not achieved, repeats the operations of components 404-426. However, the measurement results obtained at 414 may be reused with this new cycle. Through this cycle, the optimization system 400 may further adjust α as needed. The optimization process continues with iterations as many times as necessary to output a final value of α, corresponding to satisfying the convergence condition for the cost value.

이제 도 5를 참조하면, 최적화 루틴 (500) 의 예가 도시된다. (반사율/타원 값들의 비교를 채용하는) 앞서 도 4에 도시된 최적화 시스템 (400) 과 반대로, 여기 도 5에 도시된 최적화 시스템 (500) 은 506로 예시된, 컴퓨터로 생성된 피처 프로파일 (미리 결정된 입력 α에 대해) 을 예를 들어 에너지 분산적인 "X-SEM" (X-ray Scanning Electron Microscopy) 를 통해, 예를 들어, 520에서 예시된 바와 같이 수렴하도록 α를 조정하기 위해 피처 프로파일의 실험적으로 도출된 측정값에 대해 비교한다. 이 경우, 프로세스 시뮬레이션 모델은 피처 프로파일을 바로 출력하고, 프로세스는 반사율/타원형 스펙트럼 생성기 (408) 를 포함하지 않는다. 물론, 프로세스 시뮬레이션 모델이 피처 프로파일들 이외의 정보를 출력하면, 방법은 프로파일에 대한 출력을 변화시키도록 적절한 변환기를 필요로 할 것이다. Referring now to FIG. 5, an example of an optimization routine 500 is shown. In contrast to the optimization system 400 previously shown in FIG. 4 (which employs a comparison of reflectance/ellipse values), the optimization system 500 shown here in FIG. 5 is a computer-generated feature profile (pre- For the determined input α), for example via an energy dissipative “X-SEM” (X-ray Scanning Electron Microscopy), for example, the experimental feature profile to adjust α to converge as illustrated in 520 Compare the measured values derived by. In this case, the process simulation model outputs the feature profile directly, and the process does not include a reflectance/elliptic spectrum generator 408. Of course, if the process simulation model outputs information other than feature profiles, the method will require an appropriate converter to change the output for the profile.

최적화 프로세스 (500) 의 나머지 시스템 컴포넌트들 및 동작들 502, 504, 506, 512, 516, 518, 520, 522, 524, 및 526은 앞서 제시된 최적화 프로세스 (400) 의 컴포넌트들 및 동작들 402, 404, 406, 412, 416, 418, 420, 422, 424, 및 426과 동일하거나 그렇지 않으면 매우 유사하고, 따라서 유사하게 하나 이상의 고정된 프로세스 모델 파라미터들을 나타내는 μ를 일정하게 유지하면서, 또한 하나 이상의 플로팅된 프로세스 모델 파라미터들을 나타내는 프로세스 모델 파라미터 α를 반복적으로 최적화하도록 기능한다. The remaining system components and operations 502, 504, 506, 512, 516, 518, 520, 522, 524, and 526 of the optimization process 500 are the components and operations 402, 404 of the optimization process 400 presented above. , 406, 412, 416, 418, 420, 422, 424, and 426 are identical or otherwise very similar, and thus similarly, one or more plotted, while keeping μ constant, representing one or more fixed process model parameters. It functions to iteratively optimize the process model parameter α representing the process model parameters.

또한, 시스템 (400) 에 의해 수행된 최적화와 유사하게, 플로팅된 및 고정된 프로세스 모델 파라미터들로서 사용된 다양한 파라미터들의 타입들이 불변으로 설정될 필요가 없고, 예를 들어, 이온 플럭스와 같은 국소적 플라즈마 속성들은 일부 최적화 실시예들에서, 플로팅된 모델 파라미터를 구성할 수도 있고, 다른 최적화 실시예들에서 고정된 모델 파라미터를 구성할 수도 있다. Also, similar to the optimization performed by the system 400, the types of various parameters used as plotted and fixed process model parameters do not need to be set unchanged, e.g., local plasma such as ion flux. Attributes may constitute a plotted model parameter in some optimization embodiments, and may constitute a fixed model parameter in other optimization embodiments.

상세히, 시스템 (500) 에 의해 수행된 최적화는 (시스템 (400) 의 최적화 프로세스와 유사하게) 최초 피처 프로파일, α 및 μ의 프로세스 시뮬레이션 모델, 예컨대 에칭 프로파일 모델 (EPM) 로의 입력을 수반하는 502에서 시작된다. 프로세스 시뮬레이션 모델 (504) 은 예를 들어, 프로세스 시뮬레이션 모델에 의해 모델링된 반도체 디바이스 제조 동작의 결과 (이 경우 피처 프로파일) 를 예측하기 위해 의도된 방식으로 모델 (404) 에 의해 이루어진 것과 실질적으로 동일하거나 유사한 프로파일을 출력하도록 입력된 최초 프로파일을 조정하도록 실행된다. 모델 (506) 에 의한 프로세스 시뮬레이션 모델의 실행, 및 이의 수반된 계산들 및 조정들은 궁극적으로 506으로 예시된 컴퓨터로 생성된 피처 프로파일을 출력한다. 최적화 프로세스 (400) 에 대해 앞서 논의된 바와 같이, 이 프로파일은 고려 중인 반도체 디바이스 제조 동작의 결과의 프로세스 시뮬레이션 모델의 예측이다. In detail, the optimization performed by the system 500 (similar to the optimization process of the system 400) is at 502, which entails input to the process simulation model of the initial feature profile, α and μ, such as an etch profile model (EPM). It begins. The process simulation model 504 is substantially the same as that made by the model 404, for example, in a manner intended to predict the outcome of a semiconductor device manufacturing operation modeled by the process simulation model (in this case a feature profile) or It is executed to adjust the input initial profile to output a similar profile. Execution of the process simulation model by model 506, and its accompanying calculations and adjustments, ultimately outputs a computer-generated feature profile illustrated at 506. As discussed above for optimization process 400, this profile is a prediction of a process simulation model of the outcome of the semiconductor device manufacturing operation under consideration.

그러나, 최적화 시스템 (400) 과 달리, 최적화 시스템 (500) 은 (예를 들어, 최적화 시스템 (400) 의 408로 도시된) 반사율/타원형 스펙트럼 생성기를 채용하지 않고, 따라서 컴퓨터로 생성된 피처 프로파일 506은 비용 함수 계산기 (512) 에 바로 제공되고, 이는 또한 예를 들어, 514로 예시된 바와 같이, X-SEM을 통해 획득된 피처 프로파일의 실험적으로 도출된 측정값을 수신한다. 실험적으로 도출된 측정값은 프로세스 시뮬레이션 모델에 의해 시뮬레이팅된 반도체 디바이스 제조 동작을 사용하고 동일한 최초 기판 프로파일 및 고정된 파라미터 값들을 사용하여 실제 기판을 프로세싱함으로써 획득된다. 앞서 규정된 바와 같이, 컴퓨터로 생성되거나 실험적으로 도출된 피처 프로파일의 기하구조는 특정한 피처, 또는 피처들의 그룹의 다양한 위치들을 나타내는 공간에서 이산적인 지점들의 세트 또는 이러한 지점들을 나타내는 파라미터들 (예를 들어, 상이한 높이들에서 일련의 CD 값들) 이다. 피처 프로파일을 실험적으로 결정하기 위해 사용가능한 많은 기법들이 있다는 것을 주의한다. 일부 기법들은 프로파일들을 직접 출력하고 (예를 들어, SEM 기법 및 AFM 기법) 다른 기법들은 프로파일들을 간접적으로 출력한다 (예를 들어, 광학 계측 기법들). 후자의 경우, 직접 결과들 (예를 들어, 반사율 스펙트럼) 은 컴퓨터로 생성된 결과와 비교될 수 있기 전에 피처 프로파일들로 변환되어야 한다. However, unlike the optimization system 400, the optimization system 500 does not employ a reflectance/elliptic spectrum generator (e.g., shown as 408 of the optimization system 400), and thus computer-generated feature profile 506 Is provided directly to the cost function calculator 512, which also receives an empirically derived measure of the feature profile obtained via X-SEM, for example, as illustrated at 514. Experimentally derived measurements are obtained by using the semiconductor device manufacturing operation simulated by the process simulation model and processing the actual substrate using the same initial substrate profile and fixed parameter values. As previously specified, the geometry of a computer-generated or experimentally derived feature profile is a set of discrete points in space representing various positions of a particular feature, or group of features, or parameters representing these points (e.g. , A series of CD values at different heights). Note that there are many techniques available to experimentally determine the feature profile. Some techniques output profiles directly (eg, SEM technique and AFM technique) and other techniques indirectly output profiles (eg, optical metrology techniques). In the latter case, direct results (eg, reflectance spectrum) must be converted into feature profiles before they can be compared with computer-generated results.

최적화 시스템 (400) 의 비용 함수 계산기 (412) 의 실행과 유사하게, 비용 함수 계산기 (512) 는 예를 들어 도면에서 [에칭 프로파일]exp - [에칭 프로파일]calc (예를 들어, 516로 표기된) 로 식별된 하나 이상의 비용 값들을 출력하기 위해 컴퓨터로 생성된 피처 프로파일과 피처 프로파일의 실험적으로 도출된 측정값을 비교한다. 이 비교는 예측된/시뮬레이션 결과, 예를 들어, [에칭 프로파일]calc와 실험적으로 결정된 결과, [에칭 프로파일]exp 사이의 차 (또는 일치) 의 크기를 반영하는 비용 값(들)을 제공한다. 그리고, 최적화 시스템 (400) 과 동일하거나 유사하게, 최적화 시스템 (500) 은 적어도 (i) 플로팅된 프로세스 모델 파라미터 값(들)의 값(들)이 수렴되었는지 여부를 결정하고, 그리고 (ii) 값(들)이 수렴되지 않았다면, 다음 반복을 위해 플로팅된 프로세스 모델 파라미터(들)의 현재 값(들)을 조정하는 방법 및 정도를 결정하기 위해 비용 값을 사용한다. 물론, 플로팅된 프로세스 모델 파라미터(들)의 조정은 플로팅된 프로세스 모델 파라미터(들)의 현재 및 이전 값들 및/또는 비용 값(들)의 이전 값들로서 다른 정보를 채용할 수도 있다. Similar to the execution of the cost function calculator 412 of the optimization system 400, the cost function calculator 512, for example, in the drawing [etch profile] exp- [etch profile] calc Compare the computer-generated feature profile with an experimentally derived measure of the feature profile to output one or more cost values identified as (e.g., marked 516). This comparison provides a cost value(s) that reflects the magnitude of the difference (or coincidence) between the predicted/simulated results, eg, [etch profile] calc and experimentally determined results, [etch profile] exp . And, the same or similar to the optimization system 400, the optimization system 500 determines at least (i) whether the value(s) of the plotted process model parameter value(s) have converged, and (ii) the value If the (s) have not converged, the cost value is used to determine how and how much to adjust the current value(s) of the plotted process model parameter(s) for the next iteration. Of course, adjustment of the plotted process model parameter(s) may employ other information as current and previous values of the plotted process model parameter(s) and/or previous values of the cost value(s).

516에 예시된 비용 값(들)은 비용 함수 계산기 (512) 는 비용 값들로 나타낸 바와 같이 α의 잠재적인 수렴을 평가하기 위한 알고리즘인, 수렴 체커 (518) 로 제공된다. 일부 실시예들에서, 그리고 최적화 프로세스 (400) 에서 수렴 체커 (418) 와 동일하거나 유사하게, 수렴 체커 (518) 의 실행은 하나 이상의 비용 값들의 실질적으로 국소적 또는 전역 최소값을 식별하는 것을 수반한다. 수렴 체커에 의한 수렴 α의 표시시, 수렴 체커는 520에서 나타낸 바와 같이 수렴이 발생했다고 결정하고, 이는 최종, 또는 최적화된, 플로팅된 프로세스 모델 파라미터 α의 출력으로 이어진다. The cost value(s) illustrated at 516 are provided to a convergence checker 518, which is an algorithm for evaluating the potential convergence of α as indicated by the cost function calculator 512 as cost values. In some embodiments, and identical or similar to convergence checker 418 in optimization process 400, execution of convergence checker 518 involves identifying a substantially local or global minimum of one or more cost values. . On the indication of convergence α by the convergence checker, the convergence checker determines that convergence has occurred as shown at 520, which leads to the output of the final, or optimized, plotted process model parameter α.

앞서 나타낸 바와 같이, 예를 들어, 502에 제공된 최초 또는 시드 값으로부터 최종 값, 예를 들어, 522의 출력으로 플로팅된 프로세스 모델 파라미터 값의 반복적인 수정은 최적화 프로세스 (400) 에 의해 도시된 모델 최적화 프로세스의 목적이다. 일부 실시예들에서, 시스템 (400) 에 의한 최적화 프로세스의 반복적인 실행은 예를 들어, 플로팅된 프로세스 모델 파라미터의 최초 값으로 구성된 프로세스 시뮬레이션 모델보다 우수한 예측 능력을 제공하는, 플로팅된 프로세스 모델 파라미터, α의 최종 값으로 구성된 프로세스 시뮬레이션 모델을 발생시킬 것이다. As previously indicated, for example, iterative modification of the process model parameter values plotted from the initial or seed value provided at 502 to the output of the final value, e.g. 522, is the model optimization shown by the optimization process 400. This is the purpose of the process. In some embodiments, iterative execution of the optimization process by the system 400 provides a better predictive ability than a process simulation model consisting of, for example, the initial values of the plotted process model parameters, We will generate a process simulation model consisting of the final values of α.

그리고, 최적화 시스템 (400) 의 수렴 체커 (418) 의 실행과 동일하거나 유사하게, 최종 수렴 기준을 만족하기 전에, 수렴 체커 (518) 의 실행은 비용 값들이 요구된 수렴 조건에 도달하지 못하였다는 것을 나타낼 수도 있다. 이러한 예들에서, 수렴 체커는 524에 예시된 바와 같이 α의 현재 값을 조정하고 α의 조정된 값을 출력한다. 이 조정된 α는 프로세스 시뮬레이션 모델 504로의 고정된 프로세스 파라미터들, μ를 일정하게 유지하면서, 526에서 예시된 바와 같이, 이어서 재입력된다. 달리 말하면, 프로세스 시뮬레이션 모델은 α의 조정된 값으로 재구성된다. 프로세스 시뮬레이션 모델은 이어서 동일한 최초 프로파일 및 동일한 고정된 프로세스 모델 파라미터들, 그러나 조정된 플로팅된 프로세스 모델 파라미터들로 재실행된다. 최적화 시스템 (500) 은 이어서 이전과 같이, 컴포넌트들 502 내지 526을 통해 수행된 동작들을 반복하지만, 514에서 획득된 실험적으로 측정된 프로파일이 이 새로운 사이클에서 재사용될 수도 있다. 이 사이클 최적화를 통해, 시스템 (500) 은 필요에 따라 α를 더 조정할 수도 있다. 최적화는, 522에서 α의 최종 수렴된 값을 출력할 수 있게 필요한만큼 다수 회 계속된다.And, the same or similar to the execution of the convergence checker 418 of the optimization system 400, before the final convergence criterion is satisfied, the execution of the convergence checker 518 indicates that the cost values have not reached the required convergence condition. May indicate that. In these examples, the convergence checker adjusts the current value of α and outputs the adjusted value of α as illustrated at 524. This adjusted α is then re-entered, as illustrated at 526, while keeping the fixed process parameters, μ, into the process simulation model 504 constant. In other words, the process simulation model is reconstructed with the adjusted value of α. The process simulation model is then rerun with the same initial profile and the same fixed process model parameters, but with adjusted floating process model parameters. The optimization system 500 then repeats the operations performed through components 502-526, as before, but the experimentally measured profile obtained at 514 may be reused in this new cycle. Through this cycle optimization, system 500 may further adjust α as needed. Optimization continues as many times as necessary to output the final converged value of α at 522.

이제 도 6을 참조하면, 최적화 시스템 (600) 이 도시된다. (반사율/타원 값들의 비교를 채용하는) 도 4에 도시된 시스템 (400) 을 채용하는 실시예들과 반대로, 도 6에 도시된 최적화 시스템 (600) 은 반사율/타원형 스펙트럼 생성기 (408) 를 포함하지 않고, 프로파일 파라미터들 컨버터 (608) 를 포함하는 것으로 도시된다. 최적화 프로세스 (600) 의 나머지 동작 컴포넌트들 602 내지 624는 도 4에 도시된 최적화 시스템 (400) 에 대응하는, 컴포넌트들 402 내지 424의 대응하는 동작들과 다소 유사하다. 동일한 과잉 기술은 생략된다. Referring now to FIG. 6, an optimization system 600 is shown. In contrast to embodiments employing the system 400 shown in FIG. 4 (which employs a comparison of reflectance/elliptic values), the optimization system 600 shown in FIG. Rather, it is shown to include a profile parameters converter 608. The remaining operating components 602-624 of the optimization process 600 are somewhat similar to the corresponding operations of components 402-424, corresponding to the optimization system 400 shown in FIG. 4. The same excess technique is omitted.

앞서 설명된 바와 같이, 프로세스 시뮬레이션 모델의 실행의 결과는 모델에 의해 시뮬레이팅된 반도체 디바이스 제조 동작 후 (또는 동안) 기판의 프로파일일 수도 있다. 이러한 프로파일은 특정한 피처, 또는 피처들의 그룹 내 그리고/또는 근방의 다양한 위치들을 나타내는 공간의 이산적인 지점들의 세트로 나타낼 수도 있다. As described above, the result of execution of the process simulation model may be the profile of the substrate after (or during) the semiconductor device manufacturing operation simulated by the model. Such a profile may be represented as a set of discrete points in space representing various locations within and/or near a particular feature or group of features.

시스템 (600) 의 최적화 프로세스에서, 그리고 공간의 지점들의 세트에 의해 규정된 기판의 프로파일을 사용하는 것과 반대로, 이 프로세스는 예를 들어, 잠재적으로 보다 적은 데이터 지점들, 또는 이들의 세트를 사용하는, 피처 프로파일의 기하구조를 나타내기 위해 "프로파일 파라미터들"의 세트를 채용한다. 즉, 프로세스 시뮬레이션 모델 (604), 예를 들어, EPM은 상기 기술된 바와 같이 공간 내 아주 많은 이산적인 지점들을 가질 수도 있는 컴퓨터로 생성된 피처 프로파일을 출력한다. 610에 예시된 바와 같이, "빈약한 (parsimonious)" 프로파일로 참조될 수도 있는, 예를 들어, 프로파일 파라미터(들), Pi로 나타낸 프로파일을 출력하도록 이러한 지점들은 나중에 "프로파일 파라미터들 컨버터" (608) 에 의해 시스템적으로 감소되거나 적어도 부분적으로 제거된다. 프로파일 파라미터들의 예들은 피처 또는 피처들의 그룹의 이들 특징들: CD, 측벽 각도, 깊이, 피치, 등을 포함한다. 피처 프로파일을 프로파일 파라미터들의 세트로 변환하는 기법들은 당업계에 공지되고, 광학 CD 방법들에 대해 일반적으로 사용된다. In the optimization process of the system 600, and as opposed to using the profile of the substrate defined by the set of points in space, this process can, for example, use potentially fewer data points, or a set thereof. , Employs a set of “profile parameters” to represent the geometry of the feature profile. In other words, the process simulation model 604, e.g., EPM, outputs a computer-generated feature profile that may have many discrete points in space as described above. As illustrated at 610, these points may be referred to as a “parsimonious” profile, e.g., profile parameter(s), these points later to output a profile denoted by P i "Profile Parameters Converter" ( 608) systematically reduced or at least partially eliminated. Examples of profile parameters include those features of a feature or group of features: CD, sidewall angle, depth, pitch, etc. Techniques for converting a feature profile into a set of profile parameters are known in the art and are commonly used for optical CD methods.

앞서 기술된 최적화 프로세스들 (400 및 500) 과 유사하게, 최적화 시스템 (600) 의 비용 함수 계산기 (612) 는 X-SEM, CD-SEM, 또는 광학 계측과 같은 실험적으로 생성된 데이터로부터 도출되는, 기하학적 프로파일 파라미터들 Pi를 수신한다. 이들 프로파일 파라미터들은 OCD 방법들을 사용하여 실험적으로 생성된 데이터로부터 도출될 수도 있다. 결과는, 사다리꼴 모델 또는 코너 라운딩 (corner rounding) 모델과 같은 기하학적 모델의 상이한 양태들을 특징으로 하는 파라미터들의 피처의 기하학적 특징화이다. 비용 함수 계산기 (612) 는 또한 프로파일 파라미터들 컨버터 (608) 로부터 프로파일 파라미터들을 수신한다. 이들 입력들을 사용하여, 비용 함수 계산기 (612) 가 이들의 하나 이상의 비용 값들, 예를 들어 [Pi]exp - [Pi]calc, 616을 출력한다. 이러한 비용 값들은 수렴 체커 (418 및/또는 518) 에 대해 앞서 논의된 바와 같이 620에 예시된 바와 같이 궁극적으로 수렴을 달성하기 위해, 그리고 622에 예시된 바와 같이 최종 α를 출력하기 위해 필요에 따라 α를 반복적으로 조정하도록 수렴 체커 (618) 에 의해 유사하게 수신되고 사용된다. Similar to the optimization processes 400 and 500 described above, the cost function calculator 612 of the optimization system 600 is derived from experimentally generated data such as X-SEM, CD-SEM, or optical metrology. Receive geometric profile parameters P i . These profile parameters may be derived from data experimentally generated using OCD methods. The result is a geometric characterization of a feature of parameters that characterizes different aspects of the geometric model, such as a trapezoidal model or a corner rounding model. Cost function calculator 612 also receives profile parameters from profile parameters converter 608. Using these inputs, the cost function calculator 612 outputs one or more of their cost values, eg [P i ] exp- [P i ] calc , 616. These cost values are as discussed above for convergence checkers 418 and/or 518 to ultimately achieve convergence as illustrated at 620, and as required to output a final α as illustrated at 622. It is similarly received and used by convergence checker 618 to iteratively adjust α.

특정한 실시예들에서, 시뮬레이팅되고 계측을 통해 실험적으로 평가된 시스템들은 선택가능하게 마스크 층을 포함하여, 증착된 재료의 다층 스택들을 갖는다. 가변하는 두께들 및 선택가능하게 가변하는 재료들의 층들을 포함하는 복수 층 스택들을 사용하여 캘리브레이팅되는 프로세스 시뮬레이션 모델은 큰 실제 값을 가질 수 있다. 일반적으로 에칭 프로세스들은 동종 재료들의 다층 스택들에 대해 수행된다. 그러나, 에칭될 재료의 다층 스택들을 갖는 기판들을 사용하여 프로세스 시뮬레이션 모델을 캘리브레이팅할 때, 시뮬레이션 모델이 스택의 층들 각각에 대해 정확한 두께 값들을 사용하는 것이 중요하다. 이를 위해, 본 명세서에 기술된 방법들은 캘리브레이션에 사용될 다층 스택을 포함하는 물리적 기판이 스택의 층 각각의 두께를 결정하기 위해 계측에 의해 예비적으로 평가되는 방식으로 수행될 수도 있다. 이들 두께들은 나중에 프로세스 시뮬레이션 모델에서 고려된 기판의 산출적 표현에 사용된다. 이 방식으로, 시뮬레이션은 프로세스 시뮬레이션 모델을 캘리브레이팅하기 위해 계측에 의해 획득된 실험적 정보를 제공하도록 사용될 물리적 구조를 적절히 나타낸다.In certain embodiments, simulated and metrology experimentally evaluated systems have multilayer stacks of deposited material, optionally including a mask layer. A process simulation model calibrated using multi-layer stacks comprising varying thicknesses and selectively varying layers of materials can have large real values. Typically etching processes are performed on multilayer stacks of homogeneous materials. However, when calibrating a process simulation model using substrates with multilayer stacks of material to be etched, it is important that the simulation model uses correct thickness values for each of the layers of the stack. To this end, the methods described herein may be performed in such a way that a physical substrate comprising a multilayer stack to be used for calibration is preliminarily evaluated by metrology to determine the thickness of each layer of the stack. These thicknesses are later used in a productive representation of the substrate considered in the process simulation model. In this way, the simulation adequately represents the physical structure that will be used to provide experimental information obtained by metrology to calibrate the process simulation model.

일부 실시예들에서, 프로세스 시뮬레이션 모델이 완전히 캘리브레이팅 (예를 들어, α의 값은 모델이 자신있게 사용될 수 있는 지점으로 수렴) 된 후, 모델이 실행에 옮겨지고, (예를 들어, 리소그래피 마스크들을 규정하는, 새로운 에칭 장치를 설계하는, 에칭 프로세스 윈도우에 명시되는, 등) 과 연관된 모든 적용예들 및 에칭 결과들을 예측하기 위해 사용된다. 이러한 프로세스 시뮬레이션 모델의 실제 사용 동안, 모델이 실제 에칭 프로세스에 의해 생성된 에칭 프로파일을 정확하게 예측하는데 실패한다는 것을 알게 되면, 이러한 정보는 더 캘리브레이팅하거나 적어도 모델의 캘리브레이션을 개선하도록 채용될 수 있다. 잘못된 예측을 발생시키는 조건들에 대한 시뮬레이션 결과는 프로세스 시뮬레이션 모델에서 사용된 파라미터 값들 (α) 을 더 최적화하기 위해 에칭 프로세스의 실제 결과들과 함께 최적화 루틴에 제공된다. 이러한 방식으로, 프로세스 시뮬레이션 모델의 예측 능력은 이들이 사용되는 물리적 조건들의 영역에서 개선될 수도 있고, 및/또는 모델의 영역은 모델이 에칭 결과를 부정확하게 예측하는 에칭 조건들로 나타낸 새로운 물리적 적용예들로 확장된다. 물론, 프로세스 시뮬레이션 모델의 수명에 걸쳐, 이 재캘리브레이션은 즉, 예측 능력이 실패한 것을 발견할 때마다, 복수 회 채용될 수도 있다. In some embodiments, after the process simulation model has been fully calibrated (e.g., the value of α converges to a point where the model can be used with confidence), the model is put into execution (e.g., lithographic It is used to predict the etching results and all applications associated with defining masks, designing a new etching apparatus, specified in the etching process window, etc.). During practical use of such a process simulation model, if it is found that the model fails to accurately predict the etch profile produced by the actual etching process, this information can be employed to further calibrate or at least improve the calibration of the model. Simulation results for conditions that cause false predictions are provided to the optimization routine along with actual results of the etching process to further optimize the parameter values (α) used in the process simulation model. In this way, the predictive power of the process simulation model may be improved in the area of the physical conditions in which they are used, and/or the area of the model is represented by the etching conditions in which the model incorrectly predicts the etching result. Expands to Of course, over the lifetime of the process simulation model, this recalibration may be employed multiple times, i.e. each time it finds that the predictive ability has failed.

부가적인 실시예 - CD-SEM 최적화 데이터Additional Example-CD-SEM Optimization Data

에칭 모델이 위에서 봤을 때 웨이퍼의 표면 또는 집적 회로 칩에 평행 (또는 위의) 평면에 x 및 y 차원들을 포함하는 3차원 프로파일들을 생성할 수도 있다. 에칭 모델은 또한 웨이퍼의 표면 또는 집적 회로에 직교하는 방향으로 z-차원 정보를 제공할 수도 있다. z-방향 값은 에칭 깊이를 명시한다. 반대로, 윤곽은 x 및 y 차원들만을 포함하는 2차원 표현이다. x 및 y 차원들만이 테이프아웃 (tapeout) 을 통해 제공된 설계 레이아웃에 사용되고 리소그래피 포토마스크로 구현된다. 특정한 실시예들에서, 본 명세서에 기술된 에칭 모델들은 에칭 프로파일의 z-방향 높이를 명시함으로써 또는 에칭될 스택의 재료를 명시함으로써 x-y 윤곽들을 생성한다. 그리고, 일부 경우들에서, 에칭 프로파일은 z-방향 및 x-방향, 2개의 차원만이 제공된다. 또한, 윤곽은 x-방향, 일 차원만으로 또는 CD 또는 피치와 같은 피처 파라미터로 제공될 수도 있다. The etch model may generate three-dimensional profiles including x and y dimensions on the surface of the wafer or in a plane parallel (or above) to the integrated circuit chip when viewed from above. The etch model may also provide z-dimensional information in a direction orthogonal to the surface of the wafer or the integrated circuit. The z-direction value specifies the etch depth. Conversely, a contour is a two-dimensional representation that contains only x and y dimensions. Only the x and y dimensions are used in the design layout provided via tapeout and implemented with a lithographic photomask. In certain embodiments, the etch models described herein generate x-y contours by specifying the z-direction height of the etch profile or by specifying the material of the stack to be etched. And, in some cases, the etch profile is provided only in two dimensions, z-direction and x-direction. Further, the contour may be provided in the x-direction, in one dimension only, or as a feature parameter such as CD or pitch.

CD-SEM (또는 Critical Dimension-Scanning Electron Microscopy) 은 피처들의 (x-y 평면을 도시하는) 톱 다운 뷰 및 기판 표면 상의 패턴들, 예컨대 집적 회로 칩 또는 쿠폰을 제공하는 전자 현미경 기법이다. 이 우세 (vantage) 로부터, 이들 피처들은 기판 상의 톱 다운 윤곽들로 보여질 수도 있다. CD-SEM은 윤곽들의 나노미터 스케일 해상도를 제공할 수 있다. 날카로운 윤곽들에 더하여, CD-SEM은 경계들 (윤곽 에지들) 에서 강도 기울기들을 제공할 수도 있다. 이들 기울기들은 적어도 부분적으로, 일 높이로부터 상이한 높이로 피처 측벽이 기울어지는 피처들 상의 천이 영역들을 분명하게 보여줄 수도 있다. 단일 CD-SEM 이미지는 (아마도 개별적으로 에칭되는) 하나 이상의 층들에서 윤곽들 및 단일 층의 측벽의 기울기들을 구별하기 충분한 상세들을 제공할 수도 있다. 다단계 프로세스의 상이한 단계들에서 촬영된 복수의 CD-SEM 이미지들은 일 제조 프로세스 동작으로부터 다음 동작으로 피처 윤곽들의 진행을 도시하기 위해 오버레이될 수도 있다.CD-SEM (or Critical Dimension-Scanning Electron Microscopy) is an electron microscopy technique that provides a top down view of features (showing the x-y plane) and patterns on a substrate surface, such as an integrated circuit chip or coupon. From this vantage, these features may be viewed as top down contours on the substrate. CD-SEM can provide nanometer scale resolution of contours. In addition to sharp contours, the CD-SEM may provide intensity gradients at the boundaries (contour edges). These slopes may, at least in part, clearly show transition regions on features in which the feature sidewalls are tilted from one height to a different height. A single CD-SEM image may provide sufficient details to distinguish the contours in one or more layers (perhaps individually etched) and the slopes of the sidewall of the single layer. A plurality of CD-SEM images taken at different stages of a multi-step process may be overlaid to show the progression of feature contours from one manufacturing process operation to the next.

CD-SEM을 수행하기 위한 장치는 패턴들의 피처들의 치수들을 측정함으로써 기판 상의 패턴들을 검사하기 위한 전용 시스템을 포함할 수도 있다. CD-SEM 시스템들의 예들은 CG6300 및 KLA-Tencor 8100XP, 및 Applied Materials VeritySEM 5i를 포함한다. CD-SEM은 때때로:An apparatus for performing CD-SEM may include a dedicated system for inspecting patterns on a substrate by measuring dimensions of features of the patterns. Examples of CD-SEM systems include CG6300 and KLA-Tencor 8100XP, and Applied Materials VeritySEM 5i. CD-SEM sometimes:

ADI (After Development Inspection): 노출 툴 (리소그래피) 에 의한 패턴의 전사 및 후속 현상 후 레지스트 패턴의 검사; 그리고ADI (After Development Inspection): inspection of the resist pattern after transfer and subsequent development of the pattern by an exposure tool (lithography); And

AEI (After Etch Inspection): 마스크로서 레지스트 패턴을 사용한 에칭, 이어서 에칭된 패턴의 치수들을 측정한 후 기판의 검사를 위해 사용된다. AEI (After Etch Inspection): Etching using a resist pattern as a mask, followed by measuring the dimensions of the etched pattern, and then used for inspection of the substrate.

제작 프로세스 개발시, CD-SEM은 때때로 전사된 패턴의 특정한 프로세싱 조건들의 효과, 특히 노출 툴의 포커스 및 도즈 효과를 식별하기 위해 사용된다. 프로세스 윈도우는 노출 조건들 (포커스 및 도즈) 및 노출 결과의 관계를 사용하여 생성된다. In developing the fabrication process, CD-SEM is sometimes used to identify the effect of certain processing conditions of the transferred pattern, particularly the focus and dose effect of the exposure tool. The process window is created using the relationship of exposure conditions (focus and dose) and exposure result.

설명된 바와 같이, 에칭 모델들과 같은 프로세스 시뮬레이션 모델들의 캘리브레이션 또는 최적화는 파괴적이고 때때로 해석하기 어려운 라인 경계들을 나타낼 수도 있는, 단면 SEM 또는 TEM을 사용하여 수행될 수도 있다. 이들 기법들을 사용하는 것은 비용이 많이 들고 수집된 불충분한 샘플들을 발생시킬 수 있다. 이는 에칭 모델 캘리브레이션의 반복 시간 (turn-around time) 및 결과 정확도에 부정적으로 영향을 미친다. As described, calibration or optimization of process simulation models, such as etch models, may be performed using a cross-sectional SEM or TEM, which may exhibit destructive and sometimes difficult to interpret line boundaries. Using these techniques is expensive and can result in insufficient samples collected. This negatively affects the turn-around time and result accuracy of the etch model calibration.

캘리브레이션은 또한 톱 다운 이미지를 촬영하고 피처들의 CDs (critical dimensions) 를 추출하는, CD-SEM을 사용하여 획득된 것과 같은 톱 다운 정보를 사용하여 수행될 수도 있다. CD들을 측정하는 것은 구조체 프로파일에 매우 종속적이다. CD-SEM 사용의 과제는 CD들이 결정되는, 특히 z 방향에서 때때로 위치의 부정확성이 있다는 것이다. 구조체들의 프로파일의 잘못된 위치에서 CD들을 연관시키는 것은 캘리브레이팅된 에칭 모델의 거칠기를 제한한다. 이는 CDSAXS (critical dimension small angle X-ray scattering), 송신 전자 현미경 기법 (예를 들어, STEM), 박막, 또는 OCD 산란측정 기법들과 같은 z-방향 분해 정보를 제공하는 하나 이상의 기법들과 함께 CD-SEM을 사용함으로써 해결될 수도 있다. z-방향 컴포넌트를 포함하는 피처 표현들은 본 명세서에서 때때로 프로파일-기반 표현들로 참조되고, 이들은 x-ray 산란, TEM, 및 OCD 계측법들과 같은 프로파일-기반 계측 기법들을 생성한다. Calibration may also be performed using top-down information, such as obtained using CD-SEM, which takes a top-down image and extracts critical dimensions (CDs) of the features. Measuring CDs is highly dependent on the structure profile. The challenge of using a CD-SEM is that there is sometimes an inaccuracy of position in which CDs are determined, especially in the z direction. Associating CDs at the wrong location of the profile of the structures limits the roughness of the calibrated etch model. This is a CDSAXS (critical dimension small angle X-ray scattering), transmission electron microscopy technique (e.g., STEM), a thin film, or a CD along with one or more techniques that provide z-direction decomposition information such as OCD scattering techniques. It can also be solved by using -SEM. Feature representations comprising a z-direction component are sometimes referred to herein as profile-based representations, which produce profile-based metrology techniques such as x-ray scattering, TEM, and OCD metrology.

설명된 바와 같이, 웨이퍼 레벨에서 프로파일 진전의 면에서, 에칭 결과는 에칭 모델을 통해 시뮬레이팅될 수 있다. 본 명세서에 기술된 실시예는 에칭 모델의 플로팅된 파라미터들을 캘리브레이팅하기 위해 CD-SEM 단독 또는 다른 계측법들과 조합하여 사용한다. 특정한 실시예들에서, 캘리브레이션은 보다 상세한 프로파일 정보, 예를 들어, STEM 및/또는 광학적 산란법을 제공하는 하나 이상의 다른 계측법들에 의해 보조된 CD-SEM을 사용한다. 프로파일 계측법들 (예를 들어, 광학 산란측정법 또는 STEM) 과 함께 CD-SEM에 의해 측정될 때 시간 진전은 에칭 모델의 플로팅된 파라미터들을 최적화하는 경계 조건들 (캘리브레이션 정보) 를 제공할 수 있다. CD-SEM에 의해 생성된 톱 다운 윤곽들의 나노미터 해상도는 CDSAXS, TEM, 및/또는 OCD 기법들에 의해 수집된 측면 프로파일 정보의 정확도에 의해 보상된다. 캘리브레이션 프로세스는 웨이퍼-레벨 (예를 들어, 클립 설계 라이브러리로부터 게이지들) 에서 복수의 테스트 패턴들/샘플들을 채용할 수도 있다. 테스트 패턴 각각은 에칭 또는 프로세싱이 수행되는 테스트 기판으로 전사되고 발생되는 기판 피처들은 CD-SEM 및 선택가능하게 하나 이상의 다른 계측 기법들을 사용하여 측정된다. As explained, in terms of profile progress at the wafer level, the etch results can be simulated through an etch model. The embodiment described herein uses CD-SEM alone or in combination with other metrology methods to calibrate the plotted parameters of the etch model. In certain embodiments, the calibration uses CD-SEM assisted by one or more other metrology methods that provide more detailed profile information, eg, STEM and/or optical scattering. The time progression when measured by CD-SEM with profiling measurements (eg, optical scattering or STEM) can provide boundary conditions (calibration information) that optimize plotted parameters of the etch model. The nanometer resolution of the top down contours generated by the CD-SEM is compensated for by the accuracy of the side profile information collected by CDSAXS, TEM, and/or OCD techniques. The calibration process may employ multiple test patterns/samples at the wafer-level (eg, gauges from the clip design library). Each of the test patterns is transferred to a test substrate on which etching or processing is performed and the resulting substrate features are measured using CD-SEM and optionally one or more other metrology techniques.

CD-SEM 출력을 사용한 최적화는 도 4, 도 5, 및 도 6으로 도시된 절차들 중 임의의 하나 이상을 사용하여 수행될 수도 있다. CD-SEM을 사용한 프로세스들에 특정적인 별도의 프로세스 플로우가 도 8에 도시된다. Optimization using the CD-SEM output may be performed using any one or more of the procedures shown in FIGS. 4, 5, and 6. A separate process flow specific to processes using CD-SEM is shown in FIG. 8.

도 8에 도시된 바와 같이, 프로세스는 프로세스 시뮬레이션 모델들을 실행하기 위해 필요한 다양한 파라미터들의 공급으로 시작된다. 전체 프로세스가 이들 파라미터들, 플로팅된 파라미터(들) 중 하나를 반복적으로 개선한다. 처음에, 프로세스 시뮬레이션 모델 (본 명세서에서 "SEM3D 또는 SKM"로 식별됨) 은 (a) 제어 파라미터 (α 벡터 또는 플로팅된 파라미터(들)), (b) 상수 파라미터 (μ 벡터 또는 고정된 파라미터(들)), (c) 인입 프로파일 (레지스트, 마스크, 또는 다른 겹겹 (over-layer) 설계 레이아웃의 x-z 표현) 및 (d) 인입 패턴 (패턴 레지스트, 마스크, 또는 다른 겹겹 설계 레이아웃의 톱 다운 x-y 표현) 을 입력으로서 수신한다. 이들 입력들로 프로세스 시뮬레이션 모델을 실행하는 것은 출력으로서, 피처 프로파일 (x-z), 윤곽 (x-y), 및 모델링될 하나 이상의 피처들에 대한 LER 또는 LWR 중 하나 이상을 제공한다. As shown in Fig. 8, the process begins with the supply of various parameters necessary to run the process simulation models. The whole process iteratively improves one of these parameters, the plotted parameter(s). Initially, the process simulation model (identified herein as "SEM3D or SKM") is (a) a control parameter (α vector or plotted parameter(s)), (b) a constant parameter (μ vector or a fixed parameter ( S)), (c) lead-in profile (xz representation of resist, mask, or other over-layer design layout) and (d) lead-in pattern (top-down xy representation of pattern resist, mask, or other over-layer design layout). ) As input. Running the process simulation model with these inputs provides as output one or more of a feature profile (x-z), a contour (x-y), and a LER or LWR for one or more features to be modeled.

내측 최적화 루프에서, 톱 다운 계측 데이터 (예를 들어, CD-SEM) 와 함께 단면 계측 데이터 (예를 들어, CDSAXS, STEM, X-SEM, 등) 는 인입 프로파일/패턴을 사용하여 프로세싱되고 프로세스 시뮬레이션 모델에 의해 모델링된 디바이스 제조 동작을 겪는 하나 이상의 기판들로부터 제공된다. 계측 데이터의 값(들)은 프로세스 시뮬레이션 모델의 대응하는 출력(들)과 비교될 수 있고 (A=A'인지?; B=B'인지?; 그리고 C=C'인지?) 임의의 차들이 상기 기술된 바와 같이 하나 이상의 비용 함수들을 생성하도록 사용될 수도 있다. In the inner optimization loop, cross-section measurement data (e.g., CDSAXS, STEM, X-SEM, etc.) along with top-down metrology data (e.g. CD-SEM) are processed using the incoming profile/pattern and process simulation It is provided from one or more substrates that undergo a device manufacturing operation modeled by the model. The value(s) of the metrology data can be compared to the corresponding output(s) of the process simulation model (A=A'?; B=B'?; And C=C'?) It may be used to generate one or more cost functions as described above.

외측 최적화 루프에서, 프로세스 시뮬레이션 모델에 의해 출력된 프로파일, 윤곽, 및/또는 LER/LWR은 상기 기술된 바와 같이 RCWA 또는 FDTD와 같은 스펙트럼 생성기에 제공된다. 발생되는 결과는 상이한 비용 함수를 생성하기 위해, 다시 상기 기술된 바와 같이, 실험적으로 생성된 스펙트럼에 대해 비교된다 (B=B'?). 실험적으로 생성된 스펙트럼은 OCD 변환으로 또는 변환 없이 임의의 수의 광학 계측 기법들에 의해 생성될 수도 있다. 도 4 및 도 6의 논의를 참조하라. 특정한 실시예들에서, 특정한 산란 측정 툴 또는 기법 (예를 들어, 상기 기술된 YieldStar™ 툴들) 에 사용된 공간에서 비교가 수행된다. In the outer optimization loop, the profile, contour, and/or LER/LWR output by the process simulation model are provided to a spectrum generator such as RCWA or FDTD as described above. The resulting results are compared against the experimentally generated spectrum, again as described above, to produce a different cost function (B=B'?). The experimentally generated spectrum may be generated by any number of optical metrology techniques with or without OCD conversion. See discussion of FIGS. 4 and 6. In certain embodiments, the comparison is performed in the space used for a particular scatter measurement tool or technique (eg, YieldStar™ tools described above).

내측 및 외측 최적화 루프들은 단독으로 또는 함께 사용될 수도 있다. 함께 사용될 때, A, C, 및 D 중 하나 이상과 함께 일반 파라미터들 B에 기초하여 조합된 비용 함수가 생성된다. 이어서 비용 함수가 본 명세서의 다른 곳에서 기술된 바와 같이, 플로팅된 파라미터, α의 값을 조정하도록 사용된다. The inner and outer optimization loops may be used alone or together. When used together, a combined cost function is created based on the general parameters B with one or more of A, C, and D. The cost function is then used to adjust the value of the plotted parameter, α, as described elsewhere herein.

CD-SEM의 배면 산란 전자 강도는 CD 윤곽 및 LWR/LER (line width roughness 및/또는 line edge roughness) 의 결정을 가능하게 한다. 이 정보는 모델 캘리브레이션을 용이하게 하도록 CD-SEM 출력으로서 제공될 수도 있다. 프로세스 시뮬레이션 모델 (예를 들어, 본 명세서에 기술된 바와 같이 표면 동태 모델 (kinetic model) 또는 행동 모델과 같은 에칭 프로파일 모델) 이 하나 이상의 게이지들/클립들로 제공된 것과 같은 설계 레이아웃 또는 마스크 또는 이의 일부와 같은 입력 구조체의 수정을 시뮬레이팅하도록 사용된다. 본 명세서의 다른 곳에 기술된 바와 같이, 하나 이상의 메트릭들의 시뮬레이팅된 결과들과 실험적 결과들 사이의 차는 비용 함수들에 의해 평가된다. CD-SEM 출력을 사용하는 비용 함수는 예를 들어, 플로팅된 파라미터 값(들), α의 비선형 회귀를 통해 최적화를 용이하게 하도록 CD-SEM (예를 들어, X-ray 산란, TEM, 또는 OCD 기법) 을 사용하지 않는 데이터 스트림들의 다른 계측 비용 함수들과 조합될 수 있다.The back scattered electron intensity of the CD-SEM enables determination of the CD contour and LWR/LER (line width roughness and/or line edge roughness). This information may be provided as a CD-SEM output to facilitate model calibration. A design layout or mask or part thereof, such as a process simulation model (e.g., an etch profile model such as a kinetic model or behavioral model as described herein) provided by one or more gauges/clips. It is used to simulate modification of the input structure such as. As described elsewhere herein, the difference between simulated results of one or more metrics and experimental results is evaluated by cost functions. The cost function using the CD-SEM output is a CD-SEM (e.g., X-ray scattering, TEM, or OCD) to facilitate optimization through nonlinear regression of, for example, plotted parameter value(s), α. Technique) can be combined with other measurement cost functions of data streams.

나타낸 바와 같이, CD-SEM 출력은 피처 윤곽들 및 LWR 및/또는 LER를 포함할 수도 있다. 부가적으로, CD-SEM 이미지들에 나타난 강도 기울기들을 통해, CD-SEM 출력은 스택의 재료 (예를 들어, 스핀-온 재료들, 하드마스크, 하부 기판, 등) 각각의 복수의 위치들, 및/또는 에칭 단계 각각 내에서, 에칭 프로파일들의 진전을 캡처할 수도 있다. 강도 기울기는 적어도 부분적으로, 측벽이 기울기를 갖는 (즉, 순전히 수직이 아님) 프로파일의 천이 영역을 반영할 수도 있다. 따라서, CD-SEM이 (x-y 평면에서) 피처 윤곽들을 결정시 뛰어날 수도 있지만, 또한 일부 z 방향 정보를 제공할 수도 있다. 이는 1D 또는 2D 구조적 정보를 보충할 수도 있다. As shown, the CD-SEM output may include feature contours and LWR and/or LER. Additionally, through the intensity gradients shown in the CD-SEM images, the CD-SEM outputs a plurality of locations of each of the material of the stack (e.g., spin-on materials, hardmask, lower substrate, etc.), And/or within each of the etching steps, the progress of the etching profiles may be captured. The intensity slope may, at least in part, reflect the transition region of the profile in which the sidewalls have a slope (ie, not purely vertical). Thus, while CD-SEM may excel in determining feature contours (in the x-y plane), it may also provide some z-direction information. This may supplement 1D or 2D structural information.

다른 계측 데이터와 함께 CD-SEM 계측 데이터를 사용함으로써, 멀티-피처들 프로세스 시뮬레이션 모델이 생성될 수도 있다. 예를 들어, 단일 에칭 모델은 (X-ray 산란, TEM, SEM, AFM, OCD, 등에 의해 캘리브레이팅된) 피처 프로파일들에 더하여, 또한 피처 또는 톱 다운으로 본 (CD-SEM에 의해 캘리브레이팅된) 패턴 윤곽들/기울기들 및/또는 (CD-SEM에 의해 캘리브레이팅된) LER 및/또는 LWR 추정치들을 예측하도록 사용될 수도 있다. 임의의 제조 동작의 이들 예측된 결과들은 하나 또는 복수의 시간 단계들로 나타낼 수 있다. 복수의 시간 단계들을 채용하는 프로세스 시뮬레이션 모델들에 대해, 캘리브레이션 정보는 계측을 통해 캡처된 복수의 시간 스냅샷들을 필요로 할 수도 있다. By using the CD-SEM metrology data along with other metrology data, a multi-feature process simulation model may be created. For example, in addition to feature profiles (calibrated by X-ray scattering, TEM, SEM, AFM, OCD, etc.), a single etch model can also be viewed as a feature or top down (calibrated by CD-SEM). Rated) pattern contours/slopes and/or LER and/or LWR estimates (calibrated by CD-SEM). These predicted results of any manufacturing operation can be expressed in one or multiple time steps. For process simulation models that employ multiple time steps, calibration information may require multiple time snapshots captured via metrology.

특정한 실시예들에서, 프로세스 시뮬레이션 모델을 최적화하기 위해, 방법은 다음의 계측 데이터의 타입들 중 2 이상의 비용 함수들을 조합할 수도 있다: 가중된 하이브리드 계측법으로 TEM/SEM/AFM (x-z 데이터의 차), OCD (스펙트럼의 차들) 및 CD-SEM (통상적으로 x-y 평면으로부터 본 윤곽/기울기 값들의 차들이 우세). 특정한 실시예들에서, 최적화 프로세스는 카이 제곱으로, L2-표준 비용 함수들의 계측의 에러 바들 (error bars) 을 채용한다. 물론, 설명된 바와 같이, 다른 비용 함수들이 사용될 수도 있다. 종래의 머신 러닝에서와 같이, 트레이닝, 승인 (validation), 및 테스팅이 사용될 수도 있다. 머신 러닝 프로세스 플로우들의 추가 설명을 위한 다른 기술을 참조하라. 전역 최적화 방법은 실험적 데이터에 대해 가장 낮은 MSE (mean square error) 를 검색하도록 사용될 수도 있다. In certain embodiments, to optimize the process simulation model, the method may combine cost functions of two or more of the following types of metrology data: TEM/SEM/AFM (difference of xz data) with weighted hybrid metrology. , OCD (differences in spectral) and CD-SEM (typically differences in contour/tilt values viewed from the xy plane dominate). In certain embodiments, the optimization process employs error bars of the measurement of L2-standard cost functions, with chi squared. Of course, as described, other cost functions could also be used. As in conventional machine learning, training, validation, and testing may be used. See other techniques for further explanation of machine learning process flows. The global optimization method may be used to search for the lowest mean square error (MSE) for experimental data.

관련된 선택가능한 지점들 - CD-SEMRelated selectable points-CD-SEM

특정한 실시예들에서, 최적화 계측 결과들은 프로파일 민감도 및 정확도 요건에 따라, OCD 및/또는 TEM과 같은, 하나 이상의 다른 계측 기법들과 함께 CD-SEM의 (예를 들어, 상이한 게이지들/클립들에 의해 제공된) 복수의 샘플 로딩 방법들을 사용하여 생성된다. In certain embodiments, the optimized metrology results are (e.g., on different gauges/clips) of the CD-SEM along with one or more other metrology techniques, such as OCD and/or TEM, depending on the profile sensitivity and accuracy requirements. Provided by) multiple sample loading methods.

계측 불확실성이 높은 경우들에서, 프로세스 시뮬레이션 모델 파라미터 공간에서 다음: 캘리브레이팅 커널 파라미터들, 에칭 물리적 파라미터들, 에칭 행동 파라미터들, 및/또는 구조적 파라미터들의 2 이상을 사용한다.In cases where metrology uncertainty is high, use two or more of the following in the process simulation model parameter space: calibrating kernel parameters, etch physical parameters, etch behavior parameters, and/or structural parameters.

커널 파라미터들 및 다른 프로파일 파라미터들의 반복적인 최적화. 국소적 피처 밀도 및 인접한 구조체들의 형상의 함수로서 프로파일 파라미터들을 나타내는 커널 파라미터들은 큰 샘플 사이즈의 CD-SEM을 사용한 1D 및 2D 이미지들에 대해 캘리브레이팅될 수도 있다. Iterative optimization of kernel parameters and other profile parameters. Kernel parameters representing profile parameters as a function of local feature density and shape of adjacent structures may be calibrated for 1D and 2D images using a large sample size CD-SEM.

에칭 모델을 캘리브레이팅하기 위해 CD-SEM-기반 하이브리드 계측을 사용하기 위한 이 최적화 프로세스 플로우는 특정한 장점들 예컨대:This optimization process flow for using CD-SEM-based hybrid metrology to calibrate the etch model has certain advantages such as:

1. CD-SEM은 2차원 윤곽 (x-y 정보) 의 정확한 입력을 제공할 수 있다. STEM은 상세하고 정확한 프로파일 (z-방향 정보를 포함) 을 제공할 수 있다. OCD는 우수한 정밀 프로파일 계측 (z-방향 정보를 포함) 을 제공한다. 이들 개별 기법들의 강도들을 조합하는 것은 우수한 정확도를 제공할 수 있다. 1. CD-SEM can provide accurate input of two-dimensional contours (x-y information). STEM can provide detailed and accurate profiles (including z-direction information). OCD provides excellent precision profile measurements (including z-direction information). Combining the strengths of these individual techniques can provide good accuracy.

2. 캘리브레이션은 에칭 모델 파라미터들과 톱 다운 윤곽들 (x-y 뷰) 사이, 선택가능하게 이들 사이 에칭 프로파일의 직접 맵핑을 허용한다. 이는 OPC/CD-SEM (산업 표준) 과 에칭 OPC + 프로파일 계측을 통합하기 위한 직접적인 경로를 제안한다. OPC 및 CD-SEM은 때때로 프로파일 기여를 고려하지 않고 산업계에서 사용된다. 본 명세서의 일부 구현예들에서, 에칭 프로파일의 고려사항들은 표준 OPC 플로우로 통합된다. 또한, 에칭 모델이 프로파일 계측과 비교되는 시뮬레이팅된 프로파일을 제공하면, 접근방법은 (시뮬레이팅된 프로파일을 사용하여) EtchOPC로 OPC 시뮬레이션을 확장할 뿐만 아니라 에칭 후 CD-SEM+프로파일 계측으로 CD-SEM을 확장한다. 이 "에칭 연장부"는 표준 OPC 플로우로 통합될 수 있다. 2. The calibration allows direct mapping of the etch profile between the etch model parameters and the top down contours (x-y view), optionally between them. This suggests a direct path for integrating OPC/CD-SEM (industry standard) and etch OPC + profile measurements. OPC and CD-SEM are sometimes used in industry without considering profile contribution. In some implementations herein, the considerations of the etch profile are incorporated into a standard OPC flow. In addition, if the etch model provides a simulated profile compared to the profile measurement, the approach extends the OPC simulation to EtchOPC (using the simulated profile) as well as CD-SEM with CD-SEM + profile measurement after etching. Expand This "etch extension" can be integrated into a standard OPC flow.

3. 프로파일 진전은 단계 각각의 일관성 및 평가 에러를 비교하는 방법을 제안하는, CD-SEM 윤곽들의 에칭-단계식 변화와 관련된다. 3. Profile progress is related to the etch-step change of CD-SEM contours, suggesting a way to compare the consistency and evaluation error of each step.

4. 저 비용: OCD (는 비파괴적 기법이다) (전자 빔과의 상호작용으로 인해 바이어스되는) CD-SEM보다 적은 비용이 들고, 결국 STEM (파괴적) 보다 적은 비용을 가질 수도 있다. 4. Low cost: OCD (which is a non-destructive technique) costs less than CD-SEM (which is biased due to interaction with the electron beam), and may eventually have less cost than STEM (destructive).

에칭 프로파일 모델들Etch Profile Models

언급된 바와 같이, 에칭 프로파일 모델들 (EPMS) 은 프로세스 시뮬레이션 모델의 일 타입이다. 이들은 특정한 근본적인 물리적 및 화학적 에칭 프로세스들 및 반응 메커니즘들과 같은 에칭 반응의 일부 특징들을 특징으로 하는, 입력 에칭 반응 파라미터들 (독립 변수들) 의 세트로부터 이론적으로 결정된 에칭 프로파일을 산출한다. 이들 프로세스들은 에칭될 피처들 및 이들 주변을 나타내는 그리드의 위치 및 시간의 함수로서 모델링될 수도 있다. 입력 파라미터들의 예들은 플라즈마 파라미터들 예컨대 이온 플럭스 및 화학 반응 파라미터들 예컨대 특정한 화학 반응이 발생할 확률을 포함한다. 다른 예들은 에칭될 기판의 특징들 (예를 들어, 두꼐들 및 재료들의 층단위 (layer-by-layer) 기술), 에칭될 하나 이상의 피처들에 대한 최초 마스크 레이아웃, 프로세스 챔버 조건들, 등을 포함한다. 이러한 파라미터들은 압력, 기판 온도, 플라즈마 소스 파라미터들 (예를 들어, 플라즈마 소스에 제공된 전력, 주파수들, 듀티 사이클들), 반응물질들, 및 이들의 플로우 레이트들과 같은 프로세스 조건 및 일반적인 반응기 구성들로부터 이들을 계산하는 다른 모델들을 포함하여, 다양한 소스들로부터 획득될 수도 있다. 일부 실시예들에서, 이러한 모델들은 EPM의 일부일 수도 있다.As mentioned, etch profile models (EPMS) are a type of process simulation model. They produce a theoretically determined etch profile from a set of input etch reaction parameters (independent variables), which characterize some characteristics of the etch reaction, such as specific underlying physical and chemical etch processes and reaction mechanisms. These processes may be modeled as a function of time and position of the grid representing the features to be etched and their surroundings. Examples of input parameters include plasma parameters such as ion flux and chemical reaction parameters such as the probability of a particular chemical reaction occurring. Other examples include the characteristics of the substrate to be etched (e.g., a layer-by-layer description of thicknesses and materials), the initial mask layout for one or more features to be etched, process chamber conditions, etc. Include. These parameters include process conditions and general reactor configurations such as pressure, substrate temperature, plasma source parameters (e.g., power provided to the plasma source, frequencies, duty cycles), reactants, and their flow rates. It may be obtained from a variety of sources, including other models that calculate them from. In some embodiments, these models may be part of the EPM.

EPM은 (본 명세서에 기술된 최적화 루틴들의 맥락에서 고정 및/또는 플로팅될 수도 있는) 독립 변수들로서 이러한 파라미터들을 취하고 응답 변수들로서 에칭 프로파일들을 기능적으로 생성한다. 달리 말하면, 독립 변수들의 세트는 모델로의 입력으로서 사용된 파라미터들이고, 응답 변수들은 모델에 의해 계산된 피처들의 에칭 프로파일이다. EPM들은 반응 파라미터들과 에칭 프로파일 사이의 하나 이상의 관계들을 채용할 수도 있다. 관계들은 에칭 프로파일들과 관련된, 응답 변수들을 생성하기 위해 규정된 방식으로 독립 변수들에 적용되는, 계수들, 가중치들, 및/또는 다른 모델 파라미터들 (뿐만 아니라 반응 파라미터들 및/또는 다른 모델 파라미터들의 2차 및 보다 높은 차수의 다항식 함수들, 등의 선형 함수들) 을 포함할 수도 있다. 이러한 가중치들, 계수들, 등은 상기 기술된 반응 파라미터들 중 하나 이상을 나타낼 수도 있다. 일부 실시예들에서, 이들 모델 파라미터들은 본 명세서에 기술된 최적화 기법들 동안 튜닝 또는 조정되는 플로팅된 프로세스 모델 파라미터 값들이다. 일부 실시예들에서, 반응 파라미터들 중 일부는 최적화될 모델 파라미터들이지만, 다른 파라미터들은 고정된 프로세스 모델 파라미터들로서 사용된다. 예를 들어, 일부 실시예들에서, 화학 반응 파라미터들은 최적화가능한 플로팅된 프로세스 모델 파라미터들일 수도 있지만, 플라즈마 파라미터들은 고정된 프로세스 모델 파라미터들일 수도 있다.The EPM takes these parameters as independent variables (which may be fixed and/or plotted in the context of the optimization routines described herein) and functionally generates etch profiles as response variables. In other words, the set of independent variables are the parameters used as input to the model, and the response variables are the etch profiles of features calculated by the model. EPMs may employ one or more relationships between reaction parameters and etch profile. Relationships are related to the etch profiles, coefficients, weights, and/or other model parameters (as well as response parameters and/or other model parameters, applied to the independent variables in a prescribed manner to generate response variables). Linear functions such as quadratic and higher-order polynomial functions, etc.). These weights, coefficients, etc. may represent one or more of the reaction parameters described above. In some embodiments, these model parameters are plotted process model parameter values that are tuned or adjusted during the optimization techniques described herein. In some embodiments, some of the response parameters are model parameters to be optimized, while other parameters are used as fixed process model parameters. For example, in some embodiments, chemical reaction parameters may be optimizable plotted process model parameters, while plasma parameters may be fixed process model parameters.

설명된 바와 같이, 일부 EPM들은 기본 반응 기계적 파라미터들을 채용하고 기본 내지 근본적인 화학물질 및 물리로 보여질 수도 있고, 따라서 실험적 프로세스 엔지니어가 일반적으로 이들 수량들에 대한 제어를 갖지 않는다. 에칭 프로파일 모델에서, 이들 변수들은 그리드의 위치 각각에서 그리고 규정된 시간 단계들로 분리된 복수의 시간들에 적용될 수도 있다. 일부 구현예들에서, 그리드 해상도는 약 수 Å 내지 약 ㎛로 가변할 수도 있다. 시간 종속 모델링을 채용하는 일부 구현예들에서, 시간 단계들은 1e-15 내지 1e-10 초일 수도 있다. 특정한 실시예들에서, 최적화는 2 타입들의 기계적 독립 변수들: (1) 국소적 플라즈마 파라미터들, 및 (2) 국소적 화학 반응 파라미터들을 채용한다. 이들 파라미터들은 위치의 함수를 가변시킬 수도 있는 일부 경우들에서 그리드의 해상도를 낮출 수도 있는, 의미에서 "국소적"이다. 플라즈마 파라미터들의 예들은 국소적 플라즈마 속성들 예컨대 이온들, 라디칼들, 양자들, 전자들, 여기된 종, 증착 종과 같은 입자들의 플럭스들 및 에너지들 및 이들의 에너지 및 각도 분산들, 등을 포함한다. 화학적 및 물리적-화학 반응 파라미터들의 예들은 레이트 상수들 (예를 들어, 특정한 화학 반응이 특정한 시간에 발생할 확률들), 부착 계수들, 에칭을 위한 에너지 문턱값, 기준 에너지, 스퍼터링 수율을 규정하기 위한 에너지의 지수, 각도 수율 함수들 및 이들의 파라미터들, 등을 포함한다. 또한, 파라미터화된 화학 반응들이 반응물질들이 에칭될 재료 및 에천트를 포함하는 반응을 포함할 수도 있다. 화학 반응 파라미터들이 기판을 직접 에칭하는 반응들에 더하여 다양한 타입들의 반응들을 포함할 수도 있다는 것이 이해될 것이다. 이러한 반응들의 예들은, 기생 반응들, 증착 반응들, 부산물들의 반응들, 등을 포함하는, 부반응들을 포함한다. 임의의 이들이 전체 에칭 레이트에 영향을 줄 수도 있다. 모델은 상기 언급된 플라즈마 및 화학 반응 입력 파라미터들에 더하여, 다른 입력된 파라미터들을 요구할 수도 있다는 것이 또한 이해될 것이다. 이러한 파라미터들의 예들은 반응 사이트들의 온도, 분압 또는 반응물질들, 등을 포함한다. 일부 경우들에서, 이들 및/또는 다른 비기계적 파라미터들이 기계적 파라미터들 중 일부를 출력하는 모듈에서 입력될 수도 있다. 일부 실시예들에서, 모델들은 기계적 파라미터들을, 적어도 직접적으로 채용하지 않는다. As explained, some EPMs employ basic reaction mechanical parameters and may be viewed as basic to underlying chemicals and physics, so experimental process engineers generally do not have control over these quantities. In the etch profile model, these variables may be applied at each location of the grid and at a plurality of times separated into defined time steps. In some implementations, the grid resolution may vary from about a few Å to about μm. In some implementations that employ time dependent modeling, the time steps may be 1e-15 to 1e-10 seconds. In certain embodiments, the optimization employs two types of mechanical independent variables: (1) local plasma parameters, and (2) local chemical reaction parameters. These parameters are "local" in the sense, which may lower the resolution of the grid in some cases, which may vary a function of position. Examples of plasma parameters include local plasma properties such as fluxes and energies of particles such as ions, radicals, protons, electrons, excited species, deposition species and their energy and angular dispersions, etc. do. Examples of chemical and physical-chemical reaction parameters include rate constants (e.g., the probabilities of a particular chemical reaction occurring at a particular time), adhesion coefficients, energy threshold for etching, reference energy, sputtering yield. Energy index, angular yield functions and their parameters, and the like. Further, parameterized chemical reactions may include reactions involving the etchant and the material to which the reactants will be etched. It will be appreciated that chemical reaction parameters may include various types of reactions in addition to reactions that directly etch the substrate. Examples of such reactions include side reactions, including parasitic reactions, deposition reactions, reactions of by-products, and the like. Any of these may affect the overall etch rate. It will also be appreciated that the model may require other input parameters in addition to the plasma and chemical reaction input parameters mentioned above. Examples of such parameters include the temperature, partial pressure or reactants of the reaction sites, and the like. In some cases, these and/or other non-mechanical parameters may be input in a module that outputs some of the mechanical parameters. In some embodiments, the models do not employ mechanical parameters, at least directly.

EPM 모델 변수들에 대한 최초 (최적화되지 않은) 값들뿐만 아니라 최적화 (예를 들어, 플라즈마 파라미터들 일부 실시예들에서) 동안 고정되는 변수들이 문헌과 같은 다양한 소스들, 다른 산출 모듈들 또는 모델들에 의한 계산들, 등으로부터 획득될 수도 있다. 일부 실시예들에서, 독립 입력 변수들―예컨대 플라즈마 파라미터들―은 모델을 사용하여, 예컨대, 플라즈마 파라미터들의 경우에 대해 에칭 챔버 플라즈마 모델로부터 결정될 수도 있다. 이러한 모델들은 프로세스 엔지니어가 제어를 갖는 (예를 들어, 놉을 돌림으로써) 다양한 프로세스 파라미터들로부터 적용가능한 입력 EPM 파라미터들―예를 들어, 챔버 분위기 파라미터들 예컨대 압력, 플로우 레이트, 플라즈마 전력, 웨이퍼 온도, ICP 코일 전류들, 바이어스 전압들/전력, 펄싱 주파수, 펄스 듀티 사이클, 등―을 계산할 수도 있다.Initial (non-optimized) values for EPM model variables as well as variables that are fixed during optimization (e.g., plasma parameters in some embodiments) can be found in various sources such as literature, other calculation modules or models. May be obtained from calculations by, etc. In some embodiments, independent input variables — such as plasma parameters — may be determined using a model, eg, from an etch chamber plasma model for the case of plasma parameters. These models include input EPM parameters applicable from various process parameters (e.g., by turning a knob) that the process engineer has control over-e.g. chamber atmosphere parameters such as pressure, flow rate, plasma power, wafer temperature. , ICP coil currents, bias voltages/power, pulsing frequency, pulse duty cycle, etc.

EPM들은 임의의 많은 상이한 형태들을 취할 수도 있다. 궁극적으로, 이들은 독립 변수와 응답 변수 사이의 관계를 제공한다. 관계는 선형 또는 비선형일 수도 있다. 특정한 실시예들에서, EPM은 셀-기반 Monte Carlo 표면 반응 모델로 당업계에서 지칭되는, 모델이다. 다양한 형태들의 이들 모델들이 반도체 웨이퍼 제조의 맥락에서 시간에 따라 웨이퍼 피처의 토포그래픽 진전을 시뮬레이팅하도록 동작한다. 모델은 웨이퍼 상의 임의의 방사상 위치들에 대해 플라즈마 모델 또는 실험적 진단에 의해 생성된 에너지 및 각도 분산들을 갖는 의사-입자들 (pseudo-particles) 을 런칭한다. 의사-입자들은 표면으로 라디칼들 및 이온들의 플럭스들을 나타내도록 통계적으로 가중된다. 이 모델들은 프로파일 진전을 예측하기 위해 표면 상 에칭, 스퍼터링, 혼합 alc 증착을 발생시키는 다양한 표면 반응 메커니즘들을 해결한다. Monte Carlo 적분 동안, 다양한 이온 및 중성 의사-입자들의 궤적들은 이들이 산출 도메인과 반응하거나 떠날 때까지 웨이퍼 피처 내에서 추적된다. EPM은 다양한 재료들에 대한 에칭, 스트립핑, ALD, 이온화된 금속 PVD, PECVD을 예측하는 발전된 능력들을 갖는다. EPMs may take any of many different forms. Ultimately, they provide the relationship between the independent variable and the response variable. The relationship may be linear or nonlinear. In certain embodiments, EPM is a model, referred to in the art as a cell-based Monte Carlo surface response model. These models of various forms operate to simulate topographic progression of wafer features over time in the context of semiconductor wafer fabrication. The model launches pseudo-particles with energy and angular dispersions generated by a plasma model or experimental diagnostics for any radial locations on the wafer. The pseudo-particles are statistically weighted to represent fluxes of radicals and ions to the surface. These models address a variety of surface reaction mechanisms that generate on-surface etching, sputtering, and mixed alc deposition to predict profile progression. During Monte Carlo integration, the trajectories of the various ionic and neutral pseudo-particles are tracked within the wafer feature until they react with or leave the resulting domain. EPM has advanced capabilities to predict etching, stripping, ALD, ionized metal PVD, and PECVD for a variety of materials.

일부 실시예들에서, EPM은 2 또는 3 차원들의 직선 메시 웨이퍼 피처의 차원들을 적절히 처리/모델링하기 충분히 정밀 해상도를 갖는 메시를 활용한다 (그러나, 기본적으로, 메시 (2D 또는 3D인지) 가 비직선 좌표들도 활용할 수 있다). 메시는 2 또는 3차원의 그리드 지점들의 어레이로서 보여질 수도 있다. 또한 그리드-지점 각각과 연관된 2D의 국소 면적, 또는 3D의 체적을 나타내는 셀들의 어레이로 보여질 수도 있다. 메시 내 셀 각각은 상이한 고체 재료 또는 재료들의 혼합물을 나타낼 수도 있다. 모델링을 위한 기준으로서 2D 또는 3D 메시가 선택되는지 여부는 모델링될 기판 피처의 부류/타입에 종속될 수도 있다. 예를 들어, 2D 메시는 (예를 들어, 폴리실리콘 기판에서) 긴 트렌치 피처를 모델링하도록 사용될 수도 있고, 2D 메시는 단부들로부터 다수의 트렌치의 길이 아래로 발생하는 트렌치의 단부들의 기하구조가 반응 프로세스들과 너무 관련되지 않는다는 가정 (즉, 이 단면 2D 모델의 목적들에 대해, 트렌치는 무한으로 가정되고, 다시 단부들로부터 트렌치 피처에 대해 합리적인 가정) 하 트렌치의 단면 형상을 기술한다. 한편, (피처의 x,y 수평 치수들이 서로 동등하기 때문에) 3D 메시를 사용하여 원형 비아 피처 (TSV (through-silicon via)) 를 모델링하기 적절할 수도 있다.In some embodiments, the EPM utilizes a mesh that has a fine enough resolution to properly process/model the dimensions of a straight mesh wafer feature in 2 or 3 dimensions (however, by default, the mesh (whether 2D or 3D) is non-linear). Coordinates can also be used). The mesh may be viewed as an array of grid points in two or three dimensions. It can also be viewed as an array of cells representing a 2D local area or 3D volume associated with each of the grid-points. Each of the cells in the mesh may represent a different solid material or mixture of materials. Whether a 2D or 3D mesh is selected as the criterion for modeling may depend on the class/type of the substrate feature to be modeled. For example, a 2D mesh may be used to model a long trench feature (e.g. on a polysilicon substrate), and a 2D mesh is a response of the geometry of the ends of the trench that occur from the ends down the length of multiple trenches. Describe the cross-sectional shape of the trench under the assumption that it is not too relevant to the processes (i.e., for the purposes of this cross-sectional 2D model, the trench is assumed to be infinite, and again a reasonable assumption for the trench feature from the ends). On the other hand, it may be appropriate to model a circular via feature (through-silicon via (TSV)) using a 3D mesh (since the x,y horizontal dimensions of the feature are equal to each other).

메시 간격은 예를 들어, 나노미터 이하 (sub-nanometer) (예를 들어, 1 Å으로부터) 수 ㎛ (예를 들어, 10 ㎛) 까지의 범위일 수도 있다. 일반적으로, 메시 셀 각각은 (예를 들어, 피처에 의해 점유되지 않은 공간적 영역 내) 재료 아이덴티티, 예를 들어, 포토레지스트들, 폴리실리콘, 가스성 에천트 또는 플라즈마가 할당되고, 이는 프로파일 진전 동안 변화될 수도 있다. 고체 상 종이 산출 셀의 재료의 아이덴티티로 나타낼 수도 있고, 가스 상 종은 산출 의사-입자들로 나타낼 수도 있다. 이 방식으로, 메시는 웨이퍼 피처의 기하구조/토폴로지가 반응성 에칭 프로세스에서 시간에 따라 진전하기 때문에 기판 피처 및 주변 가스 분위기 (예를 들어, 플라즈마) 의 합리적으로 상세한 표현 (예를 들어, 산출 목적들을 위해) 을 제공한다.The mesh spacing may, for example, range from a sub-nanometer (eg, from 1 Å) to a few μm (eg 10 μm). Typically, each mesh cell is assigned a material identity (e.g., within a spatial area not occupied by a feature), e.g., photoresists, polysilicon, gaseous etchant or plasma, which during profile progression It can be changed. The solid phase species can be represented by the identity of the material of the calculation cell, and the gas phase species can be represented by the calculated pseudo-particles. In this way, the mesh provides a reasonably detailed representation (e.g., computational objectives) of the substrate feature and the ambient gas atmosphere (e.g., plasma) because the geometry/topology of the wafer feature evolves over time in the reactive etching process. For).

전술한 기술의 일부는 반도체 디바이스 제조 동작의 기계적 표현을 채용하는, 표면 동태 모델들과 같은 프로세스 시뮬레이션 모델들에 포커싱되었다. 이러한 모델들은 2016년 2월 8일 출원된 미국 특허 출원 공개 번호 제 20170228482 호 및 2016년 6월 21일 출원된 미국 특허 출원 공개 번호 제 20170363950 호에 보다 상세히 기술되고, 모두 전체가 참조로서 본 명세서에 인용된다. 그러나, 특정한 실시예들은 반도체 디바이스 제조 동작들을 나타낸도록 상당히 상이한 모델들을 사용한다. 일부 경우들에서, 모델들이 반도체 디바이스 제조 동작의 근본적인 화학물질 또는 물리를 설명하려고 시도하는 기계적 파라미터들을 적어도 직접적으로 채용하지 않는다. 예를 들어, 행동 모델들은 하나 이상의 반도체 디바이스 제조 동작들에 의해 생성된 피처들의 구조적 상세들을 예측하기 위해 프로세스들의 관념들을 채용할 수도 있다. 행동 모델의 일 예는 Lam Research 소유의 Coventor로부터 SEMulator3D™이다. 행동 모델들의 예들은 미국 특허 제 9,015,016 및 미국 특허 제 9,659,126 호에 제시되고, 모두 이전에 참조로서 인용되었다. Some of the techniques described above have been focused on process simulation models, such as surface dynamic models, that employ a mechanical representation of semiconductor device manufacturing operation. These models are described in more detail in U.S. Patent Application Publication No. 20170228482, filed on February 8, 2016 and U.S. Patent Application Publication No. 20170363950, filed on June 21, 2016, all of which are incorporated herein by reference in their entirety. Is cited. However, certain embodiments use significantly different models to represent semiconductor device manufacturing operations. In some cases, models do not at least directly employ mechanical parameters that attempt to explain the underlying chemistry or physics of the semiconductor device manufacturing operation. For example, behavioral models may employ concepts of processes to predict structural details of features created by one or more semiconductor device manufacturing operations. An example of a behavioral model is SEMulator3D™ from Coventor, owned by Lam Research. Examples of behavioral models are presented in U.S. Patent No. 9,015,016 and U.S. Patent No. 9,659,126, all of which are previously incorporated by reference.

다양한 실시예들에서, 본 명세서에 기술된 프로세스 시뮬레이션 모델들은 3차원으로 피처를 모델링한다. 일부 경우들에서, 본 명세서에 기술된 프로세스 시뮬레이션 모델들은 설계 레이아웃의 영역 (예를 들어, 지나치게 큰, 멀티-디바이스 영역들) 에 대해 단지 일 피처가 아니라 피처들의 그룹에 대한 반도체 디바이스 제조 동작의 영향을 예측한다. In various embodiments, the process simulation models described herein model a feature in three dimensions. In some cases, the process simulation models described herein are not just one feature for an area of the design layout (e.g., overly large, multi-device areas), but the impact of semiconductor device manufacturing operations on a group of features. Predict.

전술한 기술이 에칭 모델들에 포커싱하였지만, 이 개시는 또한 기판 상의 평탄화 프로세스 또는 증착 프로세스의 효과를 예측하기 위한 모델들로서 다른 모델들에 관한 것이다. While the above technique has focused on etch models, this disclosure also relates to other models as models for predicting the effect of a planarization process or deposition process on a substrate.

실험들 및 프로파일 측정값들Experiments and profile measurements

프로세스 시뮬레이션 모델들을 최적화하기 위해, 다양한 실험들이 프로세스 파라미터들의 다양한 세트들에 의해 명시된 다양한 프로세스 조건들 하에서 수행된 실제 프로세스들로부터 발생하는 실제 프로파일들을 ―실험들로 하여금 정확하게―결정하기 위해 수행될 수도 있다. 따라서, 예를 들어, 제 1 프로파일을 생성하도록 프로세스 파라미터들―예컨대 에천트 플로우 레이트, 플라즈마 전력, 온도, 압력, 등―의 세트에 대한 값들의 제 1세트를 명시하고, 이에 따라 챔버 장치를 셋업하고, 에천트를 챔버 내로 흘리고, 플라즈마를 스트라킹하는, 등 하고, 제 1 반도체 기판 프로세싱으로 진행한다. 이어서, 제 2 프로파일을 생성하도록 프로세스 파라미터들의 동일한 세트에 대한 값들의 제 2 세트를 명시하고, 제 2 기판을 프로세싱하는, 등 한다. In order to optimize the process simulation models, various experiments may be performed to determine—accurately—the actual profiles arising from actual processes performed under various process conditions specified by various sets of process parameters. . Thus, for example, specifying a first set of values for a set of process parameters-e.g. etchant flow rate, plasma power, temperature, pressure, etc.-to generate a first profile, and set up the chamber apparatus accordingly. And flowing the etchant into the chamber, striking the plasma, etc., and proceeds to the first semiconductor substrate processing. Then specify a second set of values for the same set of process parameters to create a second profile, process the second substrate, and so on.

프로세스 파라미터들의 다양한 조합들이 프로세스 시뮬레이션 모델을 최적화하기 위해 적절하게 넓은 또는 포커싱된 프로세스 공간을 나타내도록 사용될 수도 있다. 이어서 프로세스 파라미터들의 동일한 조합들이 (독립적) 입력 파라미터들, 예컨대 기계적 파라미터들을 계산하기 위해, 실험적 결과들에 대해 비교될 수 있는 (응답 변수들) 프로파일 출력들을 제공하도록 프로세스 시뮬레이션 모델에 의해 사용된다. 실험이 비용이 많이 들고 시간 소모적이기 때문에, 기법들이 프로세스 시뮬레이션 모델을 최적화하는 견고한 트레이닝 세트를 제공하도록 수행되어야 하는 실험들의 수를 감소시키는 방식으로 실험들을 설계하도록 채용될 수 있다. DOE (design of experiments) 와 같은 기법들이 이 목적을 위해 채용될 수도 있다. 일반적으로, 이러한 기법들은 다양한 실험들에서 사용할 프로세스 파라미터들의 세트들을 결정한다. 이들은 프로세스 파라미터들 간 통계적 상호작용들, 랜덤화, 등을 고려함으로써 프로세스 파라미터들의 조합들을 선택한다. 예로서, DOE는 완결된 프로세스 중심 지점 주변의 제한된 범위의 파라미터들을 커버하는 적은 수의 실험들을 식별할 수도 있다.Various combinations of process parameters may be used to represent a suitably wide or focused process space to optimize the process simulation model. The same combinations of process parameters are then used by the process simulation model to provide (response variables) profile outputs that can be compared against experimental results, to calculate (independent) input parameters, such as mechanical parameters. As experiments are expensive and time consuming, techniques can be employed to design experiments in a manner that reduces the number of experiments that must be performed to provide a robust training set that optimizes the process simulation model. Techniques such as design of experiments (DOE) may be employed for this purpose. In general, these techniques determine the sets of process parameters to be used in various experiments. They select combinations of process parameters by taking into account statistical interactions, randomization, etc. between the process parameters. As an example, the DOE may identify a small number of experiments covering a limited range of parameters around a completed process center point.

일부 접근방법들에서, 연구자는 모델 최적화 프로세스에서 앞서 모든 실험들을 수행하고 수렴까지 최적화 루틴 반복들의 이들 실험들만을 사용한다. 대안적으로, 실험 설계자는 최적화의 앞선 반복들에 대한 일부 실험들 및 최적화가 진행됨에 따라 나중에 부가적인 실험들을 수행할 수도 있다. 최적화 프로세스는 평가될 특정한 파라미터들 및 따라서 나중의 반복들을 위해 실행될 특정한 실험들을 실험 설계자에게 통지할 수도 있다.In some approaches, the researcher performs all experiments prior to the model optimization process and uses only these experiments of the optimization routine iterations until convergence. Alternatively, the experimental designer may perform some experiments on previous iterations of the optimization and additional experiments later as the optimization proceeds. The optimization process may inform the experiment designer of specific parameters to be evaluated and thus specific experiments to be run for later iterations.

하나 이상의 인시츄 또는 오프라인 계측 툴들이 이들 실험적 프로세스 동작들로부터 발생하는, 실험적으로 생성된 프로파일들을 측정하기 위해 사용될 수도 있다. 측정들이 프로세스들의 종료시, 프로세스들 동안, 또는 프로세스들 동안 1회 이상의 시간들에서 이루어질 수도 있다. 측정들이 프로세스의 종료시 이루어지면, 측정 방법론은 파괴적일 수도 있고, 에칭 프로세스 동안 인터벌들에서 이루어지면, 측정 방법론은 일반적으로 비파괴적일 것이다 (따라서 에칭을 파괴하지 않음). 적절한 계측 기법들의 예들은, 이로 제한되는 것은 아니지만, 인시츄 반사측정법, OCD, 단면 SEM, CD-SEM, 및 상기 언급된 다른 것들을 포함한다. (실험이 기본적으로 피처의 에칭 프로파일을 이미지화하는) SEM의 경우와 같이 계측 툴은 피처의 프로파일을 직접 측정할 수도 있고, 또는 OCD 측정들의 경우 (실제 측정된 데이터로부터 일부 포스트-프로세싱이 피처의 에칭 프로파일을 철회하도록 이루어진다) 와 같이 피처의 에칭 프로파일을 간접적으로 결정할 수도 있다는 것을 주의한다. 계측 기법들이 수행되는 위치 및 무엇을 샘플링하는지에 의해 카테고리화될 수도 있고, 카테고리들은 인시츄, 오프라인 비파괴적, 그리고 파괴적 계측법을 포함한다. 인시츄 계측은 예를 들어, 반사측정법 및 타원편광법을 포함하고; 오프라인 비파괴적 계측은 예를 들어, 단일 파장 및 광대역 OCD 계측 또는 산란측정법, 돔 산란측정법, CD-SAXS, 및 CD-SEM (top-down SEM) 을 포함하고; 그리고 파괴적 계측은 예를 들어, X-SEM, STEM, 및 TEM을 포함한다.One or more in-situ or offline metrology tools may be used to measure experimentally generated profiles arising from these experimental process operations. Measurements may be made at the end of the processes, during the processes, or at one or more times during the processes. If measurements are made at the end of the process, the measurement methodology may be destructive, and if it is made at intervals during the etch process, the measurement methodology will generally be non-destructive (and thus does not destroy the etch). Examples of suitable metrology techniques include, but are not limited to, in situ reflectometry, OCD, cross-sectional SEM, CD-SEM, and others mentioned above. As in the case of the SEM (where the experiment basically images the etch profile of the feature), the metrology tool can measure the profile of the feature directly, or for OCD measurements (some post-processing from the actual measured data is the etch of the feature). Note that it is also possible to indirectly determine a feature's etch profile, such as to retract the profile. The metrology techniques may be categorized by where they are performed and what is sampled, and categories include in-situ , offline, non-destructive, and destructive metrology. In-situ measurements include, for example, reflectometry and ellipsometry; Offline non-destructive measurements include, for example, single wavelength and broadband OCD measurements or scatterometry, dome scatterometry, CD-SAXS, and top-down SEM (CD-SEM); And destructive measurements include, for example, X-SEM, STEM, and TEM.

어떠한 경우든, 실험들 및 계측 절차들의 결과는 측정된 프로파일들의 세트이고, 각각은 일반적으로 일련의 좌표들 또는 상기 기술된 바와 같이 피처의 프로파일의 형상을 나타내는, 그리드 값들의 세트에 대한 일련의 값들을 포함한다. 프로파일들은 나중에 본 명세서에 기술된 바와 같이 컴퓨터화된 에칭 프로파일 모델들을 트레이닝, 최적화, 및 개선하기 위한 입력들로서 사용된다.In any case, the result of experiments and metrology procedures is a set of measured profiles, each generally a set of coordinates or a set of values for a set of grid values, representing the shape of the feature's profile as described above. Includes them. The profiles are later used as inputs to train, optimize, and refine computerized etch profile models as described herein.

반사측정법 및 타원편광법 스펙트럼 분석 및 모델링 툴들Reflectometry and Ellipsometry Spectrum Analysis and Modeling Tools

피처 프로파일 값들을 생성하기 위해 프로세스 시뮬레이션 모델을 사용할 때, 기하구조로부터 생성된 광학 파라미터들은 RCWA 방법 또는 유사한 기법과 같은 광학 모델링 루틴을 사용하여 모델링 또는 예측될 수도 있다. When using a process simulation model to generate feature profile values, optical parameters generated from the geometry may be modeled or predicted using an optical modeling routine such as the RCWA method or similar technique.

RCWA는 격자 (grating) 와 같은 주기적인 구조체로부터 반사된 (회절된, 산란된), 또는 이 격자를 통해 송신되는 방사선의 특징들을 기술하도록 사용될 수 있는 두번째 방법이다. RCWA는 과학적 문헌에 기술된 Moharam 및 Gaylord에 의해 널리 개발되었다. 예를 들어, 전체가 본 명세서에 참조로서 인용된, M. G. Moharam 및 T. K. Gaylord "Rigorous coupled-wave analysis of planar-grating diffraction" J. Opt Soc of America, Vol. 71, Issue 7, pp. 811-818 (1981) 을 참조하라. RCWA는 다양한 회절된 차수 (0차 또는 보다 높은 차수) 의 강도 및 편광 특징들을 계산한다. 결과들을 제공할 수 있는 다른 광학 모델링 방법들이, 이로 제한되는 것은 아니지만, C 방법, Modal 방법, Rayleigh 근사, EFIE (e-field integration equation), 및 Cf-FFT (conjugate gradient - fast fourier transform) 를 포함한다.RCWA is a second method that can be used to describe the characteristics of radiation reflected (diffracted, scattered) from periodic structures such as a grating, or transmitted through the grating. RCWA was developed widely by Moharam and Gaylord described in the scientific literature. For example, M. G. Moharam and T. K. Gaylord "Rigorous coupled-wave analysis of planar-grating diffraction" J. Opt Soc of America, Vol. 71, Issue 7, pp. See 811-818 (1981). RCWA calculates the intensity and polarization characteristics of various diffracted orders (zero or higher). Other optical modeling methods that can provide results include, but are not limited to, C method, Modal method, Rayleigh approximation, EFIE (e-field integration equation), and Cf-FFT (conjugate gradient-fast fourier transform). do.

RCWA는 주기적 유전체 구조체들로부터 산란하는 것을 해결하도록 종종 채용되는 산출 전자기학의 반-분석적 방법이다. 이는 Fourier-공간 방법이고 따라서 디바이스들 및 필드들이 공간 고조파의 합으로 표현된다. 방법은 Floquet 이론에 기초하고, 주기적 미분 방적식들의 해들이 Floquet 함수들 (또는 특히, 고체 상태 물리에서 때때로 차단 파형 (Block wave) 으로 참조됨) 로 확장될 수 있다. 디바이스가 각각 z 방향으로 균일한, 층들로 분할된다. 계단형 근사화는 z-방향을 따라 열화된 유전체 유전율과 같은 속성들을 갖는 커브된 디바이스들에 필요하다. 층 각각에서 전자기 모드들이 계산되고 층들을 통해 분석적으로 전파된다. 전체 문제는 산란 매트릭스들과 같은 기법을 사용하여 층들 사이의 계면들 각각에서 경계 조건들을 매칭함으로써 해결된다. 주기적 유전체 매체에서, 평면 입사 파형의 파형 벡터에 의해 결정된, 전자기 모드들을 해결하기 위해, Maxwell 방정식 (부분적으로 미분 형식) 뿐만 아니라 경계 조건들이 Floquet 함수들에 의해 확장되고, 무한히 큰 대수 방정식으로 변한다. 필요한 정확도 및 수렴 속도에 따라, 보다 고차 Floquet 함수들의 컷오프로, 무한히 큰 대수 방정식들은 유한해지고 따라서 컴퓨터들에 의해 해결가능하다. RCWA is a semi-analytic method of computational electromagnetism that is often employed to address scattering from periodic dielectric structures. This is a Fourier-space method and thus devices and fields are represented by the sum of spatial harmonics. The method is based on Floquet theory, and the solutions of periodic differential equations can be extended to Floquet functions (or, in particular, sometimes referred to as a block wave in solid state physics). The device is divided into layers, each uniform in the z direction. Stepwise approximation is required for curved devices with properties such as dielectric permittivity degraded along the z-direction. Electromagnetic modes in each layer are calculated and propagated analytically through the layers. The whole problem is solved by matching boundary conditions at each of the interfaces between the layers using a technique such as scattering matrices. In a periodic dielectric medium, to solve the electromagnetic modes, determined by the wave vector of the planar incident waveform, the Maxwell equation (partially in differential form) as well as the boundary conditions are expanded by Floquet functions and transformed into an infinitely large algebraic equation. Depending on the required accuracy and speed of convergence, with the cutoff of higher order Floquet functions, infinitely large algebraic equations become finite and thus solveable by computers.

기판 피처들과 광학 빔 상호작용으로 생성된 (또는 생성가능한) 광학 파라미터들을 컴퓨터로 생성하기 위한 또 다른 방식은 FDTD (finite-difference time-domain) 방법을 사용하는 것이다. 이는 전기역학들을 모델링하기 위한 수치 분석 기법이다. 이는 부분적으로 미분 형태의 시간-종속 Maxwell 방정식에 대한 적절한 해를 구하기 위한, 그리드 기반 유한 차분 방법이다. 이 방정식들은 시간상 이산화되고 (discretize) 공간 부분 도함수들이다. 발생하는 유한 차분 방정식들은 장애물 넘기 방식 (leapfrog manner) 으로 해결된다: 볼륨 공간의 전기장 벡터 컴포넌트들은 시간 상 미리 결정된 순간에 해결되고, 이어서 동일한 공간 볼륨의 자기장 벡터 컴포넌트들은 시간 상 다음 순간에 해결되고, 그리고 프로세스는 목표된 과도 또는 정상 상태 전자기장이 계산될 때까지 반복된다. Another way to computer-generated optical parameters generated (or generateable) by optical beam interaction with substrate features is to use a finite-difference time-domain (FDTD) method. This is a numerical analysis technique for modeling electrodynamics. This is a grid-based finite difference method to find a suitable solution to the time-dependent Maxwell equation in partially differential form. These equations discretize in time and are partial derivatives of space. The resulting finite difference equations are solved in a leaprog manner: the electric field vector components of the volume space are solved at a predetermined moment in time, then the magnetic field vector components of the same spatial volume are solved at the next moment in time, And the process is repeated until the target transient or steady state electromagnetic field is calculated.

수렴 체크Convergence check

상기 기술된 플로팅된 프로세스 모델 파라미터 최적화 절차는 반복적인 비선형 최적화 절차일 수도 있고―예를 들어, 일반적으로 입력 파라미터들의 비선형 함수인, 에러 메트릭 또는 비용 값을 최적화하고―그리고, 이와 같이, 당업계에 공지된 다양한 기법들이 비선형 최적화를 위해 채용될 수도 있다. 예를 들어, 각각이 전체가 참조로서 본 명세서에 인용된: Biggs, M.C., "Constrained Minimization Using Recursive Quadratic Programming," Towards Global Optimization (L.C.W. Dixon and G.P. Szergo, eds.), North-Holland, pp 341-349, (1975); Conn, N.R., N.I.M. Gould, and Ph.L. Toint, "Trust-Region Methods," MPS/SIAM Series on Optimization, SIAM and MPS (2000); Mor

Figure pct00001
, J.J. and D.C. Sorensen, "Computing Trust Region Step," SIAM Journal on Scientific and Statistical Computing, Vol. 3, pp 553-572, (1983); Byrd, R.H., R.B. Schnabel, and G.A. Shultz, "Approximate Solution of Trust Region Problem by Minimization over Two-Dimensional Subspaces," Mathematical Programming, Vol. 40, pp 247-263 (1988); Dennis, J.E., Jr., "Nonlinear least-squares," State of Art in Numerical Analysis ed. D. Jacobs, Academic Press, pp 269-312 (1977); Mor
Figure pct00002
, J.J., "Levenberg-Marquardt Algorithm: Implementation and Theory," Numerical Analysis, ed. G. A. Watson, Lecture Notes in Mathematics 630, Springer Verlag, pp 105-116 (1977); Powell, M.J.D., "A Fast Algorithm for Nonlinearly Constrained 최적화 Calculations," Numerical Analysis, G.A.Watson ed., Lecture Notes in Mathematics, Springer Verlag, Vol. 630 (1978) 를 참조하라. The plotted process model parameter optimization procedure described above may be an iterative nonlinear optimization procedure-for example, optimizing an error metric or cost value, which is generally a nonlinear function of the input parameters-and, as such, is known in the art. Various known techniques may be employed for nonlinear optimization. For example, each of which is incorporated herein by reference in its entirety: Biggs, MC, “Constrained Minimization Using Recursive Quadratic Programming,” Towards Global Optimization (LCW Dixon and GP Szergo, eds.), North-Holland, pp 341- 349, (1975); Conn, NR, NIM Gould, and Ph.L. Toint, “Trust-Region Methods,” MPS/SIAM Series on Optimization, SIAM and MPS (2000); Mor
Figure pct00001
, JJ and DC Sorensen, "Computing Trust Region Step," SIAM Journal on Scientific and Statistical Computing, Vol. 3, pp 553-572, (1983); Byrd, RH, RB Schnabel, and GA Shultz, "Approximate Solution of Trust Region Problem by Minimization over Two-Dimensional Subspaces," Mathematical Programming, Vol. 40, pp 247-263 (1988); Dennis, JE, Jr., "Nonlinear least-squares," State of Art in Numerical Analysis ed. D. Jacobs, Academic Press, pp 269-312 (1977); Mor
Figure pct00002
, JJ, "Levenberg-Marquardt Algorithm: Implementation and Theory," Numerical Analysis, ed. GA Watson, Lecture Notes in Mathematics 630, Springer Verlag, pp 105-116 (1977); Powell, MJD, "A Fast Algorithm for Nonlinearly Constrained Optimization Calculations," Numerical Analysis, GAWatson ed., Lecture Notes in Mathematics, Springer Verlag, Vol. See 630 (1978).

일반적으로, 비용을 계산하기 위해 사용된 비교는 컴퓨터로 예측된 계측 결과들의 복수의 양태들 또는 인덱스들을 비교한다. 이들 인덱스들의 컴퓨터로 생성된 값들과 측정된 값들 사이의 차들은 최적화를 위해 비용 함수를 구성한다. 인덱스들의 예들은 재료의 복수의 높이들에 대한 CD (critical dimension) 차들, 주어진 재료에 대한 두께 차들, 및 전체 스펙트럼의 스펙트럼 차들을 포함한다. 비용 함수는 이들, 선택가능하게 각각에 대한 가중 인자들과의 조합일 수도 있다. 이 차들은 L1 또는 L2 표준, Euclidean 거리, Mahalanobis 거리, 등으로 표현될 수도 있다. 일부 실시예들에서, 이들 기법들은 입력된 파라미터들 및/또는 에러 메트릭에 위치될 수도 있는, 특정한 제약들을 받는 목적 함수 (여기서 비용 함수/값) 를 최적화한다. 특정한 이러한 실시예들에서, 제약 함수들 자체는 비선형일 수도 있다. 예를 들어, 산출된 에칭 프로파일이 프로세스 시뮬레이션 모델에 의해 출력되는 스택된 사다리꼴들의 세트로 표현되는 실시예들에서, 비용 값이 이들 스택된 사다리꼴들의 경계들로 나타낸 면적과 측정된 실험적 에칭 프로파일의 면적 사이의 차로서 규정될 수도 있다. 이 경우, 에러 메트릭이 프로세스 시뮬레이션 모델에 의해 출력된 응답 변수들의 비선형 함수이고, 따라서 제약된 최적화 기법이 방금 기술된 것들 (그리고/또는 통합된 기준들로부터) 로부터 선택되고 이는 비선형 제약들의 명세를 허용한다. 널리 사용된 비용 함수들의 예들은 WWW: docs.scipy.org/doc/scipy/reference/generated/scipy.optimize.In general, the comparison used to calculate the cost compares a plurality of aspects or indices of computer-predicted measurement results. The differences between the computer-generated and measured values of these indices constitute a cost function for optimization. Examples of indices include critical dimension (CD) differences for a plurality of heights of a material, thickness differences for a given material, and spectral differences in the full spectrum. The cost function may be a combination of these, optionally with weighting factors for each. These differences can also be expressed as L1 or L2 standards, Euclidean distance, Mahalanobis distance, etc. In some embodiments, these techniques optimize an objective function (here a cost function/value) subject to certain constraints, which may be located in the entered parameters and/or error metric. In certain such embodiments, the constraint functions themselves may be nonlinear. For example, in embodiments in which the calculated etch profile is represented by a set of stacked trapezoids output by the process simulation model, the cost value is the area represented by the boundaries of these stacked trapezoids and the area of the measured experimental etch profile. It can also be defined as the difference between. In this case, the error metric is a nonlinear function of the response variables output by the process simulation model, so the constrained optimization technique is chosen from those just described (and/or from the unified criteria), which allows the specification of nonlinear constraints. do. Examples of widely used cost functions are WWW: docs.scipy.org/doc/scipy/reference/generated/scipy.optimize.

least_squares.html#scipy.optimize.least_squares로 SciPy.org에 제공된다.Available on SciPy.org as least_squares.html#scipy.optimize.least_squares.

최적화된 프로세스 시뮬레이션 모델들의 적용예들 Applications of optimized process simulation models

본 명세서에 개시된 최적화된 산출된 에칭 모델들은 에칭 프로세스의 상세한 평가 및 특징화가 바람직한 모든 반도체 프로세싱 워크플로우들에 유용할 수도 있다. 예를 들어, 새로운 에칭 프로세스가 개발되면, 모델이 실험실로 들어갈 필요 없이 프로세스 파라미터들의 많은 조합들에 대한 에칭 프로파일 특징들을 결정하도록 사용될 수도 있고 실험 각각을 개별적으로 수행할 수도 있다. 이러한 방식으로, 최적화된 에칭 프로파일 모델들이 보다 신속한 프로세스 개발 사이클들을 인에이블할 수도 있고, 일부 실시예들에서 타깃 프로파일을 정밀 튜닝하기 위해 필요한 작업량을 상당히 감소시킬 수도 있다. The optimized calculated etch models disclosed herein may be useful for all semiconductor processing workflows where detailed evaluation and characterization of the etch process is desired. For example, if a new etch process is developed, a model may be used to determine etch profile characteristics for many combinations of process parameters without having to enter a laboratory, and each experiment may be performed individually. In this way, optimized etch profile models may enable faster process development cycles, and in some embodiments may significantly reduce the amount of work required to fine tune the target profile.

에지 배치 에러를 추정하는 것이 통상적으로 리소그래픽 작업에서 상당히 중요하고 프로파일 형상의 정확한 계산이 이 정보를 제공하기 때문에, 리소그래피 동작들 및 마스크 현상이 또한 정확한 에칭 프로파일 모델링으로부터 상당히 유리할 수도 있다. Since estimating the edge placement error is typically quite important in a lithographic operation and an accurate calculation of the profile shape provides this information, lithographic operations and masking may also be quite beneficial from accurate etch profile modeling.

전체가 참조로서 본 명세서에 인용된, 2016년 12월 1일에 출원된 US 미국 특허 출원 번호 제 15/367,060 호가 에지 배치 에러 검출 및 리소그래픽 마스크 설계를 기술한다. 이 맥락에서 적용가능할 수도 있는 적어도 2 레벨: 리소그래피 및 에칭의 설계 레이아웃 보정이 있다는 것을 주의한다. 달리 말하면, 광학적 고려 사항들 및 에칭-기반 고려사항들이 마스크 레이아웃을 결정하도록 사용될 수도 있다. 에칭-기반 고려사항들은 본 명세서에 기술된 바와 같이 준비된 모델을 사용하여 결정된다. US US Patent Application No. 15/367,060, filed Dec. 1, 2016, which is incorporated herein by reference in its entirety, describes edge placement error detection and lithographic mask design. Note that there are at least two levels that may be applicable in this context: design layout correction of lithography and etching. In other words, optical considerations and etch-based considerations may be used to determine the mask layout. Etch-based considerations are determined using a prepared model as described herein.

본 명세서에 기술된 바와 같이 결정된 레이아웃을 사용하여 포토리소그래피 마스크를 제작하는 것은 프로세스가 크롬 층 및 레지스트 층으로 코팅된 유리 기판을 포함하는 소위 블랭크 (blank) 로 시작된다. 때때로 크롬에 더하여 또는 크롬 이외의 재료가 사용된다. 예를 들어, 감쇠된 상 시프팅 마스크들이 몰리브덴 실리사이드 층과 같은 부가적인 층을 사용한다. 레지스트는 포지티브 또는 네거티브 레지스트일 수도 있다. 전자 빔 노출시, 에칭 프로세스를 통해 하부 크롬 층 내로 전사될 수 있는 레지스트 상에 패턴이 형성된다. 크롬은 반도체 웨이퍼들의 노출 동안 음영 (shadow) 을 주조하는 포토리소그래피 마스크 상에 불투명한 영역들을 제공한다.Fabricating a photolithographic mask using a layout determined as described herein begins with a so-called blank in which the process comprises a glass substrate coated with a layer of chromium and a layer of resist. Sometimes materials in addition to or other than chromium are used. For example, the attenuated phase shifting masks use an additional layer such as a molybdenum silicide layer. The resist may be a positive or negative resist. Upon exposure of the electron beam, a pattern is formed on the resist that can be transferred into the underlying chromium layer through an etching process. Chromium provides opaque areas on the photolithography mask that casts a shadow during the exposure of semiconductor wafers.

포토리소그래피 마스크들의 제작은 반도체 디바이스 제작 동안 유사한 리소그래피 단계들이다. 그러나, 광 (예를 들어, 심 UV) 에 반대되는 전자 빔들에 의해 레지스트의 노출이 이루어진다. 블랭크가 적어도 부분적으로 본 명세서에 기술된 타입의 EPM을 사용하여 결정되는, 마스크 설계 레이아웃으로 명시된 위치들에서 레지스트 상에 충돌하는 전자 빔 복사선에 노출된다. 후속하여, 마스크가 레이아웃의 패턴을 생성하도록 현상된다. 지금 형성된 레지스트 패턴이 나중에 적절한 에칭 프로세스 (예를 들어, 플라즈마 또는 습식 에칭) 에 의해 하부 크롬에 전사된다. 그 후, 레지스트는 제거되고 노출된 크롬 패턴이 오염을 방지하기 위해 펠리클 (pellicle) 로 커버된다. The fabrication of photolithographic masks are similar lithographic steps during semiconductor device fabrication. However, exposure of the resist is made by electron beams opposed to light (eg, deep UV). The blank is exposed at least in part to electron beam radiation impinging on the resist at locations specified by the mask design layout, determined using an EPM of the type described herein. Subsequently, the mask is developed to create a pattern of the layout. The resist pattern now formed is later transferred to the lower chromium by an appropriate etching process (eg plasma or wet etching). Thereafter, the resist is removed and the exposed chromium pattern is covered with a pellicle to prevent contamination.

본 명세서에 개시된 최적화된 모델들은 또한 특정한 타깃 에칭 프로파일을 필요로 하고 프로세스 파라미터들 (또는 EPM 입력 파라미터들) 의 하나 이상의 특정한 조합들을 발견할 것을 원하는, 호혜 문제를 해결하는데 유용할 수도 있다. 다시, 이는 실험적 시행착오에 의해 이루어질 수도 있지만, 프로세스 파라미터들 (또는 EPM 입력 파라미터들) 및 조건들의 미리 결정된 세트로부터 발생하는 에칭 프로파일의 정확한 모델링이 우수한 후보들이 전체 실험적 연구에 대해 식별될 때까지, 실험 또는 적어도 프로세스/입력 파라미터 공간을 탐구하는 최초 페이즈들에서 이렇게 할 필요성을 대체할 수 있다. 일부 실시예들에서, 사실상, 완전히 자동화된 방식으로 모델을 수치적으로 반전하는―즉, 미리 결정된 에칭 프로파일을 생성하는 파라미터들의 세트를 반복적으로 위치시키는―것이 가능할 수도 있다. 다시 한번, 에칭 프로파일 좌표 공간 (PCA를 통해) 의 차원 감소, 목표된 에칭 프로파일의 이 공간으로 투사가 이 수치적 반전을 보다 용이하게 할 수도 있다. The optimized models disclosed herein may also be useful in solving a reciprocity problem, requiring a specific target etch profile and wanting to find one or more specific combinations of process parameters (or EPM input parameters). Again, this may be done by experimental trial and error, but until accurate modeling of the etch profile arising from a predetermined set of process parameters (or EPM input parameters) and conditions, good candidates are identified for the entire experimental study. It may replace the need to do this in experiments or at least the first phases of exploring the process/input parameter space. In some embodiments, in fact, it may be possible to numerically reverse the model in a fully automated manner—ie, repeatedly positioning a set of parameters that produce a predetermined etch profile. Once again, a reduction in the dimensionality of the etch profile coordinate space (via PCA), projection into this space of the target etch profile, may make this numerical reversal easier.

본 명세서에 제공된 개시에 기초하여, EPM은 프로세스 윈도우 및 하드웨어 최적화들을 용이하게 하도록 사용될 수도 있다. 일부 실시예들에서, 수정되지 않는 기존 반응기 또는 반응기 설계를 위한 파라미터 세트 (예를 들어, 프로세스 윈도우) 를 결정하기 위해 EPM이 사용된다. 일부 실시예들에서, EPM은 이로 제한되는 것은 아니지만, 반응기의 컴포넌트들을 포함하여, 수정된 반응기 설계를 결정하기 위해 사용된다. 예를 들어, EPM은 샤워헤드 설계 수정을 제안할 수도 있다 (예를 들어, 홀 패턴 또는 내부 플로우 라인들이 기존 설계로부터 변환된다). 다른 예에서, EPM은 플라즈마 생성기 설계 수정을 제안할 수도 있다 (예를 들어, "CCP" (capacitively coupled plasma) 전극 또는 "ICP" (inductively coupled plasma) 코일의 배치 및/또는 구성이 기존 설계로부터 변환된다). 일 예에서, EPM은 웨이퍼 페데스탈의 설계 또는 위치에 대한 변화를 제안할 수도 있다. 또 다른 예에서, EPM은 챔버 벽들의 위치 또는 형상에 대한 변화를 제안할 수도 있다. CCP 반응기 및 ICP 반응기의 일반적인 기술은 2016년 6월 21일 출원된 미국 특허 출원 공개 번호 제 20170363950 호에서 발견되고, 전체가 본 명세서에 참조로서 인용된다. Based on the disclosure provided herein, EPM may be used to facilitate process window and hardware optimizations. In some embodiments, EPM is used to determine a set of parameters (eg, process window) for an existing reactor or reactor design that is not modified. In some embodiments, the EPM is used to determine a modified reactor design, including, but not limited to, the components of the reactor. For example, the EPM may propose to modify the showerhead design (eg, hole patterns or internal flow lines are converted from the existing design). In another example, the EPM may propose modifications to the plasma generator design (eg, the arrangement and/or configuration of a “CCP” (capacitively coupled plasma) electrode or “ICP” (inductively coupled plasma) coil) is converted from an existing design. do). In one example, the EPM may suggest changes to the design or location of the wafer pedestal. In another example, the EPM may suggest changes to the location or shape of the chamber walls. The general description of CCP reactors and ICP reactors is found in U.S. Patent Application Publication No. 20170363950, filed June 21, 2016, which is incorporated herein by reference in its entirety.

특정한 실시예들에서, 최적화된 EPM은 하나 이상의 에처 장치들을 배치하는 반도체 제조 설비의 인프라스트럭처 내로 또는 에처 장치와 통합될 수도 있다. 최적화된 EPM은 목표된 에칭 프로파일을 제공하기 위해 또는 에칭 프로파일에 대한 프로세스 파라미터들의 변화의 효과를 이해하기 위해 프로세스 파라미터들에 대한 적절한 조정들을 결정하도록 사용될 수도 있다. 따라서, 예를 들어, 제조 설비에서 반도체 기판들을 프로세싱하기 위한 시스템은 최적화된 EPM을 구현하는 제어기에 의해 제어되는 독립 입력 파라미터들의 세트에 의해 동작이 조정되는, 반도체 기판들을 에칭하기 위한 에처 장치를 포함할 수도 있다. 에처 장치의 동작을 제어하기 적합한 제어기는 통상적으로 프로세서 및 메모리를 포함하고, 메모리는 최적화된 EPM을 저장하고, 프로세서는 저장된 입력 프로세스 파라미터들의 세트의 값들의 미리 결정된 세트에 대한 에칭된 피처 프로파일들을 산출하기 위해 EPM을 사용한다. 프로파일을 산출한 후, 일부 실시예들에서, 제어기가 (산출된 프로파일의 형상에 응답하여) 독립 입력 파라미터들의 세트의 하나 이상의 값들을 가변시킴으로써 에처 장치의 동작을 조정할 수도 있다. In certain embodiments, the optimized EPM may be integrated into the infrastructure of a semiconductor manufacturing facility that places one or more etcher devices or with an etching device. The optimized EPM may be used to determine appropriate adjustments to the process parameters to provide a desired etch profile or to understand the effect of changes in the process parameters on the etch profile. Thus, for example, a system for processing semiconductor substrates in a manufacturing facility includes an etcher device for etching semiconductor substrates, whose operation is controlled by a set of independent input parameters controlled by a controller implementing an optimized EPM. You may. A controller suitable for controlling the operation of the etcher device typically includes a processor and a memory, the memory stores an optimized EPM, and the processor produces etched feature profiles for a predetermined set of values of the stored set of input process parameters. Use EPM to do it. After calculating the profile, in some embodiments, the controller may adjust the operation of the etcher device by varying one or more values of the set of independent input parameters (in response to the shape of the calculated profile).

일부 구현예들에서, 모델이 인시츄 광학 정보 (예를 들어, 실시간 엔드포인트 또는 CD 모니터링) 로부터 기하학적 에칭 파라미터를 생성하기 위해 인시츄 광학 신호들을 실시간으로 모니터링 및 프로세싱하기 위해 사용된다. 이러한 인시츄 모니터링 및 프로세싱 능력이 임의의 다양한 반응기 구성들 (예를 들어, CCP 반응기들 및 ICP 반응기들) 로 제공될 수도 있다. 특정한 실시예들에서, 피처 특징화 프로세스 (예를 들어, 엔드포인트 평가) 는 (광학 측정값들과 같은 입력 변수 값들을 수신하는 시간으로부터) 약 100 ㎳ 이하로 프로세싱을 완료한다. 특정한 실시예들에서, 피처 특징화 알고리즘은 약 20 ㎳ 이하로 프로세싱을 완료한다. 이러한 신속 프로세싱은 예를 들어, 중요한 단계 변화 요건들을 사용하는 적용예들에서 또는 고 에칭 레이트 프로세스들 (예를 들어, 약 1 분 미만으로 완료되는 에칭 프로세스들) 에서 채용될 수도 있다. 프로세싱 레짐 (예컨대 RF 펄싱 또는 가스 펄싱에서) 에 의해 유도된 많은 변동들을 갖는 프로세스들에서 또는 웨이퍼 구조체 자체가 (예컨대 교번하는 재료들의 스택들의) 복잡한 구조를 가질 때, 각각 복수의 시간 샘플들에 대해 (예를 들어, 수천 개의) 데이터 어레이들이 (예를 들어, 100 개 이상, 또는 1000 개 이상) 필요할 수도 있다. 모델의 실행 시간은 또한 사용된 알고리즘의 타입에 종속된다. 일부 구현예들에서, 모델은 에칭 프로세스의 시작으로부터 현재 시간까지 스펙트럼 정보의 시간 진전의 모두 또는 대부분을 프로세싱한다. 이는 예컨대 다방향 (multiway) PCA (principal component analysis) 및 다방향 PLS (partial least squares) 를 사용하여 생성된 많은 수의 모델들을 필요로 할 수도 있고, 모델 각각은 대응하는 시간 인터벌들의 이력 궤적들에 대해 현재 시간 단계까지 에칭의 시작으로부터 광학 측정 궤적들을 비교한다. 이러한 모델들은 에칭 시간이 점점 길어짐에 따라 실시간 프로세스 모니터링 동안 및 모델 캘리브레이션 동안 모두 상승된 산출적 요건들을 가질 수도 있다. 이러한 경우들에서, 시스템은 많은 양의 버퍼 공간, 멀티스레딩 (multithreading) 및/또는 복수의 코어들을 갖는 프로세서들과 같이, 부가적인 프로세싱 능력들로 구성될 수도 있다. In some implementations, a model is used to monitor and process in-situ optical signals in real time to generate geometric etch parameters from in-situ optical information (eg, real-time endpoint or CD monitoring). This in situ monitoring and processing capability may be provided with any of a variety of reactor configurations (eg, CCP reactors and ICP reactors). In certain embodiments, the feature characterization process (eg, endpoint evaluation) completes processing in less than about 100 ms (from the time of receiving input variable values such as optical measurements). In certain embodiments, the feature characterization algorithm completes processing in less than about 20 ms. Such rapid processing may be employed, for example, in applications using critical step change requirements or in high etch rate processes (eg, etch processes that are completed in less than about 1 minute). In processes with many variations induced by a processing regime (e.g. in RF pulsing or gas pulsing) or when the wafer structure itself has a complex structure (e.g. of alternating stacks of materials), each for a plurality of time samples. Data arrays (eg, thousands of) may be required (eg, 100 or more, or 1000 or more). The model's execution time is also dependent on the type of algorithm used. In some implementations, the model processes all or most of the time evolution of the spectral information from the start of the etch process to the current time. This may require, for example, a large number of models generated using multiway principal component analysis (PCA) and multi-directional partial least squares (PLS), each of which is based on the historical trajectories of the corresponding time intervals. Compare the optical measurement trajectories from the start of the etch to the current time step. These models may have elevated productive requirements both during real-time process monitoring and during model calibration as etch times become longer. In such cases, the system may be configured with additional processing capabilities, such as large amounts of buffer space, multithreading, and/or processors with multiple cores.

특정한 실시예들에서, 모델은 관심있는 기하학적 파라미터를 결정하기 위해 선택될 수도 있는, 단지 제한된 범위의 파장들 (또는 광학 신호의 다른 양태) 에 대한 광학적 출력 신호를 사용한다. 이 범위의 신호는 이 모델에 대한 독립 변수 (또는 독립 변수들의 그룹) 으로 사용된다. 일부 이러한 구현예들에서, 대부분의 가용한 광학 신호는 입력으로 사용되지 않는다. 선택된 범위는 계측 툴에 의해 측정될 수 있는 값들의 전체 범위의 작은 분율 (예를 들어, 약 10% 미만 또는 심지어 이산적인 값) 을 나타낼 수도 있다. 모델 입력으로서 선택된 범위를 사용하여, 에칭 피처의 기하구조를 결정하기 위해, 보다 적은 산출 따라서 보다 신속한 계산을 필요로 할 수 있다. 이는 또한 선택된 독립 변수들로 하여금 상관된 기하학적 파라미터들을 간섭하지 않고 계산될 수 있게 하고; 예를 들어, 에칭 깊이가 CD와 강하게 상관하는 입력 신호들로부터 상당한 간섭 없이 계산될 수 있다. 예를 들어, 제 1 파장 범위는 에칭 깊이와 강하게 상관할 수도 있지만, 상이한 파장 범위가 에칭 깊이와는 약하게 상관하는 CD와 강하게 상관할 수도 있다. 에칭 깊이에 포커싱하는 프로세스는 신호를 모호하게 하지 않도록, 제 1 파장 범위의 광학 신호들만을 사용한다. In certain embodiments, the model uses an optical output signal for only a limited range of wavelengths (or other aspect of the optical signal), which may be selected to determine the geometric parameter of interest. Signals in this range are used as independent variables (or groups of independent variables) for this model. In some such implementations, most of the available optical signals are not used as input. The selected range may represent a small fraction of the total range of values that can be measured by the metrology tool (eg, less than about 10% or even discrete values). Using the selected range as model input, it may require less computation and thus faster computation to determine the geometry of the etched feature. It also allows selected independent variables to be calculated without interfering with the correlated geometric parameters; For example, the etch depth can be calculated without significant interference from input signals that correlate strongly with CD. For example, the first wavelength range may strongly correlate with the etch depth, while a different wavelength range may strongly correlate with the CD, which weakly correlates with the etch depth. The process of focusing on the etch depth uses only optical signals in the first wavelength range, so as not to obscure the signal.

일반적으로, 개시된 최적화된 EPM과 함께 사용될 수도 있는 에처 장치는 이들의 표면으로부터 재료를 제거하지 않고 반도체 기판들을 에칭하기 적합한 임의의 종류의 반도체 프로세싱 장치일 수도 있다. 일부 실시예들에서, 에처 장치는 ICP 반응기를 구성할 수도 있고; 일부 실시예들에서, CCP 반응기를 구성할 수도 있다. 따라서, 이들 개시된 최적화된 EPM과 함께 사용하기 위한 에처 장치는 프로세싱 챔버, 프로세싱 챔버 내에 기판을 홀딩하기 위한 기판 홀더, 및 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기를 가질 수도 있다. 장치는 또한 하나 이상의 프로세스 가스들을 프로세싱 챔버 내로 흘리기 위한 하나 이상의 밸브-제어된 프로세스 가스 유입구들, 프로세싱 챔버로부터 가스들을 배기하기 위해 하나 이상의 진공 펌프들에 유체로 연결된 하나 이상의 가스 유출구들, 등을 더 포함할 수도 있다. 에처 장치들 (또한 일반적으로 에칭 반응기들, 또는 플라즈마 에칭 반응기들, 등으로 지칭됨) 에 관한 추가 상세들. In general, the etched device that may be used with the disclosed optimized EPM may be any kind of semiconductor processing device suitable for etching semiconductor substrates without removing material from their surface. In some embodiments, the etcher device may constitute an ICP reactor; In some embodiments, a CCP reactor may be configured. Accordingly, an etcher apparatus for use with these disclosed optimized EPMs may have a processing chamber, a substrate holder for holding a substrate within the processing chamber, and a plasma generator for generating plasma within the processing chamber. The apparatus further includes one or more valve-controlled process gas inlets for flowing one or more process gases into the processing chamber, one or more gas outlets fluidly connected to one or more vacuum pumps for evacuating gases from the processing chamber, and the like. It can also be included. Further details regarding etching devices (also generally referred to as etch reactors, or plasma etch reactors, etc.).

개시된 산출 Initiated output 실시예들에In embodiments 대한 배경 About the background

본 명세서에 개시된 특정한 실시예들은 프로세스 시뮬레이션 모델들을 사용 및/또는 생성하기 위한 시스템들에 관한 것이다. 본 명세서 특정한 실시예들은 이러한 시스템들에 의해 구현된 프로세스 시뮬레이션 모델을 생성 및/또는 사용하기 위한 방법들에 관한 것이다. 프로세스 시뮬레이션 모델을 생성하는 시스템은 기판에 대한 반도체 디바이스 제조 동작의 효과들을 나타내도록 사용된 표현들 또는 관계들을 캘리브레이팅 또는 최적화하기 위해 데이터를 분석하도록 구성될 수도 있다. 프로세스 시뮬레이션 모델을 생성하는 시스템은 또한 반도체 디바이스 제조 동작 동안 발생하는 물리적 프로세스들을 나타내는 프로그램 코드와 같은 인스트럭션들 및 데이터를 수신하도록 구성될 수도 있다. 이 방식으로, 프로세스 시뮬레이션 모델은 이러한 시스템 상에 생성되거나 프로그래밍된다. 프로세스 시뮬레이션 모델을 사용하기 위해 프로그램된 시스템은 (i) 반도체 디바이스 제조 동작 및/또는 기판에 피처들을 생성하기 위한 최초 설계 레이아웃 또는 마스크를 특징으로 하는 프로세스 파라미터들과 같은 입력을 수신하고, 그리고 (ii) 기판에 대한 반도체 디바이스 제조 동작의 효과를 결정하는 인스트럭션들을 실행하도록 구성될 수도 있다. 이를 위해, 시스템은 반도체 디바이스 제조 동작의 시간 종속 (또는 시간 독립) 결과를 계산할 수도 있다.Certain embodiments disclosed herein relate to systems for using and/or generating process simulation models. Certain embodiments herein relate to methods for creating and/or using a process simulation model implemented by such systems. The system for generating the process simulation model may be configured to analyze the data to calibrate or optimize the expressions or relationships used to represent the effects of the semiconductor device manufacturing operation on the substrate. The system for generating the process simulation model may also be configured to receive instructions and data, such as program code, representing physical processes occurring during a semiconductor device manufacturing operation. In this way, a process simulation model is created or programmed on this system. A system programmed to use the process simulation model receives inputs such as (i) process parameters that characterize the semiconductor device manufacturing operation and/or the original design layout or mask to create features on the substrate, and (ii) ) May be configured to execute instructions that determine the effect of the semiconductor device manufacturing operation on the substrate. To this end, the system may calculate a time dependent (or time independent) result of the semiconductor device manufacturing operation.

임의의 다양한 컴퓨터 아키텍처들을 갖는 많은 타입들의 컴퓨팅 시스템들이 프로세스 시뮬레이션 모델들 및 이러한 모델들을 생성 및/또는 최적화하기 위한 알고리즘들을 구현하기 위해 개시된 시스템들을 채용할 수도 있다. 예를 들어, 시스템들은 하나 이상의 범용 프로세서들 또는 특수하게 설계된 프로세서들 예컨대 프로그램가능한 로직 디바이스들 (예를 들어, Field Programmable Gate Arrays (FPGAs)) 에서 실행하는 소프트웨어 컴포넌트들을 포함할 수도 있다. 또한, 시스템들이 단일 디바이스 상에서 구현될 수도 있고 또는 복수의 디바이스들에 걸쳐 분산될 수도 있다. 산출 엘리먼트들의 기능들은 서로 합병될 수도 있고 또는 복수의 서브-모듈들로 더 분할될 수도 있다. Many types of computing systems having any of a variety of computer architectures may employ the disclosed systems to implement process simulation models and algorithms for generating and/or optimizing such models. For example, systems may include software components running on one or more general purpose processors or specially designed processors such as programmable logic devices (eg, Field Programmable Gate Arrays (FPGAs)). Further, the systems may be implemented on a single device or may be distributed across multiple devices. The functions of the computational elements may be merged with each other or may be further divided into a plurality of sub-modules.

일부 실시예들에서, 적절히 프로그램된 시스템에서 프로세스 시뮬레이션 모델의 생성 또는 실행 동안 실행된 코드는 컴퓨터 디바이스 (예컨대 PC, 서버들, 네트워크 장비, 등) 를 구성하기 위한 다수의 인스트럭션들을 포함하여, 비휘발성 저장 매체 (예컨대 광학 디스크, 플래시 저장 디바이스, 모바일 하드 디스크, 등) 에 저장될 수 있는 소프트웨어 엘리먼트들의 형태로 구현될 수 있다. In some embodiments, the code executed during the generation or execution of a process simulation model in a properly programmed system includes a number of instructions for configuring a computer device (e.g., PC, servers, network equipment, etc.), non-volatile. It can be implemented in the form of software elements that can be stored on a storage medium (eg, optical disk, flash storage device, mobile hard disk, etc.).

일 레벨에서 소프트웨어 엘리먼트는 프로그래머/개발자에 의해 준비된 명령들의 세트로서 구현된다. 그러나, 컴퓨터 하드웨어에 의해 실행될 수 있는 모듈 소프트웨어는 특정한 머신 랭귀지 인스트럭션 세트로부터 선택된 "머신 코드들" 또는 하드웨어 프로세서 내에 설계된 "네이티브 인스트럭션들"을 사용하여 메모리에 기억된 실행가능 코드이다. 머신 랭귀지 인스트럭션 세트, 또는 네이티브 인스트럭션 세트는 하드웨어 프로세서(들)에 공지되고 본질적으로 내부에 구축된다. 이는 시스템 및 애플리케이션 소프트웨어가 하드웨어 프로세서들과 통신하는 "랭귀지"이다. 네이티브 인스트럭션 각각은 프로세싱 아키텍처에 의해 인식되고 연산, 어드레싱, 또는 기능들; 특정한 메모리 위치들 또는 오프셋들; 및 연산자들을 해석하기 위해 사용된 특정한 어드레싱 모드들을 제어하기 위해 특정한 레지스터들에 특정될 수 있는 이산적인 코드이다. 순차적으로 실행되고, 또는 그렇지 않으면 제어 플로우 인스트럭션들에 의해 지시된 바와 같이, 보다 복잡한 연산들이 이들 단순한 네이티브 인스트럭션들을 조합함으로써 구축된다. At one level, the software element is implemented as a set of instructions prepared by the programmer/developer. However, modular software that can be executed by computer hardware is executable code stored in memory using "machine codes" selected from a particular machine language instruction set or "native instructions" designed within a hardware processor. The machine language instruction set, or native instruction set, is known to and essentially built inside the hardware processor(s). This is the "language" in which system and application software communicate with hardware processors. Each of the native instructions is recognized by the processing architecture and includes operations, addressing, or functions; Specific memory locations or offsets; And discrete code that can be specified in specific registers to control specific addressing modes used to interpret operators. Executed sequentially, or otherwise, more complex operations are built by combining these simple native instructions, as indicated by the control flow instructions.

실행가능한 소프트웨어 인스트럭션들과 하드웨어 프로세서 간 상호 관계는 구조적이다. 달리 말하면, 인스트럭션들 자체가 일련의 심볼들 또는 수치적 값들이다. 이들은 본질적으로 어떠한 정보도 반송하지 않는다. 이는 설계에 의해 심볼들/수치 값들을 해석하도록 미리 구성되고, 의미를 인스트럭션들에 전달하는 프로세서이다. The interrelationship between executable software instructions and hardware processors is structural. In other words, the instructions themselves are a series of symbols or numerical values. They essentially do not carry any information. It is a processor that is pre-configured to interpret symbols/numeric values by design and conveys meaning to instructions.

본 명세서에서 사용된 모델들은 단일 위치의 단일 머신 상에서, 단일 위치의 복수의 머신들 상에서, 또는 복수의 위치들의 복수의 머신들 상에서 실행하도록 구성될 수도 있다. 복수의 머신들이 채용될 때, 개별 머신들이 특정한 태스크들을 위해 맞춤될 수도 있다. 예를 들어, 큰 블록들의 코드 및/또는 상당한 프로세싱 용량을 필요로 하는 동작들은 크고 그리고/또는 고정 머신들에서 구현될 수도 있다. Models used herein may be configured to run on a single machine in a single location, on a plurality of machines in a single location, or on a plurality of machines in a plurality of locations. When multiple machines are employed, individual machines may be tailored for specific tasks. For example, large blocks of code and/or operations that require significant processing capacity may be implemented in large and/or fixed machines.

이에 더하여, 특정한 실시예들은 다양한 컴퓨터 구현된 동작들을 수행하기 위해 프로그램 인스트럭션들 및/또는 데이터 (데이터 구조들을 포함) 를 포함하는 유형의 그리고/또는 비일시적인 컴퓨터 판독가능 매체 또는 컴퓨터 프로그램 제품들과 관련된다. 컴퓨터 판독가능 매체의 예들은, 이로 제한되는 것은 아니지만, 반도체 메모리 디바이스들, 상 변화 디바이스들, 디스크 드라이브와 같은 자기 매체, 자기 테이프, CD들과 같은 광학 매체, 자기-광학 매체, 및 ROM (read-only memory) 디바이스들 및 RAM (random access memory) 와 같은 프로그램 인스트럭션들을 저장하고 수해아도록 특수하게 구성된 하드웨어 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 최종 사용자에 의해 직접적으로 제어될 수도 있고 또는 매체는 최종 사용자에 의해 간접적으로 제어될 수도 있다. 직접 제어된 매체의 예들은 다른 엔티티들과 공유되지 않은 사용자 설비 및/또는 매체에 위치된 매체를 포함한다. 간접적으로 제어된 매체의 예들은 외부 네트워크를 통해 그리고/또는 "클라우드"와 같은 공유된 리소스들을 제공하는 서비스를 통해 사용자에게 간접적으로 액세스 가능한 매체를 포함한다. 프로그램 인스트럭션들의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터 (interpreter) 를 사용하여 컴퓨터에 의해 실행될 수도 있는 보다 고 레벨 코드를 포함하는 파일들 모두를 포함한다.In addition, certain embodiments relate to tangible and/or non-transitory computer-readable media or computer program products containing program instructions and/or data (including data structures) to perform various computer-implemented operations. do. Examples of computer-readable media include, but are not limited to, semiconductor memory devices, phase change devices, magnetic media such as disk drives, magnetic tapes, optical media such as CDs, magneto-optical media, and ROM (read -only memory) devices and hardware devices specifically configured to store and interpret program instructions such as random access memory (RAM). The computer-readable medium may be controlled directly by the end user, or the medium may be controlled indirectly by the end user. Examples of directly controlled media include media located on user equipment and/or media that are not shared with other entities. Examples of indirectly controlled media include media that are indirectly accessible to a user through an external network and/or through a service that provides shared resources such as a “cloud”. Examples of program instructions include both machine code as generated by a compiler, and files containing higher level code that may be executed by a computer using an interpreter.

다양한 실시예들에서, 개시된 방법들 및 장치에 채용된 데이터 또는 정보는 전자 포맷으로 제공된다. 이러한 데이터 또는 정보는 설계 레이아웃들, 고정된 파라미터 값들, 플로팅된 파라미터 값들, 피처 프로파일들, 계측 결과들, 등을 포함할 수도 있다. 본 명세서에서 사용될 때, 전자 포맷으로 제공된 데이터 또는 다른 정보가 머신에 저장을 위해 머신들 사이의 송신을 위해 이용가능하다. 종래의, 전자 포맷 데이터는 디지털로 제공되고 비트들 및/또는 바이트들로 다양한 데이터 구조체들, 리스트들, 데이터베이스들, 등에 저장될 수도 있다. 데이터는 전자적, 광학적, 등으로 구현될 수도 있다. In various embodiments, data or information employed in the disclosed methods and apparatus is provided in an electronic format. Such data or information may include design layouts, fixed parameter values, plotted parameter values, feature profiles, measurement results, and the like. As used herein, data or other information provided in an electronic format is available for transmission between machines for storage in the machine. Conventional, electronic format data is provided digitally and may be stored in bits and/or bytes in various data structures, lists, databases, etc. The data may be implemented electronically, optically, or the like.

특정한 실시예들에서, 프로세스 시뮬레이션 모델은 각각 사용자 및 시스템 소프트웨어와 인터페이싱하는 애플리케이션 소프트웨어의 형태로 보여질 수 있다. 시스템 소프트웨어는 통상적으로 컴퓨터 하드웨어 및 연관된 메모리와 인터페이싱한다. 특정한 실시예들에서, 시스템 소프트웨어는 OS (operating system) 소프트웨어 및/또는 펌웨어, 뿐만 아니라 시스템에 설치된 미들웨어 및 드라이버들을 포함한다. 시스템 소프트웨어는 컴퓨터의 기본적인 비-태스크 특정 기능들을 제공한다. 반대로, 모듈들 및 다른 애플리케이션 소프트웨어는 특정한 태스크들을 달성하기 위해 사용된다. 모듈에 대한 네이티브 인스트럭션 각각은 메모리 디바이스에 저장되고 수치 값으로 나타낸다. In certain embodiments, the process simulation model may be viewed in the form of application software that interfaces with user and system software, respectively. System software typically interfaces with computer hardware and associated memory. In certain embodiments, the system software includes operating system (OS) software and/or firmware, as well as middleware and drivers installed in the system. The system software provides the basic non-task specific functions of the computer. Conversely, modules and other application software are used to accomplish certain tasks. Each native instruction to the module is stored in a memory device and represented as a numeric value.

예시적인 컴퓨터 시스템 (800) 이 도 7에 도시된다. 도시된 바와 같이, 컴퓨터 시스템 (800) 은 애플리케이션에 따라 인간 사용자들 및/또는 다른 컴퓨터 시스템들과 상호작용하기 위한 인터페이스를 구현할 수도 있는, 입력/출력 서브시스템 (802) 을 포함한다. 본 발명의 실시예들은 (예를 들어, GUI 또는 키보드를 통해) 인간 사용자로부터 입력 프로그램 진술들 및/또는 데이터를 수신하고 이들을 다시 사용자에게 디스플레이하기 위해 사용된 I/O 서브시스템 (802) 을 사용하여 시스템 (800) 상의 프로그램 코드로 구현될 수도 있다. I/O 서브시스템 (802) 은 예를 들어, 키보드, 마우스, GUI (graphical user interface), 터치스크린, 또는 입력을 위한 다른 인터페이스들, 및, 예를 들어, LED 또는 다른 평판 스크린 디스플레이, 또는 출력을 위한 다른 인터페이스들을 포함할 수도 있다. 본 개시의 실시예들의 다른 엘리먼트들, 예컨대 명령 배치 엔진 (order placement engine) (208) 이 컴퓨터 시스템 (800) 과 같은 컴퓨터 시스템으로 구현될 수도 있지만 아마도 I/O는 없다. An exemplary computer system 800 is shown in FIG. 7. As shown, computer system 800 includes an input/output subsystem 802, which may implement an interface for interacting with human users and/or other computer systems depending on the application. Embodiments of the present invention use an I/O subsystem 802 used to receive input program statements and/or data from a human user (e.g., via a GUI or keyboard) and display them back to the user. Thus, it may be implemented as program code on the system 800. The I/O subsystem 802 may include, for example, a keyboard, mouse, graphical user interface (GUI), a touch screen, or other interfaces for input, and, for example, an LED or other flat screen display, or output. It may include other interfaces for Other elements of the embodiments of the present disclosure, such as order placement engine 208 may be implemented with a computer system such as computer system 800, but perhaps no I/O.

프로그램 코드는 영구 저장 장치 (810) 또는 메모리 (808) 또는 모두와 같은 비일시적 매체에 저장될 수도 있다. 하나 이상의 프로세서들 (804) 이 하나 이상의 비일시적 매체로부터 프로그램 코드를 판독하고 컴퓨터 시스템으로 하여금 본 명세서에 기술된 바와 같은 프로세스 시뮬레이션 모델을 생성하거나 사용하는 것에 수반된, 본 명세서의 실시예들에 의해 수행된 방법들을 달성하게 하도록 코드를 실행한다. 당업자는 프로세서가 트레이닝 및/또는 모델링 동작들을 실행하기 위한 진술들과 같은 소스 코드를 수용하고, 프로세서의 하드웨어 게이트 레벨에서 이해될 수 있는 머신 코드로 소스 코드를 해석하거나 컴파일할 수도 있다는 것을 이해할 것이다. 버스가 I/O 서브시스템 (802), 프로세서 (804), 주변 디바이스 (806), 메모리 (808), 및 영구 저장장치 (810) 를 커플링한다.The program code may be stored in a non-transitory medium such as persistent storage 810 or memory 808 or both. By the embodiments of the present specification, in which one or more processors 804 are involved in reading program code from one or more non-transitory media and causing a computer system to generate or use a process simulation model as described herein. Execute the code to achieve the methods performed. Those of skill in the art will understand that the processor may accept source code, such as statements for performing training and/or modeling operations, and interpret or compile the source code into machine code that can be understood at the hardware gate level of the processor. A bus couples I/O subsystem 802, processor 804, peripheral device 806, memory 808, and persistent storage 810.

결론conclusion

본 기술에서 다수의 구체적인 상세들이 제시된 실시예들의 완전한 이해를 제공하기 위해 언급되었다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 이들 특정한 실시예들은 개시된 실시예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.A number of specific details have been mentioned in the art to provide a thorough understanding of the presented embodiments. It will be apparent that the disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that these specific embodiments are not intended to limit the disclosed embodiments.

Claims (70)

반도체 디바이스 제조 동작을 특징으로 하는 (characterizing) 프로세스 파라미터 값들로부터 상기 반도체 디바이스 제조 동작의 결과를 예측하는 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법 (computer-implemented method) 에 있어서,
(a) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하는 단계;
(b) 상기 프로세스 시뮬레이션 모델에 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들 및 고정된 프로세스 모델 파라미터 값(들)의 세트를 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하는 단계;
(c) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 상기 반도체 디바이스 제조 동작의 컴퓨터로 (computationally) 예측된 결과를 생성하는 단계;
(d) 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버 내에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 계측 결과와 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 비교하는 단계로서, 상기 비교는 상기 반도체 디바이스 제조 동작과 상기 컴퓨터로 예측된 결과와 상기 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성하는, 상기 비교하는 단계;
(e) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 업데이트를 생성하도록 상기 하나 이상의 비용 값들 및/또는 수렴 체크 (convergence check) 를 사용하는 단계;
(f) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 상기 업데이트를 사용하여 상기 단계 (b) 를 수행하는 단계; 및
(g) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들이 상기 비용 값들을 최소화하는 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 상기 단계 (c) 내지 상기 단계 (f) 를 반복하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
A computer-implemented method for optimizing a process simulation model predicting a result of the semiconductor device manufacturing operation from process parameter values characterizing a semiconductor device manufacturing operation, comprising:
(a) receiving current values of one or more plotted process model parameters to be optimized;
(b) generating a process simulation model constructed by providing the process simulation model with the current values of the one or more plotted process model parameters and a set of fixed process model parameter value(s);
(c) using the constructed process simulation model, generating a computer-predicted result of the semiconductor device manufacturing operation;
(d) the computer of the semiconductor device manufacturing operation and measurement results obtained, at least in part, from one or more substrate features generated by performing the semiconductor device manufacturing operation within a reaction chamber operating under the fixed set of process parameter values. Comparing the predicted result with, the comparing generating one or more cost values based on the semiconductor device manufacturing operation and a difference between the computer-predicted result and the measurement result;
(e) using the one or more cost values and/or a convergence check to generate an update of the current values of the one or more plotted process model parameters;
(f) performing step (b) using the update of the current values of the one or more plotted process model parameters; And
(g) steps (c) to (f) until the current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize the cost values. ) A computer-implemented method of optimizing a process simulation model.
제 1 항에 있어서,
상기 반도체 디바이스 제조 동작은 공제 (subtractive) 프로세스 또는 재료 첨가 프로세스인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 1,
The computer-implemented method of optimizing a process simulation model, wherein the semiconductor device manufacturing operation is a subtractive process or a material addition process.
제 1 항 또는 제 2 항에 있어서,
상기 반도체 디바이스 제조 동작은 에칭 프로세스, 평탄화 프로세스, 또는 증착 프로세스인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to claim 1 or 2,
The computer-implemented method of optimizing a process simulation model, wherein the semiconductor device manufacturing operation is an etching process, a planarization process, or a deposition process.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 고정된 프로세스 모델 파라미터 값(들)의 세트 또는 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들은 상기 반응 챔버의 하나 이상의 온도 값들, 상기 반응 챔버의 하나 이상의 RF 조건들, 상기 반응 챔버의 하나 이상의 프로세스 가스들, 상기 반응 챔버의 압력, 또는 이들의 조합을 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 3,
The set of fixed process model parameter value(s) or the one or more floating process model parameters are one or more temperature values of the reaction chamber, one or more RF conditions of the reaction chamber, one or more process gases of the reaction chamber. , The pressure in the reaction chamber, or a combination thereof, a computer-implemented method of optimizing a process simulation model.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 하나 이상의 플로팅된 프로세스 모델 파라미터들은 상기 반도체 디바이스 제조 동작을 겪는 기판의 특징 (characteristic) 을 포함하고, 상기 특징은 반응 레이트 상수, 반응물질 및/또는 생성물 부착 계수, 반응물질 확산 상수, 생성물 확산 상수, 및/또는 광학 분산 속성인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 4,
The one or more plotted process model parameters include a characteristic of the substrate undergoing the semiconductor device manufacturing operation, the characteristic being a reaction rate constant, reactant and/or product adhesion coefficient, reactant diffusion constant, product diffusion constant. , And/or optical dispersion properties, a computer-implemented method of optimizing a process simulation model.
제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
상기 하나 이상의 플로팅된 프로세스 모델 파라미터들은 수직 에칭 레이트, 측방향 에칭 레이트, 공칭 에칭 깊이, 에칭 선택도, 이온 입사 틸팅 각도, 이온 입사 트위스트 각도, 피처 내로 가시도, 각도 분산, 스퍼터링 최대 산출 각도 (sputter maximum yield angle), 및/또는 결정 방향 당 에칭 비를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 4,
The one or more plotted process model parameters include vertical etch rate, lateral etch rate, nominal etch depth, etch selectivity, ion incident tilt angle, ion incident twist angle, visibility into feature, angular dispersion, sputtering maximum calculated angle (sputter). maximum yield angle), and/or an etch rate per crystal direction.
제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
상기 하나 이상의 플로팅된 프로세스 모델 파라미터들은 상기 반도체 디바이스 제조 동작을 겪는 기판의 임의의 2 이상의 특징들의 조합을 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 6,
The computer-implemented method of optimizing a process simulation model, wherein the one or more plotted process model parameters include a combination of any two or more features of a substrate undergoing the semiconductor device manufacturing operation.
제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
상기 구성된 프로세스 시뮬레이션 모델을 생성하는 단계 (b) 는 상기 기판이 상기 반도체 디바이스 제조 동작을 겪기 전 기판의 상기 프로세스 시뮬레이션 모델 프로파일을 제공하는 단계를 더 포함하고, 상기 기판의 상기 프로파일은 상기 반도체 디바이스 제조 동작에 의해 수정될 하나 이상의 피처들을 갖는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 7,
The step (b) of generating the configured process simulation model further comprises providing the process simulation model profile of the substrate before the substrate undergoes the semiconductor device manufacturing operation, wherein the profile of the substrate is the semiconductor device manufacturing operation. A computer-implemented method of optimizing a process simulation model with one or more features to be modified by operation.
제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
상기 단계 (c) 전에, 상기 반도체 디바이스 제조 동작을 겪는 기판의 최초 프로파일을 제공하는 단계를 더 포함하고, 상기 단계 (c) 에서 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 단계는 상기 최초 프로파일을 사용하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 8,
Prior to the step (c), further comprising the step of providing an initial profile of the substrate undergoing the semiconductor device manufacturing operation, and generating the computer-predicted result of the semiconductor device manufacturing operation in step (c) A computer-implemented method of optimizing a process simulation model using the original profile.
제 9 항에 있어서,
상기 최초 프로파일은 상기 반도체 디바이스 제조 동작 전에 발생하는 제조 단계에 관한 정보를 사용하여 컴퓨터로 생성되는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 9,
The initial profile is computer-generated using information about manufacturing steps that occur prior to the semiconductor device manufacturing operation. A computer-implemented method of optimizing a process simulation model.
제 10 항에 있어서,
상기 최초 프로파일은 상기 반도체 디바이스 제조 동작 전에 발생하는 제조 단계로부터 생성된 하나 이상의 최초 기판 피처들에 대한 계측을 수행함으로써 결정되는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 10,
Wherein the initial profile is determined by performing metrology on one or more original substrate features generated from a manufacturing step that occurs prior to the semiconductor device manufacturing operation.
제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
반도체 디바이스 제조 동작의 상기 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 입사 전자기 방사선의 상호 작용에 의해 생성된 신호인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 11,
The computer-implemented method of optimizing a process simulation model, wherein the result of a semiconductor device manufacturing operation is an etched feature, a deposited feature, or a signal generated by the interaction of a planarized feature with incident electromagnetic radiation.
제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계는,
(i) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 기하학적 프로파일 좌표들로 나타낸 산출된 (compute) 에칭 프로파일을 생성하는 단계; 및
(ii) 상기 단계 (i) 에서 생성된 상기 산출된 에칭 프로파일로부터, 상기 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 산출된 반사율 또는 타원 스펙트럼을 생성하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 12,
Generating a computer predicted result of the semiconductor device manufacturing operation,
(i) using the constructed process simulation model, generating a computed etch profile represented by a series of geometric profile coordinates; And
(ii) generating a calculated reflectance or elliptic spectrum by simulating reflection of electromagnetic radiation from the calculated etching profile from the calculated etching profile generated in step (i). Computer-implemented method of optimizing.
제 13 항에 있어서,
상기 단계 (ii) 전, 프로파일 일부 통계적 프로파일 변동들을 평활화하도록 상기 산출된 에칭 프로파일을 컨디셔닝하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 13,
Before step (ii), further comprising conditioning the calculated etch profile to smooth out profile partial statistical profile variations.
제 13 항에 있어서,
산출된 반사율 또는 타원 스펙트럼을 생성하는 단계는 상기 산출된 에칭 프로파일을 사용하여 "RCWA" (Rigorous Coupled Wave Analysis) 시뮬레이션을 수행하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 13,
A computer-implemented method of optimizing a process simulation model, wherein generating the calculated reflectance or elliptic spectrum comprises performing a “RCWA” (Rigorous Coupled Wave Analysis) simulation using the calculated etch profile.
제 13 항에 있어서,
상기 산출된 반사율 또는 타원 스펙트럼을 생성하는 단계는 상기 산출된 에칭 프로파일을 사용하여 "FDTD" (Finite Difference Time-Domain) 시뮬레이션을 수행하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 13,
The step of generating the calculated reflectance or elliptic spectrum comprises performing “FDTD” (Finite Difference Time-Domain) simulation using the calculated etching profile, a computer-implemented method for optimizing a process simulation model .
제 13 항에 있어서,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하는 단계; 및
상기 계측 결과를 포함하는 실험적 반사 스펙트럼을 생성하도록 상기 에칭된 기판을 입사 전자기 방사선에 노출하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 13,
Performing the semiconductor device fabrication operation on a test substrate under the set of process parameter values to produce an etched substrate; And
The computer-implemented method of optimizing a process simulation model, further comprising exposing the etched substrate to incident electromagnetic radiation to generate an empirical reflection spectrum containing the measurement results.
제 13 항에 있어서,
하나 이상의 부가적인 산출된 반사율 또는 타원형 스펙트럼을 생성하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 13,
A computer-implemented method of optimizing a process simulation model, further comprising generating one or more additional calculated reflectance or elliptic spectra.
제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
상기 프로세스 파라미터 값들의 세트 하에서 동작하는 상기 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 피처들을 포함하는 기판 상에서 반사측정법, 돔 산란측정법 (dome scatterometry), 각도-분해 산란측정법 (angle-resolved scatterometry), 소각 X-레이 산란측정법 (small-angle X-ray scatterometry) 및/또는 타원편광법 (ellipsometry) 을 수행함으로써 상기 계측 결과를 생성하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 18,
Reflectometry, dome scatterometry, and angle-resolved scatterometry on a substrate containing features created by performing the semiconductor device manufacturing operation in the reaction chamber operating under the set of process parameter values. ), small-angle X-ray scatterometry (small-angle X-ray scatterometry) and / or ellipsometry (ellipsometry) further comprising the step of generating the measurement result, computer-implemented to optimize the process simulation model Way.
제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 결과는 에칭된 피처의 프로파일, 또는 증착된 피처의 프로파일, 또는 평탄화된 피처의 프로파일인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 12,
The computer-implemented method of optimizing a process simulation model, wherein the result of the semiconductor device fabrication operation is a profile of an etched feature, a profile of a deposited feature, or a profile of a planarized feature.
제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 단계는 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 12,
The step of generating the computer-predicted result of the semiconductor device manufacturing operation includes using the constructed process simulation model to generate a calculated etch profile represented by etch profile coordinates. Computer-implemented method.
제 21 항에 있어서,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하는 단계; 및
상기 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 상기 에칭된 기판의 피처들을 측정하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 21,
Performing the semiconductor device fabrication operation on a test substrate under the set of process parameter values to produce an etched substrate; And
A computer-implemented method of optimizing a process simulation model, further comprising measuring features of the etched substrate to produce empirical etch profile coordinates containing the metrology result.
제 22 항에 있어서,
상기 에칭된 기판의 피처들을 측정하는 단계는 상기 에칭된 기판에 대한 현미경법 (microscopy), 또는 광학적 계측법을 수행하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 22,
A computer-implemented method of optimizing a process simulation model, wherein measuring the features of the etched substrate comprises performing microscopy, or optical metrology, of the etched substrate.
제 23 항에 있어서,
현미경법을 수행하는 단계는 TEM (transmission electron microscopy) 및/또는 SEM (scanning electron microscopy) 을 수행하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 23,
A computer-implemented method of optimizing a process simulation model, wherein the step of performing microscopy comprises performing transmission electron microscopy (TEM) and/or scanning electron microscopy (SEM).
제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 또는 증착된 피처, 또는 평탄화된 피처의 기하구조를 특징으로 하는 기하학적 프로파일 파라미터들의 세트인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 12,
A computer-implemented method of optimizing a process simulation model, wherein the result of the semiconductor device fabrication operation is a set of geometric profile parameters that characterize the geometry of an etched feature, or a deposited feature, or a planarized feature.
제 25 항에 있어서,
상기 기하학적 프로파일 파라미터들은 "OCD" (Optical CD) 프로파일 파라미터들인, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 25,
The computer-implemented method of optimizing a process simulation model, wherein the geometrical profile parameters are “OCD” (Optical CD) profile parameters.
제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 단계는,
(i) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 단계; 및
(ii) 상기 단계 (i) 에서 생성된 상기 산출된 에칭 프로파일을 상기 산출된 에칭 프로파일의 기하구조를 특징으로 하는 제 1 기하학적 프로파일 파라미터들의 세트로 변환하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 12,
Generating the computer-predicted result of the semiconductor device manufacturing operation,
(i) using the constructed process simulation model, generating a calculated etch profile represented by a series of etch profile coordinates; And
(ii) converting the calculated etch profile generated in step (i) into a first set of geometrical profile parameters characterized by the geometry of the calculated etch profile, optimizing a process simulation model. Computer-implemented method.
제 27 항에 있어서,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하는 단계;
실험적 에칭 프로파일 좌표들을 생성하도록 상기 에칭된 기판의 피처들을 측정하는 단계; 및
상기 실험적 에칭 프로파일 좌표들을 상기 에칭된 기판의 에칭된 피처의 기하구조를 특징으로 하는 제 2 기하학적 프로파일 파라미터들의 세트로 변환하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 27,
Performing the semiconductor device fabrication operation on a test substrate under the set of process parameter values to produce an etched substrate;
Measuring features of the etched substrate to produce experimental etch profile coordinates; And
Transforming the empirical etch profile coordinates into a second set of geometrical profile parameters that characterize the geometry of the etched feature of the etched substrate.
제 28 항에 있어서,
상기 하나 이상의 비용 값들은 상기 제 1 기하학적 프로파일 파라미터들의 세트를 사용하는 상기 컴퓨터로 예측된 결과와 상기 제 2 기하학적 프로파일 파라미터들의 세트를 사용하는 상기 계측 결과 사이의 차에 기초하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 28,
The one or more cost values are based on the difference between the computer-predicted result using the first set of geometrical profile parameters and the measurement result using the second set of geometrical profile parameters. Computer-implemented method.
제 1 항 내지 제 29 항 중 어느 한 항에 있어서,
상기 단계 (c) 에서 생성된 상기 컴퓨터로 예측된 결과는 상기 구성된 프로세스 시뮬레이션 모델로부터 산출되고 기판 공제 프로세스 또는 기판 추가 프로세스의 상이한 지속기간들을 나타내는 시간들의 시퀀스에 대응하는 기판 피처의 기하학적 프로파일들 또는 프로파일 파라미터들의 시퀀스를 포함하고; 그리고
상기 단계 (d) 의 상기 계측 결과는 상기 기판 공제 프로세스 또는 상기 기판 추가 프로세스의 상이한 지속기간들에 기판의 실험적 측정값들로부터 획득된 상기 기판 피처의 기하학적 프로파일들 또는 프로파일 파라미터들의 시퀀스를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 29,
The computer-predicted result generated in step (c) is calculated from the constructed process simulation model and geometrical profiles or profiles of substrate features corresponding to a sequence of times representing different durations of the substrate subtraction process or the substrate addition process. Contains a sequence of parameters; And
The measurement result of step (d) comprises a sequence of profile parameters or geometric profiles of the substrate feature obtained from experimental measurements of a substrate at different durations of the substrate subtraction process or the substrate addition process. Computer-implemented method of optimizing process simulation models.
제 1 항 내지 제 30 항 중 어느 한 항에 있어서,
(i) 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 상기 프로세스 시뮬레이션 모델을 구성하는 단계; 및
(ii) 리소그래픽 마스크의 패턴을 결정하는 단계, 및
상기 리소그래픽 마스크를 생성하는 단계를 인에이블하도록 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 상기 프로세스 시뮬레이션 모델을 사용하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 30,
(i) constructing the process simulation model with final values of the one or more plotted process model parameters from step (g); And
(ii) determining a pattern of the lithographic mask, and
Optimizing the process simulation model, further comprising using the process simulation model consisting of final values of the one or more plotted process model parameters from the step (g) to enable generating the lithographic mask. Computer-implemented method.
제 31 항에 있어서,
상기 리소그래픽 마스크를 생성하는 단계는 상기 레지스트 층의 패턴을 전사하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 31,
A computer-implemented method of optimizing a process simulation model, wherein generating the lithographic mask comprises transferring the pattern of the resist layer.
제 32 항에 있어서,
상기 레지스트 층을 현상하는 단계 및 상기 패턴을 하부 크롬 층에 전사하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 32,
A computer-implemented method of optimizing a process simulation model, further comprising developing the resist layer and transferring the pattern to an underlying chromium layer.
제 1 항 내지 제 30 항 중 어느 한 항에 있어서,
(i) 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 상기 프로세스 시뮬레이션 모델을 구성하는 단계;
(ii) 반도체 프로세싱 장치의 설계를 식별하는 단계, 및
상기 반도체 프로세싱 장치의 상기 설계를 사용함으로써 상기 반도체 프로세싱 장치를 제조하는 단계를 인에이블하도록 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 상기 프로세스 시뮬레이션 모델을 사용하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 30,
(i) constructing the process simulation model with final values of the one or more plotted process model parameters from step (g);
(ii) identifying the design of the semiconductor processing device, and
Using the process simulation model consisting of final values of the one or more plotted process model parameters from step (g) to enable manufacturing the semiconductor processing device by using the design of the semiconductor processing device. Further comprising a computer-implemented method of optimizing a process simulation model.
제 1 항 내지 제 30 항 중 어느 한 항에 있어서,
(i) 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 상기 프로세스 시뮬레이션 모델을 구성하는 단계;
(ii) 동작 조건들 하에서 상기 반도체 프로세싱 장치를 동작시킴으로써 반도체 디바이스들의 제조를 인에이블하도록 반도체 프로세싱 장치의 상기 동작 조건들을 식별하도록 상기 단계 (g) 로부터 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들로 구성된 상기 프로세스 시뮬레이션 모델을 사용하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 30,
(i) constructing the process simulation model with final values of the one or more plotted process model parameters from step (g);
(ii) final values of the one or more plotted process model parameters from step (g) to identify the operating conditions of a semiconductor processing apparatus to enable manufacturing of semiconductor devices by operating the semiconductor processing apparatus under operating conditions. A computer-implemented method of optimizing a process simulation model, further comprising the step of using the constructed process simulation model.
제 1 항 내지 제 35 항 중 어느 한 항에 있어서,
상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들이 수렴할 때까지 상기 단계 (c) 내지 상기 단계 (f) 를 반복하는 단계는 획득된 상기 하나 이상의 비용 값들에서 실질적으로 국소적이거나 전역 최소값을 식별하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 35,
Repeating steps (c) to (f) until the current values of the one or more plotted process model parameters converge to identify a substantially local or global minimum in the obtained one or more cost values. A computer-implemented method of optimizing a process simulation model, comprising the step of:
제 1 항 내지 제 36 항 중 어느 한 항에 있어서,
상기 컴퓨터로 예측된 결과를 생성하는 단계는 반도체 기판 상의 피처 프로파일을 나타내는 지점들의 그리드에서 국소적 반응 레이트들을 계산하기 (calculate) 위해 상기 구성된 프로세스 시뮬레이션 모델을 사용하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 36,
Generating the computer-predicted result comprises using the constructed process simulation model to calculate local reaction rates in a grid of points representing a feature profile on a semiconductor substrate. Computer-implemented method of optimizing.
제 37 항에 있어서,
국소적 반응 레이트들을 계산하기 위해 상기 구성된 프로세스 시뮬레이션 모델을 사용하는 단계는 시간의 함수로서 반응 레이트들을 계산하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 37,
A computer-implemented method of optimizing a process simulation model, wherein using the constructed process simulation model to calculate local reaction rates calculates reaction rates as a function of time.
제 1 항 내지 제 38 항 중 어느 한 항에 있어서,
상기 반응 챔버에서 인시츄 계측, 상기 반응 챔버 외부에서 비파괴적 독립형 계측, 및/또는 상기 반응 챔버 외부에서 독립형 파괴적 계측을 수행함으로써 상기 계측 결과를 획득하는 단계를 더 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method according to any one of claims 1 to 38,
Optimizing the process simulation model, further comprising obtaining the measurement results by performing in situ measurements in the reaction chamber, non-destructive standalone measurements outside the reaction chamber, and/or standalone destructive measurements outside the reaction chamber. Computer-implemented method.
산출 시스템으로 하여금 상기 반도체 디바이스 제조 동작을 특징으로 하는 프로세스 파라미터 값들로부터 반도체 디바이스 제조 동작의 결과를 계산하는 최적화된 프로세스 시뮬레이션 모델을 실행하게 하는 인스트럭션들이 제공되는 비일시적인 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품에 있어서,
상기 인스트럭션들은,
(a) 상기 최적화된 프로세스 시뮬레이션 모델로의 입력들로서 프로세스 파라미터 값들을 수신하기 위한 인스트럭션;
(b) 상기 프로세스 파라미터 값들을 사용하여 상기 최적화된 프로세스 시뮬레이션 모델을 실행하기 위한 인스트럭션으로서,
(i) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하고,
(ii) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들 및 고정된 프로세스 모델 파라미터 값(들)의 세트를 상기 프로세스 시뮬레이션 모델에 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하고,
(iii) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 상기 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하고,
(iv) 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 계측 결과와 비교하고―상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과와 상기 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성함―,
(v) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 업데이트를 생성하도록 상기 하나 이상의 비용 값들 및/또는 수렴 체크를 사용하고,
(vi) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 상기 업데이트를 사용하여 상기 동작 (ii) 를 수행하고, 그리고
(vii) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들이 상기 비용 값들을 최소화하는 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 상기 단계 (iii) 내지 상기 단계 (vi) 를 반복함으로써, 상기 최적화된 프로세스 시뮬레이션 모델은 최적화되는, 상기 최적화된 프로세스 시뮬레이션 모델을 실행하기 위한 인스트럭션; 및
(c) 상기 반도체 디바이스 제조 동작의 계산된 결과를 출력하기 위한 인스트럭션을 포함하는, 컴퓨터 프로그램 제품.
Computer program comprising a non-transitory computer-readable medium provided with instructions for causing a calculation system to execute an optimized process simulation model that calculates a result of a semiconductor device manufacturing operation from process parameter values characterizing the semiconductor device manufacturing operation. In the product,
The above instructions,
(a) instructions for receiving process parameter values as inputs to the optimized process simulation model;
(b) an instruction for executing the optimized process simulation model using the process parameter values,
(i) receive current values of one or more plotted process model parameters to be optimized,
(ii) generating a process simulation model constructed by providing the current values of the one or more plotted process model parameters and a set of fixed process model parameter value(s) to the process simulation model,
(iii) using the constructed process simulation model to generate a computer predicted result of the semiconductor device manufacturing operation,
(iv) obtaining the computer-predicted result of the semiconductor device manufacturing operation, at least in part, from one or more substrate features generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Comparing the result of the measured measurement and generating one or more cost values based on the difference between the measurement result and the computer-predicted result of the semiconductor device manufacturing operation,
(v) using the one or more cost values and/or a convergence check to generate an update of the current values of the one or more plotted process model parameters,
(vi) performing the operation (ii) using the update of the current values of the one or more plotted process model parameters, and
(vii) steps (iii) through (vi) until the current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize the cost values. ) By iterating, the optimized process simulation model is optimized; instructions for executing the optimized process simulation model; And
(c) a computer program product comprising instructions for outputting a calculated result of the semiconductor device manufacturing operation.
제 40 항에 있어서,
상기 인스트럭션 (b) 전에, 상기 반도체 디바이스 제조 동작을 겪는 기판의 최초 프로파일을 수신하기 위한 인스트럭션들을 더 포함하는, 컴퓨터 프로그램 제품.
The method of claim 40,
Before the instruction (b), further comprising instructions for receiving an initial profile of a substrate undergoing the semiconductor device manufacturing operation.
제 40 항 또는 제 41 항에 있어서,
상기 하나 이상의 플로팅된 프로세스 모델 파라미터들은 수직 에칭 레이트, 측방향 에칭 레이트, 공칭 에칭 깊이, 에칭 선택도, 이온 입사 틸팅 각도, 이온 입사 트위스트 각도, 피처 내로 가시도, 각도 분산, 스퍼터링 최대 산출 각도, 및/또는 결정 방향 당 에칭 비를 포함하는, 컴퓨터 프로그램 제품.
The method of claim 40 or 41,
The one or more plotted process model parameters include vertical etch rate, lateral etch rate, nominal etch depth, etch selectivity, ion incidence tilt angle, ion incidence twist angle, visibility into feature, angular dispersion, sputtering maximum calculated angle, and And/or an etch rate per crystal direction.
제 40 항 내지 제 42 항 중 어느 한 항에 있어서,
상기 구성된 프로세스 시뮬레이션 모델을 생성하기 위한 인스트럭션 (ii) 는 상기 기판이 상기 반도체 디바이스 제조 동작을 겪기 전 기판의 상기 프로세스 시뮬레이션 모델 프로파일을 제공하는 단계를 더 포함하고, 상기 기판의 상기 프로파일은 상기 반도체 디바이스 제조 동작에 의해 수정될 하나 이상의 피처들을 갖는, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 42,
Instruction (ii) for generating the configured process simulation model further comprises providing the process simulation model profile of the substrate before the substrate undergoes the semiconductor device manufacturing operation, the profile of the substrate being the semiconductor device A computer program product having one or more features to be modified by a manufacturing operation.
제 40 항 내지 제 43 항 중 어느 한 항에 있어서,
반도체 디바이스 제조 동작의 상기 결과는 에칭된 피처, 증착된 피처, 또는 평탄화된 피처와 입사 전자기 방사선의 상호 작용에 의해 생성된 신호인, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 43,
The result of a semiconductor device manufacturing operation is a signal generated by the interaction of an etched feature, a deposited feature, or a planarized feature with incident electromagnetic radiation.
제 40 항 내지 제 44 항 중 어느 한 항에 있어서,
상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 동작은,
상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 기하학적 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 동작; 및
상기 산출된 에칭 프로파일로부터, 상기 산출된 에칭 프로파일로부터 전자기 방사선의 반사를 시뮬레이팅함으로써 산출된 반사율 또는 타원 스펙트럼을 생성하는 동작을 포함하는, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 44,
The operation of generating the computer-predicted result of the semiconductor device manufacturing operation,
Generating, using the constructed process simulation model, a calculated etch profile represented by a series of geometric profile coordinates; And
And generating a calculated reflectance or elliptic spectrum from the calculated etching profile by simulating reflection of electromagnetic radiation from the calculated etching profile.
제 45 항에 있어서,
상기 최적화된 프로세스 시뮬레이션 모델은 부가적으로,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하고, 그리고
상기 계측 결과를 포함하는 실험적 반사 스펙트럼을 생성하도록 상기 에칭된 기판을 입사 전자기 방사선에 노출함으로써 최적화되는, 컴퓨터 프로그램 제품.
The method of claim 45,
The optimized process simulation model additionally,
Performing the semiconductor device manufacturing operation on a test substrate under the set of process parameter values to produce an etched substrate, and
A computer program product, optimized by exposing the etched substrate to incident electromagnetic radiation to produce an experimental reflection spectrum containing the measurement result.
제 40 항에 있어서,
상기 최적화된 프로세스 시뮬레이션 모델은 부가적으로, 상기 프로세스 파라미터 값들의 세트 하에서 동작하는 상기 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 피처들을 포함하는 기판 상에서 반사측정법, 돔 산란측정법, 각도-분해 산란측정법, 소각 X-레이 산란측정법 및/또는 타원편광법을 수행함으로써 상기 계측 결과를 생성함으로써 최적화되는, 컴퓨터 프로그램 제품.
The method of claim 40,
The optimized process simulation model may additionally include reflectometry, dome scattering, angle-resolved on a substrate containing features created by performing the semiconductor device manufacturing operation in the reaction chamber operating under the set of process parameter values. A computer program product, which is optimized by generating the measurement result by performing scatterometry, small angle X-ray scatterometry and/or elliptic polarization.
제 40 항에 있어서,
상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 동작은 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 에칭 프로파일 좌표들로 나타낸 상기 산출된 에칭 프로파일을 생성하는 동작을 포함하는, 컴퓨터 프로그램 제품.
The method of claim 40,
The operation of generating the computer-predicted result of the semiconductor device manufacturing operation includes using the constructed process simulation model to generate the calculated etch profile represented by etch profile coordinates.
제 48 항에 있어서,
상기 최적화된 프로세스 시뮬레이션 모델은 부가적으로,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하고, 그리고
상기 계측 결과를 포함하는 실험적 에칭 프로파일 좌표들을 생성하도록 상기 에칭된 기판의 피처들을 측정함으로써 최적화되는, 컴퓨터 프로그램 제품.
The method of claim 48,
The optimized process simulation model additionally,
Performing the semiconductor device manufacturing operation on a test substrate under the set of process parameter values to produce an etched substrate, and
A computer program product that is optimized by measuring features of the etched substrate to produce experimental etch profile coordinates that contain the metrology result.
제 40 항에 있어서,
상기 반도체 디바이스 제조 동작의 결과는 에칭된 피처, 또는 증착된 피처, 또는 평탄화된 피처의 기하구조를 특징으로 하는 기하학적 프로파일 파라미터들의 세트인, 컴퓨터 프로그램 제품.
The method of claim 40,
The result of the semiconductor device fabrication operation is a set of geometric profile parameters that characterize the geometry of an etched feature, a deposited feature, or a planarized feature.
제 50 항에 있어서,
상기 기하학적 프로파일 파라미터들은 "OCD" (Optical CD) 프로파일 파라미터들인, 컴퓨터 프로그램 제품.
The method of claim 50,
The geometrical profile parameters are “OCD” (Optical CD) profile parameters.
제 40 항에 있어서,
상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 생성하는 동작은,
상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 일련의 에칭 프로파일 좌표들로 나타낸 산출된 에칭 프로파일을 생성하는 동작; 및
상기 산출된 에칭 프로파일을 상기 산출된 에칭 프로파일의 기하구조를 특징으로 하는 제 1 기하학적 프로파일 파라미터들의 세트로 변환하는 동작을 포함하는, 컴퓨터 프로그램 제품.
The method of claim 40,
The operation of generating the computer-predicted result of the semiconductor device manufacturing operation,
Generating, using the constructed process simulation model, a calculated etch profile represented by a series of etch profile coordinates; And
Converting the calculated etch profile into a first set of geometrical profile parameters characterized by a geometry of the calculated etch profile.
제 52 항에 있어서,
상기 최적화된 프로세스 시뮬레이션 모델은 부가적으로,
에칭된 기판을 생성하도록 상기 프로세스 파라미터 값들의 세트 하에서 테스트 기판 상에서 상기 반도체 디바이스 제조 동작을 수행하고,
실험적 에칭 프로파일 좌표들을 생성하도록 상기 에칭된 기판의 피처들을 측정하고; 그리고
상기 실험적 에칭 프로파일 좌표들을 상기 에칭된 기판의 에칭된 피처의 기하구조를 특징으로 하는 제 2 기하학적 프로파일 파라미터들의 세트로 변환함으로써 최적화되는, 컴퓨터 프로그램 제품.
The method of claim 52,
The optimized process simulation model additionally,
Performing the semiconductor device manufacturing operation on a test substrate under the set of process parameter values to produce an etched substrate,
Measuring features of the etched substrate to produce experimental etch profile coordinates; And
Computer program product, optimized by transforming the experimental etch profile coordinates into a second set of geometrical profile parameters that characterize the geometry of the etched feature of the etched substrate.
제 40 항 내지 제 53 항 중 어느 한 항에 있어서,
리소그래픽 마스크의 패턴을 결정하기 위해 상기 계산된 결과를 사용하기 위한 인스트럭션들을 더 포함하는, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 53,
The computer program product further comprising instructions for using the calculated result to determine a pattern of a lithographic mask.
제 40 항 내지 제 53 항 중 어느 한 항에 있어서,
반도체 프로세싱 장치의 설계를 식별하기 위해 상기 계산된 결과를 사용하기 위한 인스트럭션들을 더 포함하는, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 53,
The computer program product further comprising instructions for using the calculated result to identify a design of a semiconductor processing device.
제 40 항 내지 제 53 항 중 어느 한 항에 있어서,
동작 조건들 하에서 반도체 프로세싱 장치를 동작시킴으로써 반도체 디바이스들의 제조를 인에이블하도록 상기 반도체 프로세싱 장치의 상기 동작 조건들을 식별하기 위해 상기 계산된 결과를 사용하기 위한 인스트럭션들을 더 포함하는, 컴퓨터 프로그램 제품.
The method according to any one of claims 40 to 53,
The computer program product further comprising instructions for using the calculated result to identify the operating conditions of the semiconductor processing apparatus to enable manufacturing of semiconductor devices by operating the semiconductor processing apparatus under operating conditions.
제 40 항 내지 제 54 항 중 어느 한 항에 기재된 상기 컴퓨터 프로그램 제품 및 상기 반도체 디바이스 제조 동작의 상기 계산된 결과를 사용하여 리소그래픽 마스크 패턴을 결정하도록 구성된 리소그래피 마스크 생성 장치를 포함하는, 시스템.55. A system comprising a lithographic mask generation apparatus configured to determine a lithographic mask pattern using the computer program product according to any one of claims 40 to 54 and the calculated result of the semiconductor device manufacturing operation. 제 40 항 내지 제 53 항 중 어느 한 항에 기재된 상기 컴퓨터 프로그램 제품 및 상기 반도체 디바이스 제조 동작의 상기 계산된 결과에 제공된 프로세스 조건들 하에서 동작하도록 구성된 반도체 프로세싱 장치를 포함하는, 시스템.54. A system comprising a semiconductor processing apparatus configured to operate under process conditions provided in the calculated result of the computer program product and the semiconductor device manufacturing operation according to any one of claims 40 to 53. 반도체 디바이스 제조 동작을 특징으로 하는 프로세스 파라미터 값들로부터 상기 반도체 디바이스 제조 동작의 결과를 예측하는 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법에 있어서,
(a) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하는 단계;
(b) 상기 프로세스 시뮬레이션 모델에 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들 및 고정된 프로세스 모델 파라미터 값(들)의 세트를 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하는 단계;
(c) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 상기 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하는 단계;
(d) 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 톱 다운 계측 결과와 비교하는 단계로서, 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과와 상기 톱 다운 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성하는, 상기 비교하는 단계;
(e) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 업데이트를 생성하도록 상기 하나 이상의 비용 값들 및/또는 수렴 체크를 사용하는 단계;
(f) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 상기 업데이트를 사용하여 상기 단계 (b) 를 수행하는 단계; 및
(g) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들이 상기 비용 값들을 최소화하는 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 상기 단계 (c) 내지 상기 단계 (f) 를 반복하는 단계를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
A computer-implemented method for optimizing a process simulation model for predicting a result of the semiconductor device manufacturing operation from process parameter values characterizing a semiconductor device manufacturing operation, comprising:
(a) receiving current values of one or more plotted process model parameters to be optimized;
(b) generating a process simulation model constructed by providing the process simulation model with the current values of the one or more plotted process model parameters and a set of fixed process model parameter value(s);
(c) using the constructed process simulation model, generating a computer-predicted result of the semiconductor device manufacturing operation;
(d) obtaining the computer-predicted result of the semiconductor device manufacturing operation, at least in part, from one or more substrate features generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Comparing the resulted top down measurement, the method comprising: generating one or more cost values based on a difference between the computer-predicted result of the semiconductor device manufacturing operation and the top down measurement result;
(e) using the one or more cost values and/or a convergence check to generate an update of the current values of the one or more plotted process model parameters;
(f) performing step (b) using the update of the current values of the one or more plotted process model parameters; And
(g) steps (c) to (f) until the current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize the cost values. ) A computer-implemented method of optimizing a process simulation model.
제 59 항에 있어서,
상기 톱 다운 계측 결과는 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 상기 하나 이상의 기판 피처의 하나 이상의 CD-SEM 이미지들을 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 59,
The top-down metrology result comprises, at least in part, one or more CD-SEM images of the one or more substrate features generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Computer-implemented method of optimizing process simulation models.
제 59 항 또는 제 60 항에 있어서,
상기 단계 (d) 는 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 상기 하나 이상의 기판 피처들로부터 획득된 프로파일-기반 계측 결과와 비교하는 단계를 더 포함하고, 그리고
상기 하나 이상의 비용 값들은 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과와 상기 프로파일-기반 계측 결과 사이의 차에 기초하여 적어도 하나의 비용 함수를 포함하는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 59 or 60,
The step (d) generates at least in part the computer-predicted result of the semiconductor device manufacturing operation, generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Further comprising comparing the obtained profile-based metrology result from the substrate features, and
The one or more cost values comprising at least one cost function based on a difference between the profile-based measurement result and the computer predicted result of the semiconductor device manufacturing operation. Way.
제 61 항에 있어서,
상기 프로파일-기반 계측 결과는 CD-SAXS 계측 프로세스에 의해 획득되는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 61,
The computer-implemented method of optimizing a process simulation model, wherein the profile-based metrology results are obtained by a CD-SAXS metrology process.
제 61 항에 있어서,
상기 프로파일-기반 계측 결과는 TEM 계측 프로세스에 의해 획득되는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 61,
The computer-implemented method of optimizing a process simulation model, wherein the profile-based metrology results are obtained by a TEM metrology process.
제 61 항에 있어서,
상기 프로파일-기반 계측 결과는 OCD 계측 프로세스에 의해 획득되는, 프로세스 시뮬레이션 모델을 최적화하는 컴퓨터-구현된 방법.
The method of claim 61,
The computer-implemented method of optimizing a process simulation model, wherein the profile-based metrology results are obtained by an OCD metrology process.
산출 시스템으로 하여금 상기 반도체 디바이스 제조 동작을 특징으로 하는 프로세스 파라미터 값들로부터 반도체 디바이스 제조 동작의 결과를 계산하는 최적화된 프로세스 시뮬레이션 모델을 실행하게 하는 인스트럭션들이 제공되는 비일시적인 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품에 있어서,
상기 인스트럭션들은,
(a) 상기 최적화된 프로세스 시뮬레이션 모델로의 입력들로서 프로세스 파라미터 값들을 수신하기 위한 인스트럭션;
(b) 상기 프로세스 파라미터 값들을 사용하여 상기 최적화된 프로세스 시뮬레이션 모델을 실행하기 위한 인스트럭션으로서,
(i) 최적화될 하나 이상의 플로팅된 프로세스 모델 파라미터들의 현재 값들을 수신하고,
(ii) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들 및 고정된 프로세스 모델 파라미터 값(들)의 세트를 상기 프로세스 시뮬레이션 모델에 제공함으로써 구성된 프로세스 시뮬레이션 모델을 생성하고,
(iii) 상기 구성된 프로세스 시뮬레이션 모델을 사용하여, 상기 반도체 디바이스 제조 동작의 컴퓨터로 예측된 결과를 생성하고,
(iv) 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 톱 다운 계측 결과와 비교하고-상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과와 상기 톱 다운 계측 결과 사이의 차에 기초하여 하나 이상의 비용 값들을 생성함-,
(v) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 업데이트를 생성하도록 상기 하나 이상의 비용 값들 및/또는 수렴 체크를 사용하고,
(vi) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들의 상기 업데이트를 사용하여 상기 동작 (ii) 를 수행하고, 그리고
(vii) 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 상기 현재 값들이 상기 비용 값들을 최소화하는 상기 하나 이상의 플로팅된 프로세스 모델 파라미터들의 최종 값들을 생성하도록 수렴할 때까지 상기 단계 (iii) 내지 상기 단계 (vi) 를 반복함으로써, 상기 최적화된 프로세스 시뮬레이션 모델은 최적화되는, 상기 최적화된 프로세스 시뮬레이션 모델을 실행하기 위한 인스트럭션; 및
(c) 상기 반도체 디바이스 제조 동작의 계산된 결과를 출력하기 위한 인스트럭션을 포함하는, 컴퓨터 프로그램 제품.
Computer program comprising a non-transitory computer-readable medium provided with instructions for causing a calculation system to execute an optimized process simulation model that calculates a result of a semiconductor device manufacturing operation from process parameter values characterizing the semiconductor device manufacturing operation. In the product,
The above instructions,
(a) instructions for receiving process parameter values as inputs to the optimized process simulation model;
(b) an instruction for executing the optimized process simulation model using the process parameter values,
(i) receive current values of one or more plotted process model parameters to be optimized,
(ii) generating a process simulation model constructed by providing the current values of the one or more plotted process model parameters and a set of fixed process model parameter value(s) to the process simulation model,
(iii) using the constructed process simulation model to generate a computer predicted result of the semiconductor device manufacturing operation,
(iv) obtaining the computer-predicted result of the semiconductor device manufacturing operation, at least in part, from one or more substrate features generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Comparing the result of the top-down measurement with the result of the semiconductor device manufacturing operation and generating one or more cost values based on the difference between the result of the top-down measurement and the computer-predicted result of the semiconductor device manufacturing operation,
(v) using the one or more cost values and/or a convergence check to generate an update of the current values of the one or more plotted process model parameters,
(vi) performing the operation (ii) using the update of the current values of the one or more plotted process model parameters, and
(vii) steps (iii) through (vi) until the current values of the one or more plotted process model parameters converge to produce final values of the one or more plotted process model parameters that minimize the cost values. ) By iterating, the optimized process simulation model is optimized; instructions for executing the optimized process simulation model; And
(c) a computer program product comprising instructions for outputting a calculated result of the semiconductor device manufacturing operation.
제 65 항에 있어서,
상기 톱 다운 계측 결과는 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 상기 하나 이상의 기판 피처의 하나 이상의 CD-SEM 이미지들을 포함하는, 컴퓨터 프로그램 제품.
The method of claim 65,
The top-down metrology result comprises, at least in part, one or more CD-SEM images of the one or more substrate features generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Computer program product.
제 65 항 또는 제 66 항에 있어서,
상기 동작 (iv) 은 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과를 적어도 부분적으로, 상기 고정된 프로세스 파라미터 값들의 세트 하에서 동작하는 반응 챔버에서 상기 반도체 디바이스 제조 동작을 수행함으로써 생성된 하나 이상의 기판 피처로부터 획득된 계측 결과와 비교하는 동작을 더 포함하고, 그리고
상기 하나 이상의 비용 값들은 상기 반도체 디바이스 제조 동작의 상기 컴퓨터로 예측된 결과와 상기 프로파일-기반 계측 결과 사이의 차에 기초하여 적어도 하나의 비용 함수를 포함하는, 컴퓨터 프로그램 제품.
The method of claim 65 or 66,
The operation (iv) comprises at least in part the computer-predicted result of the semiconductor device manufacturing operation, the at least one substrate generated by performing the semiconductor device manufacturing operation in a reaction chamber operating under the fixed set of process parameter values. Further comprising an operation of comparing the measurement result obtained from the feature, and
The one or more cost values comprising at least one cost function based on a difference between the profile-based measurement result and the computer predicted result of the semiconductor device manufacturing operation.
제 67 항에 있어서,
상기 프로파일-기반 계측 결과는 CD-SAXS 계측 프로세스에 의해 획득되는, 컴퓨터 프로그램 제품.
The method of claim 67,
The profile-based measurement result is obtained by a CD-SAXS metrology process.
제 67 항에 있어서,
상기 프로파일-기반 계측 결과는 TEM 계측 프로세스에 의해 획득되는, 컴퓨터 프로그램 제품.
The method of claim 67,
The profile-based metrology results are obtained by a TEM metrology process.
제 67 항에 있어서,
상기 프로파일-기반 계측 결과는 OCD 계측 프로세스에 의해 획득되는, 컴퓨터 프로그램 제품.
The method of claim 67,
The profile-based measurement result is obtained by an OCD measurement process.
KR1020207032077A 2018-04-06 2019-04-03 Process simulation model calibration using CD-SEM KR20200139800A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/946,940 US10572697B2 (en) 2018-04-06 2018-04-06 Method of etch model calibration using optical scatterometry
US15/946,940 2018-04-06
US201862656299P 2018-04-11 2018-04-11
US62/656,299 2018-04-11
PCT/US2019/025668 WO2019195481A1 (en) 2018-04-06 2019-04-03 Process simulation model calibration using cd-sem

Publications (1)

Publication Number Publication Date
KR20200139800A true KR20200139800A (en) 2020-12-14

Family

ID=68101510

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207032077A KR20200139800A (en) 2018-04-06 2019-04-03 Process simulation model calibration using CD-SEM

Country Status (4)

Country Link
KR (1) KR20200139800A (en)
CN (1) CN112136135A (en)
TW (1) TW201945967A (en)
WO (1) WO2019195481A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022231837A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High resolution profile measurement based on a trained parameter conditioned measurement model
WO2023039186A1 (en) * 2021-09-10 2023-03-16 Fractilia, Llc Detection of probabilistic process windows
WO2023204344A1 (en) * 2022-04-19 2023-10-26 한국핵융합에너지연구원 Plasma simulation method and system
US11996265B2 (en) 2017-04-13 2024-05-28 Fractilla, LLC System and method for generating and analyzing roughness measurements and their use for process monitoring and control

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112384749B (en) 2020-03-13 2022-08-19 长江存储科技有限责任公司 System and method for semiconductor chip hole geometry metrology
TW202240734A (en) * 2020-12-15 2022-10-16 美商蘭姆研究公司 Machine-learning in multi-step semiconductor fabrication processes
CN113051863B (en) * 2021-03-12 2023-02-24 广东省大湾区集成电路与系统应用研究院 Semiconductor modeling method, semiconductor modeling device, storage medium, and computer apparatus
CN114036649A (en) * 2021-12-15 2022-02-11 成都飞机工业(集团)有限责任公司 Method, device and equipment for correcting mathematical model of unmanned aerial vehicle and storage medium
TWI806412B (en) * 2022-02-08 2023-06-21 中華精測科技股份有限公司 Method of marking global maximum of product

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7171284B2 (en) * 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
CN101840451B (en) * 2010-04-21 2014-05-28 云南大学 Optimization method of integrated circuit process parameter models
KR101930913B1 (en) * 2010-06-17 2018-12-19 노바 메주어링 인스트루먼츠 엘티디. Method and system for optimizing optical inspection of patterned structures
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
CN107633103A (en) * 2016-07-15 2018-01-26 中国科学院微电子研究所 A kind of CMP model parameter optimization method and device
CN106874616B (en) * 2017-03-06 2021-04-20 北京经纬恒润科技股份有限公司 Parameter optimization adjustment method and system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996265B2 (en) 2017-04-13 2024-05-28 Fractilla, LLC System and method for generating and analyzing roughness measurements and their use for process monitoring and control
WO2022231837A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High resolution profile measurement based on a trained parameter conditioned measurement model
WO2023039186A1 (en) * 2021-09-10 2023-03-16 Fractilia, Llc Detection of probabilistic process windows
WO2023204344A1 (en) * 2022-04-19 2023-10-26 한국핵융합에너지연구원 Plasma simulation method and system

Also Published As

Publication number Publication date
TW201945967A (en) 2019-12-01
WO2019195481A1 (en) 2019-10-10
CN112136135A (en) 2020-12-25

Similar Documents

Publication Publication Date Title
US11704463B2 (en) Method of etch model calibration using optical scatterometry
TWI805580B (en) Design layout pattern proximity correction through edge placement error prediction
KR20200139800A (en) Process simulation model calibration using CD-SEM
US10254641B2 (en) Layout pattern proximity correction through fast edge placement error prediction
US10585347B2 (en) Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10303830B2 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US20190049937A1 (en) Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
TW201734684A (en) Methods and apparatuses for etch profile matching by surface kinetic model optimization
US20230205076A1 (en) Resist and etch modeling
TWI837123B (en) Resist and etch modeling
TW202410192A (en) Resist and etch modeling

Legal Events

Date Code Title Description
E902 Notification of reason for refusal