KR102581094B1 - Plasma treatment method - Google Patents

Plasma treatment method Download PDF

Info

Publication number
KR102581094B1
KR102581094B1 KR1020217001573A KR20217001573A KR102581094B1 KR 102581094 B1 KR102581094 B1 KR 102581094B1 KR 1020217001573 A KR1020217001573 A KR 1020217001573A KR 20217001573 A KR20217001573 A KR 20217001573A KR 102581094 B1 KR102581094 B1 KR 102581094B1
Authority
KR
South Korea
Prior art keywords
etching
gas
mask material
film
mask
Prior art date
Application number
KR1020217001573A
Other languages
Korean (ko)
Other versions
KR20210104637A (en
Inventor
마모루 야쿠시지
겐이치 구와하라
마사아키 다니야마
Original Assignee
주식회사 히타치하이테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 히타치하이테크 filed Critical 주식회사 히타치하이테크
Publication of KR20210104637A publication Critical patent/KR20210104637A/en
Application granted granted Critical
Publication of KR102581094B1 publication Critical patent/KR102581094B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32266Means for controlling power transmitted to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32311Circuits specially adapted for controlling the microwave discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

마스크재에 대한 피에칭재의 에칭 선택비를 향상시킬 수 있고, 마스크 패턴 측벽의 러프니스를 저감할 수 있는 플라스마 처리 방법을 제공한다.
피에칭재에 대해서 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법은, 상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록 에칭 파라미터를 제어한다.
A plasma treatment method is provided that can improve the etching selectivity of the etching material to the mask material and reduce the roughness of the sidewall of the mask pattern.
A plasma processing method for selectively depositing a deposition film on a mask material with respect to an etching material controls etching parameters so that the incubation time of the mask material is shorter than the incubation time of the etching material.

Description

플라스마 처리 방법Plasma treatment method

본 발명은, 플라스마 처리 방법에 관한 것이다.The present invention relates to a plasma treatment method.

반도체 디바이스의 제조 공정이나 MEMS(Micro Electro Mechanical Systems) 등의 디바이스의 제조 공정에 있어서는, 반도체 장치 등에 포함되는 컴포넌트의 미세화나 집적화에의 대응이 요구되고 있다. 예를 들면, 집적 회로나 MEMS 시스템에 있어서는, 구조물의 나노스케일화가 더 추진되고 있다.In the manufacturing process of semiconductor devices and devices such as MEMS (Micro Electro Mechanical Systems), response to miniaturization and integration of components included in semiconductor devices, etc. is required. For example, in integrated circuits and MEMS systems, nanoscale structures are being promoted further.

통상적으로, 반도체 디바이스의 제조 공정에 있어서, 미세 패턴을 성형하기 위하여 리소그래피 기술이 이용된다. 이 기술은, 반도체 기판 상에 형성한 적층화된 박막 상에 포토레지스트 재료를 도포하고, 노광 장치에 의해서 자외선 등을 조사함에 의해, 포토레지스트 재료에 포토마스크의 회로 패턴을 전사하고, 현상 처리를 더 행함에 의해서 포토레지스트의 미세 패턴을 형성하는 것이다. 그 후, 포토레지스트 패턴을 에칭마스크로서 이용하고, 플라스마를 이용한 에칭 처리를 실시함에 의해, 박막을 선택적으로 제거해서, 포토마스크와 마찬가지의 패턴을 입체물로서 실현할 수 있다.Typically, in the manufacturing process of semiconductor devices, lithography technology is used to form fine patterns. This technology applies a photoresist material onto a laminated thin film formed on a semiconductor substrate, irradiates it with ultraviolet rays or the like using an exposure device, transfers the circuit pattern of the photomask to the photoresist material, and performs development. By doing this further, a fine pattern of photoresist is formed. Thereafter, the photoresist pattern is used as an etching mask and an etching process using plasma is performed to selectively remove the thin film, thereby realizing a pattern similar to the photomask as a three-dimensional object.

최근, LSI(Large Scale Integration)의 미세화의 가속에 대응하기 위하여, 노광 장치에 의한 패턴의 전사 프로세스에서는, 노광 장치의 해상도 향상이 진행되어 왔다. 일반적으로 미세화를 진행하기 위해서는, 노광 파장(λ), 렌즈 개구수(NA), 레지스트 성능이나 전사 프로세스에 의해서 결정되는 프로세스 상수(k1)를 개선할 필요가 있다. 최근에는, ArF 레이저(파장 193㎚)의 채용에 의한 노광 파장의 단파장화, 액침 노광 기술에 의한 NA의 개선이 실시되고 있다.Recently, in order to cope with the acceleration of miniaturization of LSI (Large Scale Integration), the resolution of the exposure device has been improved in the pattern transfer process using the exposure device. In general, in order to advance miniaturization, it is necessary to improve the exposure wavelength (λ), lens numerical aperture (NA), and process constant (k1) determined by resist performance or transfer process. Recently, the exposure wavelength has been shortened by adoption of an ArF laser (wavelength 193 nm), and NA has been improved by immersion exposure technology.

회로 패턴의 마스크를 2매의 마스크로 더 분할하고, 노광 패턴의 최소 피치를 확대하여, k1을 개선하는 더블 패터닝 기술도 채용되고 있다. 더블 패터닝 기술에 관해서는, 노광이나 현상에 관해서 다양한 방법이 제안되고 있다. 예를 들면 노광을 계속해서 1회 행하는 2중 노광법, 1회째의 노광 후에 에칭 처리를 실시하고, 그 후 2회째의 노광을 행하는 방법, 패턴 형성 후에 스페이서를 성막하고, 그 스페이서를 마스크 패턴으로 하는 자기 정합법 등이 있다.Double patterning technology is also being adopted to improve k1 by further dividing the circuit pattern mask into two masks and enlarging the minimum pitch of the exposure pattern. Regarding double patterning technology, various methods have been proposed for exposure and development. For example, a double exposure method in which exposure is continuously performed once, an etching process is performed after the first exposure and then a second exposure is performed, or a spacer is deposited after pattern formation and the spacer is used as a mask pattern. There are self-alignment methods, etc.

그러나, 이들과 같이 노광을 복수 회 행하는 기술을 이용할 경우, 공정수의 증가, 스루풋의 저하, 제조 비용의 증대라는 과제가 발생한다. 그래서, 파장 13.5㎚의 극자외선을 이용하는 EUV(Extreme ultraviolet) 리소그래피 기술이나, 자기 조직화 재료를 이용한 DSA(Directed self assembly) 리소그래피 기술에 의한 패터닝 방법도 채용되기 시작하고 있다.However, when using these techniques for performing exposure multiple times, problems such as an increase in the number of steps, a decrease in throughput, and an increase in manufacturing cost arise. Therefore, patterning methods using EUV (Extreme ultraviolet) lithography technology using extreme ultraviolet rays with a wavelength of 13.5 nm or DSA (Directed self assembly) lithography technology using self-organizing materials are also beginning to be adopted.

EUV 리소그래피 기술은, 파장 13.5㎚의 극단 자외선을 이용함으로써, 20㎚ 하프피치보다 미세한 해상도를 1회의 노광으로 달성할 수 있기 때문에, ArF 액침 리소그래피의 차세대를 담당하는 노광 기술로서 채용되고 있다. EUV 리소그래피 기술에서는 극단적인 단파장을 이용하기 때문에, 레일리(Rayleigh)의 식에 의해서, 낮은 NA에서도 높은 해상도가 얻어지는 것이 최대의 메리트이다.EUV lithography technology can achieve resolution finer than 20 nm half pitch in a single exposure by using extreme ultraviolet rays with a wavelength of 13.5 nm, and is therefore being adopted as an exposure technology responsible for the next generation of ArF immersion lithography. Since EUV lithography technology uses extremely short wavelengths, the biggest advantage is that high resolution can be obtained even with low NA according to Rayleigh's equation.

이론상은, NA=0.25에서 선폭 22∼32㎚, NA=0.35에 있어서 선폭 16㎚, NA=0.4 이상의 경우이면 선폭 10㎚보다 미세한 해상도가 얻어지므로, EUV 리소그래피 기술은, 초미세 패턴 노광 기술로서 기대가 높아지고 있다. EUV 리소그래피 기술에서 이용되는 레지스트(이하 「EUV 레지스트」라 함)는, 예를 들면 Si 함유 재료의 반사 방지막인 SiARC(Silicon Anti Reflection Coating), 혹은 히드록시실세스퀴옥산을 베이스로 한 SOG(Spin on Glass) 상에 패터닝하는 구조가 일반적으로 채용되고 있다.In theory, resolution finer than a line width of 10 nm can be obtained when the line width is 22 to 32 nm at NA = 0.25, the line width is 16 nm at NA = 0.35, and the line width is 10 nm or more at NA = 0.4, so EUV lithography technology is expected to be an ultra-fine pattern exposure technology. is rising. The resist used in EUV lithography technology (hereinafter referred to as “EUV resist”) is, for example, SiARC (Silicon Anti Reflection Coating), which is an anti-reflection coating of Si-containing material, or SOG (Spin) based on hydroxysilsesquioxane. A patterning structure on glass is generally adopted.

한편, DSA 리소그래피 기술은, 특별한 노광 장치를 필요로 하지 않고 재료 자체의 상분리를 이용하여 패턴 형성을 행하는 것이다. 자기 조직화 재료로서는, 친수성 및 소수성 폴리머로 이루어지는 디블록 폴리머가 표준적으로 사용되고, 대표적인 것으로서는 폴리스티렌(이하 「PS」로 약기함)과 폴리메타크릴산(이하 「PMMA」로 약기함)의 디블록 폴리머가 있다. DSA 리소그래피 기술의 패터닝 형성 프로세스는, 디블록 폴리머를 도포하기 전의 가이드 패턴 작성과 중성막(이하 「NUL」로 약기함)의 형성, 도포 후의 베이크뿐이며, 극히 심플하다.On the other hand, DSA lithography technology performs pattern formation using phase separation of the material itself without requiring a special exposure device. As self-assembling materials, diblock polymers composed of hydrophilic and hydrophobic polymers are standardly used, and representative examples include diblocks of polystyrene (hereinafter abbreviated as “PS”) and polymethacrylic acid (hereinafter abbreviated as “PMMA”). There are polymers. The patterning process of DSA lithography technology is extremely simple, requiring only the creation of a guide pattern before applying the diblock polymer, the formation of a neutral film (hereinafter abbreviated as “NUL”), and the baking after application.

DSA 리소그래피 기술을 이용한 패턴 형성은, 패턴 형성 후, 플라스마로 PMMA를 드라이에칭해서 현상을 행하기 때문에, 드라이 현상 프로세스라고도 불리며, 그 후, PMMA 에칭에 의해 형성된 PS를 마스크재로 해서, 피에칭재인 NUL을 에칭한다.Pattern formation using DSA lithography technology is also called a dry development process because after pattern formation, PMMA is dry-etched with plasma and developed. Afterwards, PS formed by PMMA etching is used as a mask material, and the etching material is Etch NUL.

이와 같이, EUV 리소그래피 기술 및 DSA 리소그래피 기술에 의해서 패턴 형성되는 마스크의 특징으로서, 마스크 높이가 매우 낮은 박막인 것을 들 수 있다. EUV 리소그래피 기술의 경우, 레지스트의 해상성이나 현상 시의 패턴 무너짐 등을 감안해서, 일반적으로 마스크 높이는 30㎚ 이하로 되어 있다. 한편, DSA 리소그래피 기술의 경우도, 일반적으로 마스크 높이는 피치폭(PS폭+PMMA폭)과 같은 30㎚ 이하로 되어 있다.In this way, a characteristic of the mask patterned by EUV lithography technology and DSA lithography technology is that it is a thin film with a very low mask height. In the case of EUV lithography technology, taking into account the resolution of the resist and pattern collapse during development, the mask height is generally set to 30 nm or less. Meanwhile, in the case of DSA lithography technology, the mask height is generally 30 nm or less, which is the same as the pitch width (PS width + PMMA width).

이와 같이 마스크 높이가 매우 낮은 박막의 마스크의 경우, 마스크재에 대해서 피에칭막을 선택적으로 에칭하는 것이 매우 중요하다. 또한, 미세화에 수반하여 패턴 에지의 러프니스 저감이 중요해지고 있고, 특히 라인 패턴 상의 LER(Line Edge Roughness : 라인단의 요철), 및 LWR(Line Width Roughness : 라인폭의 요철) 저감이 요구되고 있다.In the case of a thin film mask with a very low mask height, it is very important to selectively etch the etching target film with respect to the mask material. In addition, with miniaturization, reduction of pattern edge roughness is becoming important, and in particular, reduction of LER (Line Edge Roughness) and LWR (Line Width Roughness) of line patterns is required. .

그 이유는, 게이트 패턴의 폭, 즉, 게이트 길이가 트랜지스터 성능에 크게 영향을 주기 때문이다. 구체적으로는, 트랜지스터폭 Wg에 비해서 주기가 짧은 LWR은, 국소적으로 게이트 길이가 짧아지는 쇼트 채널 효과를 일으킴에 의해서 리크 전류가 증가하고, 문턱값 전압이 저하한다. 한편, 트랜지스터폭 Wg보다도 주기가 긴 LWR은, 복수의 트랜지스터에 걸친 게이트 길이의 요동을 일으켜서, 트랜지스터 성능의 불균일의 원인으로 된다.The reason is that the width of the gate pattern, that is, the gate length, greatly affects transistor performance. Specifically, LWR, whose period is shorter than the transistor width Wg, causes a short channel effect in which the gate length is locally shortened, thereby increasing the leak current and lowering the threshold voltage. On the other hand, LWR, which has a period longer than the transistor width Wg, causes fluctuations in the gate length across a plurality of transistors, causing unevenness in transistor performance.

이와 같이, 최근, 반도체 디바이스의 미세화, 구조의 복잡화 및 재료의 다양화에 수반하여, 추가적인 마스크재와 피에칭재의 에칭 선택비의 향상과 러프니스 저감이 요구되고 있다. 에칭 선택비를 향상시키는 기술로서는, 예를 들면 특허문헌 1에는, 마스크재와 마찬가지의 성분을 포함하는 퇴적막을 생성시킬 수 있는 가스를 이용해서, 마스크재와 피에칭재의 선택비를 향상시키는 방법이 개시되어 있다.In this way, in recent years, with the miniaturization of semiconductor devices, the complexity of their structures, and the diversification of their materials, there has been a demand for additional improvements in the etching selectivity between mask materials and etching materials and reduction of roughness. As a technique for improving the etching selectivity, for example, Patent Document 1 describes a method of improving the selectivity between the mask material and the etching material by using a gas that can generate a deposited film containing the same components as the mask material. It has been disclosed.

일본 특개2013-118359호 공보Japanese Patent Application Publication No. 2013-118359

특허문헌 1의 기술에 의하면, 마스크재와 피에칭재의 조합으로서, 마스크재가 SiO이고 피에칭재가 SiN, 혹은 마스크재가 TaN 또는 WN이고 피에칭재가 Poly-Si, 혹은 마스크재가 Poly-Si고 피에칭재가 SiN인 경우에 있어서, 마스크재와 마찬가지의 성분을 포함하는 퇴적막을 마스크재 상에 생성하며, 또한, 한쪽의 피에칭재는 에칭이 진행되는 가스를 선정해서 이용함으로써, 마스크재에 대한 피에칭재의 에칭 선택비를 향상시킬 수 있다.According to the technology in Patent Document 1, as a combination of a mask material and an etching material, the mask material is SiO and the etching material is SiN, or the mask material is TaN or WN and the etching material is Poly-Si, or the mask material is Poly-Si and the etching material is In the case of SiN, a deposited film containing the same components as the mask material is created on the mask material, and the etching material on one side is selected and used as a gas for etching, thereby etching the mask material. Selectivity can be improved.

상기와 같이 한정된 마스크재와 피에칭재의 조합의 경우에는, 사용하는 가스를 선택함에 의해, 상기와 같은 선택적 에칭이 가능하게 된다. 그러나, 최근에는, 재료의 다양화나 구조의 복잡화에 수반하여, 마스크재와 마찬가지의 성분을 포함하는 퇴적막을 생성하며, 또한 한쪽의 피에칭재는 에칭이 진행되는 가스의 선정이 매우 곤란한 경우가 발생하고 있다.In the case of a limited combination of mask material and etching material as described above, selective etching as described above becomes possible by selecting the gas to be used. However, in recent years, with the diversification of materials and the complexity of structures, it has become very difficult to produce a deposited film containing the same components as the mask material and to select a gas for etching one of the etching materials. there is.

에칭 선택비를 향상시킬 경우, 마스크재 상에 퇴적막이 생성되며, 또한 피에칭재에서는 에칭이 진행되는 것이 이상적이지만, 마스크재 상에 퇴적막이 생성되며, 또한 피에칭재 상에서는 퇴적막이 생성되지 않아도 충분하다. 이것은, 선택적으로 마스크재 상에만 퇴적막이 생성되면, 결과적으로 마스크의 높이가 증가하고, 다음 공정에서의 피에칭재의 에칭 시에, 피에칭재의 선택비가 낮아도 마스크 높이의 잔량을 충분히 확보하는 것이 가능하게 되기 때문이다.When the etching selectivity is improved, a deposition film is created on the mask material and etching progresses on the etching material. However, it is sufficient for a deposition film to be created on the mask material and no deposition film being created on the etching material. do. This means that if a deposition film is selectively created only on the mask material, the height of the mask increases as a result, and when etching the material to be etched in the next process, it is possible to sufficiently secure the remaining amount of mask height even if the selectivity of the material to be etched is low. Because it becomes.

EUV 리소그래피 기술의 경우, 전술한 바와 같이, SiARC나 SOG 상에 EUV 레지스트를 패터닝하는 구조가 일반적이고, EUV 레지스트를 마스크재로 해서 피에칭재인 SiARC 혹은 SOG를 에칭하게 된다. 그러나, 특허문헌 1의 기술에 의한 마스크재인 레지스트와 마찬가지의 성분을 포함하는 퇴적막을 생성하며, 또한 피에칭재인 SiARC, 혹은 SOG 상에서는 에칭이 진행, 혹은 퇴적막이 생성되지 않는 가스의 선정이 매우 곤란하다는 과제가 있다.In the case of EUV lithography technology, as described above, the structure of patterning EUV resist on SiARC or SOG is common, and SiARC or SOG, which is an etching material, is etched using the EUV resist as a mask material. However, it is very difficult to select a gas that generates a deposited film containing the same components as the resist, which is a mask material according to the technology in Patent Document 1, and does not cause etching or generate a deposited film on SiARC or SOG, which is an etching material. There is a task.

한편, DSA 리소그래피 기술의 경우, PS, PMMA 및 NUL의 막구조에는, 근소한 조성의 차이밖에 없다. 특히 NUL은, 예를 들면 약 50% PMMA와 약 50% PS의 디블록 폴리머 등과 같이, 중성막적인 막구조로 되어 있고, 더 근소한 조성의 차이밖에 없다. DSA 리소그래피 기술의 경우, 전술한 바와 같이, PS를 마스크재로 해서 피에칭재인 PMMA 혹은 NUL을 에칭한다. 그러나, 특허문헌 1의 기술에 의한, 마스크재인 PS와 마찬가지의 성분을 포함하는 퇴적막을 생성하며, 또한, 피에칭재인 PMMA 혹은 NUL 상에서는 에칭이 진행, 혹은 퇴적막이 생성되지 않는 가스의 선정이 매우 곤란하다는 과제가 있다.On the other hand, in the case of DSA lithography technology, there is only a slight difference in composition between the film structures of PS, PMMA, and NUL. In particular, NUL has a neutral film structure, such as a diblock polymer of about 50% PMMA and about 50% PS, and has only a slight difference in composition. In the case of DSA lithography technology, as described above, PMMA or NUL, which is a material to be etched, is etched using PS as a mask material. However, it is very difficult to select a gas that generates a deposited film containing the same components as PS, which is a mask material, using the technology of Patent Document 1, and does not cause etching or generate a deposited film on PMMA or NUL, which is an etching material. There is a task to do.

따라서, EUV 리소그래피 기술 및 DSA 리소그래피 기술로 형성된 패턴을 마스크재로 해서, 피에칭재를 에칭할 경우, 가스에 상관없이, 선택비를 향상시키는 기술이 필요하게 된다. 또한, EUV 리소그래피 기술 및 DSA 리소그래피 기술의 중요한 과제로서, LER 그리고 LWR 러프니스 저감을 들 수 있지만, 특허문헌 1에는 러프니스 저감에 대해서는 언급되어 있지 않고 그 대응책은 검토되어 있지 않다. 이 때문에, 재료의 다양화나 구조의 복잡화에 수반하여 가스에 상관없이 선택비를 향상시키며, 또한, 러프니스를 저감시키는 기술이 요구되고 있었다.Therefore, when etching a material to be etched using a pattern formed by EUV lithography technology or DSA lithography technology as a mask material, a technology for improving the selectivity is needed regardless of the gas. In addition, reduction of LER and LWR roughness is an important issue in EUV lithography technology and DSA lithography technology, but Patent Document 1 does not mention roughness reduction and countermeasures therefor have not been examined. For this reason, with the diversification of materials and the complexity of structures, there has been a demand for technology to improve selectivity regardless of gas and reduce roughness.

본 발명은, 이러한 문제를 감안해서 이루어진 것이며, 마스크재에 대한 피에칭재의 에칭 선택비를 향상시킬 수 있고, 마스크 패턴 측벽의 러프니스를 저감할 수 있는 플라스마 처리 방법을 제공하는 것을 목적으로 한다.The present invention was made in view of these problems, and its purpose is to provide a plasma treatment method that can improve the etching selectivity of the etching material to the mask material and reduce the roughness of the sidewall of the mask pattern.

상기 과제를 해결하기 위하여, 대표적인 본 발명에 관한 플라스마 처리 방법의 하나는, In order to solve the above problems, one of the representative plasma treatment methods according to the present invention is,

피에칭재에 대해서 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법에 있어서, In a plasma treatment method for selectively depositing a deposition film on a mask material with respect to an etching material,

상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록 에칭 파라미터를 제어함과 함께 상기 피에칭재 및 상기 마스크재에 퇴적막을 퇴적시키는 가스를 사용하는 것에 의해 달성된다.This is achieved by controlling the etching parameters so that the incubation time of the mask material is shorter than the incubation time of the etching material and using a gas that deposits a deposited film on the etching material and the mask material.

본 발명에 따르면, 마스크재에 대한 피에칭재의 에칭 선택비를 향상시킬 수 있고, 마스크 패턴 측벽의 러프니스를 저감할 수 있는 플라스마 처리 방법이 제공된다.According to the present invention, a plasma treatment method is provided that can improve the etching selectivity of the etching material to the mask material and reduce the roughness of the sidewall of the mask pattern.

상기한 이외의 과제, 구성 및 효과는, 이하의 실시형태의 설명에 의해 명백해진다.Problems, configurations, and effects other than those described above will become clear from the description of the embodiments below.

도 1은, 본 발명에 적용한 마이크로파 플라스마 에칭 장치의 구성을 나타낸 도면.
도 2는, EUV 리소그래피로 형성된 레지스트를 마스크재로 한 경우의 에칭 진행 과정을 나타낸 도면.
도 3은, EUV 레지스트 에칭 속도, SiARC 에칭 속도 및 선택비를 나타낸 도면.
도 4는, EUV 레지스트폭 및 LWR값을 나타낸 도면.
도 5는, 실시예에 이르는 에칭 파라미터 조정 수순을 나타낸 도면.
도 6은, 실시예 1에 이르는 조건 조정 수순의 제1 단계의 마이크로파 전원 파워 의존성을 나타낸 도면.
도 7은, 실시예 1에 이르는 조건 조정 수순의 제2 단계의 고주파 바이어스 전원 파워 의존성을 나타낸 도면.
도 8은, 실시예 1에 이르는 조건 조정 수순의 제3 단계의 EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적 속도를 나타낸 도면.
도 9는, 도 8의 결과로부터 추측할 수 있는 EUV 레지스트 및 SiARC의 퇴적막의 퇴적량의 추이와, 그때의 마이크로파 전원 파워와 고주파 바이어스 전원 파워 출력의 추이를 나타낸 도면.
도 10은, 도 9에 나타내는 퇴적막의 퇴적량 추이의 시간 0∼0.5msec를 발출하여, 실시예 1의 인큐베이션 시간의 설명을 나타낸 도면.
도 11은, DSA 리소그래피로 형성된 경우의 에칭 진행 과정을 나타낸 도면.
도 12는, PS 에칭 속도, NUL 에칭 속도 및 선택비를 나타낸 도면.
도 13은, PS폭 및 LWR값을 나타낸 도면.
1 is a diagram showing the configuration of a microwave plasma etching device applied to the present invention.
Figure 2 is a diagram showing the etching process when a resist formed by EUV lithography is used as a mask material.
3 is a diagram showing EUV resist etch rate, SiARC etch rate and selectivity.
Figure 4 is a diagram showing EUV resist width and LWR value.
Fig. 5 is a diagram showing the etching parameter adjustment procedure leading to the embodiment.
Fig. 6 is a diagram showing the microwave power source power dependency in the first step of the condition adjustment procedure leading to Example 1.
Fig. 7 is a diagram showing the high-frequency bias power supply power dependence of the second stage of the condition adjustment procedure leading to Example 1.
Fig. 8 is a diagram showing the deposition rate of the deposited film on the EUV resist and SiARC in the third step of the condition adjustment procedure leading to Example 1.
FIG. 9 is a diagram showing the transition of the deposition amount of the EUV resist and SiARC deposited film, which can be estimated from the results of FIG. 8, and the transition of the microwave power supply power and high-frequency bias power supply power output at that time.
FIG. 10 is a diagram showing an explanation of the incubation time in Example 1 by extracting the time of 0 to 0.5 msec for the deposition amount transition of the deposited film shown in FIG. 9.
Figure 11 is a diagram showing the etching process when formed by DSA lithography.
12 is a diagram showing the PS etching rate, NUL etching rate, and selectivity.
Figure 13 is a diagram showing PS width and LWR values.

본 발명의 각 실시형태에 대하여 도면을 참조하면서 이하에 설명한다.Each embodiment of the present invention will be described below with reference to the drawings.

본 실시형태에서는, 가스에 상관없이 선택비를 향상시키며, 또한, 러프니스를 저감시키는 기술로서, 마스크재와 피에칭재 구조의 근소한 차이에도 발생하는 인큐베이션 시간의 차에 착목하여, 각각의 표면에 형성되는 퇴적막의 막두께를 제어한다. 인큐베이션 시간이란, 성막 개시로부터, 발생한 성막종이 임계핵의 크기까지 확대되어 막으로서 출현할 때까지의 시간이다. 또한, 이 시간은, 마스크재와 피에칭재의 막구조에 근소한 조성의 차이밖에 없는 경우에도 변화한다. 즉, 인큐베이션 시간의 차를 이용함으로써 선택적으로 퇴적막을 퇴적시키는 것이 가능하게 된다.In this embodiment, as a technology to improve the selectivity regardless of the gas and reduce roughness, paying attention to the difference in incubation time that occurs even if there is a slight difference in the structure of the mask material and the etching material, Controls the film thickness of the deposited film formed. The incubation time is the time from the start of film formation until the generated film tumor expands to the size of the critical nucleus and appears as a film. Additionally, this time changes even when there is only a slight difference in composition between the film structures of the mask material and the etching material. In other words, it becomes possible to selectively deposit a deposited film by using the difference in incubation time.

본 실시형태에서는, 피에칭재에 대해서, 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법에 있어서, 상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록, 플라스마 에칭 파라미터(단순히 에칭 파라미터라고도 함)를 제어한다.In this embodiment, in the plasma processing method of selectively depositing a deposition film on a mask material for an etching material, a plasma etching parameter (also simply referred to as an etching parameter) is set so that the incubation time of the mask material is shorter than the incubation time of the etching material. control).

또한, 피에칭재에 대해서, 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법에 있어서, 상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록, 또한, 피에칭재에 퇴적막을 퇴적시키지 않도록, 플라스마 에칭 파라미터를 제어하면 바람직하다.In addition, in the plasma treatment method for selectively depositing a deposition film on a mask material for an etching material, the incubation time of the mask material is shorter than the incubation time of the etching material, and the deposition film is not deposited on the etching material. , it is desirable to control the plasma etching parameters.

또한, 피에칭재에 대해서, 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법에 있어서, 상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록, 또한, 피에칭재에 퇴적막을 퇴적시키지 않고, 에칭이 진행되도록, 플라스마 에칭 파라미터를 제어하면 바람직하다.In addition, in the plasma treatment method for selectively depositing a deposition film on a mask material for an etching material, the incubation time of the mask material is shorter than the incubation time of the etching material, and without depositing the deposition film on the etching material. , it is desirable to control the plasma etching parameters so that the etching progresses.

본 발명의 일 실시형태에 따른 ECR(Electron Cyclotron Resonance) 방식의 마이크로파 플라스마 에칭 장치(이하, 「플라스마 처리 장치」라고도 함)의 개략 단면도를, 도 1에 나타낸다. 이 마이크로파 플라스마 에칭 장치에 있어서, 상부가 개방된 진공 용기(101)의 상부에 진공 용기(101) 내에 에칭 가스를 공급하기 위한 샤워 플레이트(102)(예를 들면 석영제)와, 유전체창(103)(예를 들면 석영제)을 배치하고, 진공 용기(101)를 밀봉함에 의해, 플라스마 처리실인 처리실(104)을 형성한다. 샤워 플레이트(102)에는 에칭 가스를 흘려보내기 위한 가스 공급 장치(105)가 접속된다.A schematic cross-sectional view of an ECR (Electron Cyclotron Resonance) type microwave plasma etching device (hereinafter also referred to as a “plasma processing device”) according to an embodiment of the present invention is shown in FIG. 1 . In this microwave plasma etching device, a shower plate 102 (for example, made of quartz) for supplying etching gas into the vacuum container 101, and a dielectric window 103 are provided on the top of the open-top vacuum container 101. ) (for example, made of quartz) is placed and the vacuum container 101 is sealed to form a processing chamber 104, which is a plasma processing chamber. A gas supply device 105 for flowing etching gas is connected to the shower plate 102.

또한, 진공 용기(101)에는 배기용 개폐 밸브(117) 및 배기 속도 가변 밸브(118)를 개재하여 진공 배기 장치(106)가 접속되어 있다. 처리실(104) 내는, 배기용 개폐 밸브(117)를 열고, 진공 배기 장치(106)를 구동함으로써 감압되고, 대기압으로부터 감압된 진공 상태로 된다. 처리실(104) 내의 압력은, 배기 속도 가변 밸브(118)에 의해 원하는 압력으로 조정된다.Additionally, a vacuum exhaust device 106 is connected to the vacuum vessel 101 via an exhaust on-off valve 117 and an exhaust speed variable valve 118. The pressure inside the processing chamber 104 is reduced by opening the exhaust opening/closing valve 117 and driving the vacuum exhaust device 106, thereby entering a vacuum state in which the pressure has been reduced from atmospheric pressure. The pressure in the processing chamber 104 is adjusted to a desired pressure by the exhaust speed variable valve 118.

에칭 가스는, 가스 공급 장치(105)로부터 샤워 플레이트(102)를 통해서 처리실(104) 내에 공급되고, 배기 속도 가변 밸브(118)를 통해서 진공 배기 장치(106)에 의해서 배기된다.The etching gas is supplied into the processing chamber 104 from the gas supply device 105 through the shower plate 102 and is exhausted by the vacuum exhaust device 106 through the exhaust speed variable valve 118.

또한, 샤워 플레이트(102)에 대향해서 진공 용기(101)의 하부에 시료대인 시료 재치용 전극(111)이 마련된다. 플라스마를 생성하기 위한 제1 고주파 전력을 처리실(104)에 공급하기 위하여, 유전체창(103)의 위쪽에는 전자파를 전송하는 도파관(107)이 마련된다. 도파관(107)에 전송되는 전자파는, 마이크로파 전원인 전자파 발생용 전원(109)으로부터 정합기(119)를 통하여 발진시킨다. 전자파 발생용 전원(109)에는, 펄스 발생 유닛(121)이 부착되어 있고, 이에 의해 마이크로파를 임의로 설정 가능한 반복 주파수로 펄스 변조할 수 있다. 전자파의 주파수는 특히 한정되지 않지만, 본 실시형태에서는 2.45GHz의 마이크로파를 사용한다.Additionally, a sample placement electrode 111, which is a sample stage, is provided at the lower part of the vacuum container 101 opposite to the shower plate 102. In order to supply the first high-frequency power for generating plasma to the processing chamber 104, a waveguide 107 for transmitting electromagnetic waves is provided above the dielectric window 103. The electromagnetic waves transmitted to the waveguide 107 are oscillated from the electromagnetic wave generation power source 109, which is a microwave power source, through the matching device 119. A pulse generation unit 121 is attached to the power source 109 for generating electromagnetic waves, whereby microwaves can be pulse-modulated with a repetition frequency that can be arbitrarily set. The frequency of electromagnetic waves is not particularly limited, but in this embodiment, microwaves of 2.45 GHz are used.

처리실(104)의 외부에는, 자장을 생성하는 자장 생성 코일(110)이 마련되어 있고, 전자파 발생용 전원(109)으로부터 발진된 전자파는, 자장 생성 코일(110)에 의해 생성된 자장과의 상호 작용에 의해, 처리실(104) 내에 고밀도 플라스마를 생성하고, 시료대인 시료 재치용 전극(111) 상에 배치된, 시료인 웨이퍼(112)에 에칭 처리를 실시한다.Outside the processing chamber 104, a magnetic field generation coil 110 is provided to generate a magnetic field, and the electromagnetic waves oscillated from the electromagnetic wave generation power source 109 interact with the magnetic field generated by the magnetic field generation coil 110. As a result, a high-density plasma is generated in the processing chamber 104, and an etching process is performed on the wafer 112, which is a sample, placed on the sample mounting electrode 111, which is a sample stand.

샤워 플레이트(102), 시료 재치용 전극(111), 자장 생성 코일(110), 배기용 개폐 밸브(117), 배기 속도 가변 밸브(118) 및 웨이퍼(112)는, 처리실(104)의 중심축 상에 대해서 동축으로 배치되어 있기 때문에, 에칭 가스의 흐름이나 플라스마에 의해 생성된 라디칼 및 이온, 또한 에칭에 의해 생성된 반응 생성물은, 웨이퍼(112)에 대하여 동축으로 공급되어 배기된다. 이 동축 배치는, 에칭 레이트, 에칭 형상의 웨이퍼 면내 균일성을 축대칭에 가깝게 해서, 웨이퍼 처리의 균일성을 향상시키는 효과가 있다.The shower plate 102, the sample placement electrode 111, the magnetic field generating coil 110, the exhaust opening/closing valve 117, the exhaust speed variable valve 118, and the wafer 112 are the central axis of the processing chamber 104. Since it is arranged coaxially with respect to the phase, radicals and ions generated by the flow of etching gas or plasma, and reaction products generated by etching are supplied coaxially to the wafer 112 and exhausted. This coaxial arrangement has the effect of improving the uniformity of wafer processing by bringing the in-plane uniformity of the etching rate and etching shape closer to axial symmetry.

시료 재치용 전극(111)은 전극 표면이 용사막(도시하지 않음)으로 피복되어 있고, 고주파 필터(115)를 통해서 직류 전원(116)이 접속되어 있다. 또한, 시료 재치용 전극(111)에는, 매칭 회로(113)를 통해서 고주파 바이어스 전원(114)이 접속된다. 고주파 바이어스 전원(114)에는, 펄스 발생 유닛(121)에 접속되고, 시간 변조된 제2 고주파 전력을 선택적으로 시료 재치용 전극(111)에 공급할 수 있다. 고주파 바이어스의 주파수는 특히 한정되지 않지만, 본 실시형태에서는 400kHz의 고주파 바이어스를 사용한다.The electrode surface of the sample placement electrode 111 is covered with a thermal spray film (not shown), and a direct current power supply 116 is connected through a high-frequency filter 115. Additionally, a high-frequency bias power supply 114 is connected to the sample placement electrode 111 through a matching circuit 113. The high-frequency bias power supply 114 is connected to the pulse generation unit 121, and can selectively supply time-modulated second high-frequency power to the sample placement electrode 111. The frequency of the high-frequency bias is not particularly limited, but a high-frequency bias of 400 kHz is used in this embodiment.

전술의 ECR 마이크로파 플라스마 에칭 장치를 제어하는 제어부(120)는, 입력 수단(도시하지 않음)에 의해, 전자파 발생용 전원(109), 고주파 바이어스 전원(114), 펄스 발생 유닛(121)의 펄스의 온·오프 타이밍을 포함하는 반복 주파수나 듀티비, 에칭을 실시하기 위한 가스 유량, 처리 압력, 마이크로파 전력, 고주파 바이어스 전력, 코일 전류, 펄스의 온 시간, 오프 시간 등의 에칭 파라미터를 제어하고 있다.The control unit 120, which controls the above-described ECR microwave plasma etching device, controls the pulses of the electromagnetic wave generation power source 109, the high-frequency bias power source 114, and the pulse generation unit 121 through an input means (not shown). Etching parameters such as repetition frequency and duty ratio including on/off timing, gas flow rate for etching, processing pressure, microwave power, high frequency bias power, coil current, pulse on time, and off time are controlled.

듀티비란, 펄스의 1주기에 대한 온 기간의 비율이다. 본 실시형태에서는, 펄스의 반복 주파수는 5Hz∼10kHz까지 변경할 수 있고, 듀티비는 1%∼90%까지 변경할 수 있다. 또한, 시간 변조의 설정은 온 시간, 오프 시간이어도 가능하다. 다음으로, 전술한 마이크로파 플라스마 에칭 장치를 이용한 본 실시형태를 이용한 각 실시예에 대하여 이하에 설명한다.Duty ratio is the ratio of the on period to one cycle of the pulse. In this embodiment, the pulse repetition frequency can be changed from 5 Hz to 10 kHz, and the duty ratio can be changed from 1% to 90%. Additionally, time modulation can be set to on time or off time. Next, each example using this embodiment using the above-described microwave plasma etching device will be described below.

[실시예 1][Example 1]

도 2에, EUV 리소그래피로 형성된 레지스트를 마스크재로 한 경우의 에칭 진행 과정을 나타낸다. 본 실시예에서는, OPL(Organic Planarization Layer : 유기 평탄화층)(201) 상에 성막된 SiARC(202) 상에 EUV 레지스트(203)를 패터닝하는 구조의 샘플을 사용했지만, SOC(Spin On Carbon) 상에 성막된 SOG 상에 EUV 레지스트가 패터닝된 구조의 샘플 등을 사용해도 된다.Figure 2 shows the etching process when a resist formed by EUV lithography is used as a mask material. In this embodiment, a sample with a structure of patterning the EUV resist 203 on SiARC 202 deposited on OPL (Organic Planarization Layer) 201 was used, but on SOC (Spin On Carbon) A sample with a structure in which EUV resist is patterned on SOG deposited on may be used.

마스크재를 EUV 레지스트(203), 피에칭재를 SiARC(202)로 한 에칭은, 도 2에 나타낸 화살표의 방향으로 진행하고, 각각 (a) 에칭 전, (b) 에칭 중, (c) 에칭 후의 상태를 나타내고 있다. 이때, 예를 들면, EUV 레지스트(203)와 SiARC(202)의 막두께가 같을 경우, 에칭 중의 선택비가 적어도 1 이상이 아니면 패턴폭 치수가 축소되어 버리기 때문에, 에칭 중의 선택비를 보다 높게 하거나, 혹은, EUV 레지스트(203) 상에 퇴적막을 선택적으로 퇴적시켜서, 마스크재와 합친 막두께를 두껍게 하는 것이 바람직하다.Etching using EUV resist 203 as the mask material and SiARC 202 as the etching material proceeds in the direction of the arrow shown in FIG. 2, respectively (a) before etching, (b) during etching, and (c) etching. It shows the state afterward. At this time, for example, when the film thickness of the EUV resist 203 and the SiARC 202 are the same, the pattern width dimension is reduced unless the selectivity during etching is at least 1, so the selectivity during etching must be made higher. Alternatively, it is preferable to selectively deposit a deposition film on the EUV resist 203 to increase the film thickness combined with the mask material.

여기에서, EUV 레지스트(203)에 대한 SiARC(202)의 에칭 선택비란, SiARC(202)의 에칭 속도를 EUV 레지스트(203)의 에칭 속도로 나눈 값이다. 또한, EUV 레지스트(203)의 막두께가 SiARC(202)의 막두께보다도 얇은 경우는, 더 높은 선택비를 이용하거나, 혹은, EUV 레지스트(203) 상에 더 두꺼운 막두께의 퇴적막을 선택적으로 퇴적시켜서, 마스크재와 합친 막두께를 더 두껍게 하는 것이 바람직하다.Here, the etching selectivity of the SiARC (202) with respect to the EUV resist (203) is the etching rate of the SiARC (202) divided by the etching rate of the EUV resist (203). Additionally, when the film thickness of the EUV resist 203 is thinner than the film thickness of the SiARC 202, a higher selectivity ratio is used, or a deposition film with a thicker film thickness is selectively deposited on the EUV resist 203. Therefore, it is desirable to make the film thickness combined with the mask material thicker.

한편, 에칭 전의 EUV 레지스트(203)의 측벽의 러프니스가 SiARC(202)의 에칭 중에 SiARC(202)의 측벽에 전사하는 것을 저감하기 위하여, EUV 레지스트(203)의 측벽에 퇴적막을 선택적으로 퇴적시킴으로써 러프니스를 저감하는 것이 바람직하다. 따라서, EUV 레지스트(203)에 대한 SiARC(202)의 에칭 선택비를 종래 기술보다 향상시키며, 또한, 러프니스를 저감하기 위해서는, EUV 레지스트(203)의 상면과 측벽에 퇴적막을 선택적으로 퇴적시킬 필요가 있다.Meanwhile, in order to reduce the roughness of the sidewall of the EUV resist 203 before etching from being transferred to the sidewall of the SiARC 202 during the etching of the SiARC 202, a deposition film is selectively deposited on the sidewall of the EUV resist 203. It is desirable to reduce roughness. Therefore, in order to improve the etching selectivity of the SiARC 202 with respect to the EUV resist 203 compared to the prior art and to reduce roughness, it is necessary to selectively deposit a deposition film on the top surface and side walls of the EUV resist 203. There is.

이때, 피에칭재인 SiARC(202)의 상면에 퇴적막을 퇴적시켜 버리면 에칭을 저해하기 때문에, SiARC(202)의 상면에는 퇴적막을 퇴적시키지 않거나, 혹은, 에칭을 진행시켜야만 한다.At this time, since etching is inhibited if a deposition film is deposited on the upper surface of SiARC 202, which is a material to be etched, the deposition film must not be deposited on the upper surface of SiARC 202 or etching must proceed.

에칭은, 표 1에 나타내는 바와 같은 Ar 가스, N2 가스, CH4 가스로 이루어지는 혼합 가스와 가스 압력, 및, 마이크로파 전원 파워와 반복 주파수와 듀티비, 또한, 고주파 바이어스 전원 파워와 반복 주파수와 듀티비의 조건을 이용해서 행했다.Etching is performed using a mixed gas consisting of Ar gas, N 2 gas, and CH 4 gas as shown in Table 1, gas pressure, microwave power supply power, repetition frequency, and duty ratio, and high-frequency bias power supply power, repetition frequency, and duty ratio. This was done taking advantage of the rain conditions.

[표 1][Table 1]

Figure 112021006243833-pct00001
Figure 112021006243833-pct00001

또한, 본 실시예의 조건과 비교예의 조건에 있어서, 도 2에 나타내는 에칭 전의 샘플을 각각 에칭했다. 그 후, 샘플을 벽개(劈開)하고, 그 단면을 SEM(Scanning Electron Microscope)에 의해 관찰 측장하고, 에칭 속도, 에칭 선택비 및 EUV 레지스트폭을 비교 검토했다. 또한, 샘플 바로 위로부터 SEM 관찰, 측장함으로써 LWR 러프니스값을 비교 검토했다.In addition, under the conditions of this example and the comparative example, the samples before etching shown in FIG. 2 were each etched. After that, the sample was cleaved, its cross section was observed and measured using a SEM (Scanning Electron Microscope), and the etching rate, etching selectivity, and EUV resist width were compared and examined. In addition, the LWR roughness values were compared and examined by SEM observation and measurement from directly above the sample.

도 3에, 에칭 속도 및 에칭 선택비를 나타낸다. 도 3에 나타내는 바와 같이, 비교예의 조건에서는 EUV 레지스트에 대한 SiARC의 에칭 선택비는 2이고, 1 이상의 값을 나타내고 있지만, EUV 레지스트의 에칭 속도와 SiARC의 에칭 속도는 양이므로, EUV 레지스트 및 SiARC의 에칭은 모두 진행되고 있다.Figure 3 shows the etching rate and etching selectivity. As shown in FIG. 3, under the conditions of the comparative example, the etching selectivity of SiARC to EUV resist is 2, indicating a value of 1 or more, but the etching rate of EUV resist and SiARC are positive, so the etching selectivity of EUV resist and SiARC is 2. All etching is in progress.

한편, 본 실시예의 조건에서는, SiARC의 에칭 속도는 비교예의 조건보다도 낮지만, EUV 레지스트의 에칭 속도는 음의 값으로 되어, EUV 레지스트 상에 퇴적막이 형성되어 있는 것을 나타내고 있다. 이 때문에, 본 실시예의 조건에서는, EUV 레지스트에 대한 SiARC의 선택비는 무한대로 된다.On the other hand, under the conditions of this example, the etching rate of SiARC is lower than the conditions of the comparative example, but the etching rate of the EUV resist is a negative value, indicating that a deposited film is formed on the EUV resist. For this reason, under the conditions of this embodiment, the selectivity ratio of SiARC to EUV resist becomes infinite.

다음으로, 도 4에 EUV 레지스트폭 및 LWR값을 나타낸다. 에칭 전과 비교예의 조건을 비교하면, 비교예의 조건은 EUV 레지스트폭이 에칭에 의해 약간 가늘어지고, LWR값도 약간 저감되어 있다. 즉, 에칭에 의해 EUV 레지스트의 횡방향으로 에칭이 진행됨으로써, LWR값이 약간 저감되어 있는 것을 알 수 있다.Next, Figure 4 shows the EUV resist width and LWR value. Comparing the conditions before etching and the comparative example, in the conditions of the comparative example, the EUV resist width is slightly narrowed by etching, and the LWR value is also slightly reduced. In other words, it can be seen that the LWR value is slightly reduced as etching proceeds in the horizontal direction of the EUV resist.

한편, 본 실시예의 조건에서는, EUV 레지스트폭이 2㎚ 정도 두꺼워지고, LWR값이 30% 정도로 대폭으로 저감되어 있다. 이로부터, 본 실시예의 조건에서는, EUV 레지스트 측벽에도 퇴적막이 형성됨으로써, LWR값이 대폭으로 저감된 것을 나타내고 있다. 이와 같이 본 실시예에서는, 비교예의 조건으로부터, EUV 레지스트에 대한 SiARC의 에칭 선택비를 대폭으로 향상시킬 수 있으며, 또한, LWR값도 대폭으로 저감할 수 있었다.On the other hand, under the conditions of this example, the EUV resist width becomes thicker by about 2 nm, and the LWR value is greatly reduced by about 30%. This shows that under the conditions of this example, a deposited film is also formed on the sidewall of the EUV resist, thereby significantly reducing the LWR value. In this way, in this example, from the conditions of the comparative example, the etching selectivity of SiARC to EUV resist could be significantly improved, and the LWR value could also be significantly reduced.

다음으로, 본 실시예의 조건에 이를 때까지의 조건 조정 수순 및 메커니즘을 설명한다. 본 실시예에서는, 표 1에 나타내는 바와 같은 Ar 가스와 N2 가스와 CH4 가스로 이루어지는 혼합 가스를 이용하고 있다.Next, the condition adjustment procedure and mechanism until the conditions of this embodiment are reached will be described. In this embodiment, a mixed gas consisting of Ar gas, N 2 gas, and CH 4 gas as shown in Table 1 is used.

본 실시예에서는, 희석 가스로 Ar 가스를 사용하고 있지만, 일반적으로 희석 가스로서 이용되고 있는, He, Ne, Kr, Xe, H2 등을 사용해도 된다. 또한, 퇴적막을 형성시키는 가스로서는, CH4 가스와 N2 가스를 사용하고 있지만, 대상으로 되는 마스크재 및 피에칭재 그리고 후술하는 조건 조정 수순 과정에 따라서, 탄소 C를 포함한 가스인, C2H2, C2H4, CHF3, CH3F, CH2F2 등을 사용해도 되고, 질소 N을 포함한 가스인, BN, NF3, NCl3, NBr3 등을 사용해도 된다.In this embodiment, Ar gas is used as the dilution gas, but He, Ne, Kr, Xe, H 2 , etc., which are generally used as dilution gases, may also be used. In addition, CH 4 gas and N 2 gas are used as gases for forming the deposited film. However, according to the mask material and the etching material and the condition adjustment procedure described later, C 2 H, which is a gas containing carbon C, is used. 2 , C 2 H 4 , CHF 3 , CH 3 F, CH 2 F 2, etc. may be used, or gases containing nitrogen N such as BN, NF 3 , NCl 3 , NBr 3, etc. may be used.

조건 조정 수순을 도 5에 나타낸다. 조건 조정 수순의 제1 단계에서는, 마이크로파 전원 파워를 조정한다. 이때, 도 5에 주기(注記)한 바와 같이, 마이크로파 전원 파워는 반복하지 않으며, 또한, 가스의 조합 및 가스 압력은 마스크재 및 피에칭재의 양 재료 모두 퇴적막이 퇴적하는 것이면 되고, 그 때문에 고주파 바이어스 전원 파워는, 이온에 의한 스퍼터 에칭을 억제하기 위하여 0W로 한다.The condition adjustment procedure is shown in Figure 5. In the first step of the condition adjustment procedure, the microwave power supply power is adjusted. At this time, as noted in FIG. 5, the microwave power source power is not repeated, and the gas combination and gas pressure are sufficient as long as the deposition film is deposited on both the mask material and the etching material. Therefore, high frequency bias is applied. The power supply power is set to 0W to suppress sputter etching due to ions.

여기에서, 가스 유량, 가스 압력 및 마이크로파 전원 파워에 따라, 퇴적막의 막두께가 변동하기 때문에, 예를 들면, 실시예 1에 이르는 조건 조정 수순의 제1 단계는, 퇴적막의 막두께를 0∼2㎚ 정도로 되는 조건을 채용한다.Here, since the film thickness of the deposited film varies depending on the gas flow rate, gas pressure, and microwave power source power, for example, in the first step of the condition adjustment procedure leading to Example 1, the film thickness of the deposited film is set to 0 to 2. Conditions of approximately ㎚ are adopted.

도 6에 실시예 1에 이르는, 조건 조정 수순의 제1 단계의 마이크로파 전원 파워 의존성을 나타낸다. 여기에서는, EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적 속도가 0∼2㎚/min으로 되도록, 마이크로파 전원 파워를 800W로 했다.Fig. 6 shows the microwave power supply power dependence of the first stage of the condition adjustment procedure leading to Example 1. Here, the microwave power supply power was set to 800 W so that the deposition rate of the deposited film on the EUV resist and on the SiARC was 0 to 2 nm/min.

다음으로, 조건 조정 수순의 제2 단계에서는, 고주파 바이어스 전원 파워를 조정한다. 이때, 도 5에 주기한 바와 같이, 고주파 바이어스 전원 파워는 반복하지 않으며, 또한, 마이크로파 전원 파워는 제1 단계와 동일하게 하고, 실시예 1에 이르는 조건 조정 수순의 제2 단계는 퇴적막의 퇴적 속도가 음의 측, 즉 에칭이 진행되는 0∼-2㎚/min 정도로 되는 조건을 채용한다.Next, in the second step of the condition adjustment procedure, the high-frequency bias power supply power is adjusted. At this time, as noted in FIG. 5, the high-frequency bias power supply is not repeated, and the microwave power supply power is set to be the same as in the first step, and the second step of the condition adjustment procedure leading to Example 1 is the deposition rate of the deposited film. The negative side, that is, the condition in which etching proceeds at about 0 to -2 nm/min, is adopted.

도 7에 실시예 1에 이르는, 조건 조정 수순의 제2 단계의 고주파 바이어스 전원 파워 의존성을 나타낸다. 여기에서는, EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적 속도가 0∼-2㎚/min으로 되도록, 고주파 바이어스 전원 파워를 20W로 했다.Figure 7 shows the high-frequency bias power supply power dependence of the second stage of the condition adjustment procedure leading to Example 1. Here, the high-frequency bias power supply power was set to 20 W so that the deposition rate of the deposited film on the EUV resist and SiARC was 0 to -2 nm/min.

실시예 1에 이르는 조건 조정 수순의 제2 단계까지 중요한 사항으로서, 퇴적막의 퇴적 속도를 0㎚/min 중심으로 해서, 마이크로파 전원 파워를 조정함으로써 양측, 즉 퇴적막이 퇴적하는 측과, 고주파 바이어스 전원 파워를 조정함으로써 음측, 즉 에칭되는 측과 대칭인 조건을 결정하는 것에 있다. 이에 의해, 다음에 기술하는 제3 단계에서 조정하는, 마이크로파 반복 주파수 및 마이크로파 전원 듀티비 그리고, 고주파 바이어스 전원 반복 주파수 및 고주파 바이어스 전원 듀티비에 따라, EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적 속도를 ±2㎚/min의 범위 내에서 조정 가능하게 된다.As an important matter up to the second stage of the condition adjustment procedure leading to Example 1, the microwave power supply power is adjusted centering on the deposition rate of the deposited film at 0 nm/min, so that both sides, that is, the side on which the deposited film is deposited, and the high-frequency bias power supply power The goal is to determine conditions that are symmetrical to the negative side, that is, the etched side, by adjusting . As a result, the deposition rate of the deposited film on the EUV resist and the SiARC is set to ± It can be adjusted within the range of 2 nm/min.

다음으로, 실시예 1에 이르는 조건 조정 수순의 제3 단계(조정 단계)에서는, EUV 레지스트 상의 퇴적막의 퇴적 속도가 양의 측, 즉 퇴적막이 퇴적하는 측에, 한편, SiARC 상의 퇴적막의 퇴적 속도가 0㎚/min, 혹은 음의 측, 즉, 퇴적막이 퇴적하지 않으며 또한 에칭이 진행되지 않는, 혹은 에칭이 진행되는 측에, 플라스마 에칭 파라미터인, 마이크로파 전원 반복 주파수 및 마이크로파 전원 듀티비 그리고, 고주파 바이어스 전원 반복 주파수 및 고주파 바이어스 전원 듀티비를 조정한다. 즉, 에칭 파라미터의 제어는, 펄스 변조된 제1 고주파 전력에 의해 플라스마를 생성하는 공정과, 시료대에 펄스 변조된 제2 고주파 전력을 공급하는 공정을 갖는다. 이러한 경우, 제1 고주파 전력을 변조하는 펄스의 주기와 상기 제2 고주파 전력을 변조하는 펄스의 주기가 동등하고, 상기 제1 고주파 전력을 변조하는 펄스의 듀티비는, 상기 제2 고주파 전력을 변조하는 펄스의 듀티비보다 크면 바람직하다.Next, in the third step (adjustment step) of the condition adjustment procedure leading to Example 1, the deposition rate of the deposited film on the EUV resist is on the positive side, that is, on the side where the deposited film is deposited, while the deposition rate of the deposited film on SiARC is on the positive side, that is, on the side where the deposited film is deposited. 0 nm/min, or on the negative side, that is, on the side where no deposited film is deposited and no etching is performed, or on the side where etching is performed, the plasma etching parameters, the microwave power source repetition frequency and microwave power source duty ratio, and the high frequency bias Adjust the power repetition frequency and high frequency bias power duty ratio. That is, the control of etching parameters includes a process of generating plasma using pulse-modulated first high-frequency power and a process of supplying pulse-modulated second high-frequency power to the sample stage. In this case, the period of the pulse for modulating the first high-frequency power is equal to the period of the pulse for modulating the second high-frequency power, and the duty ratio of the pulse for modulating the first high-frequency power modulates the second high-frequency power. It is desirable if it is greater than the duty ratio of the pulse.

도 8에 실시예 1에 이르는 조건 조정 수순의 제3 단계의 EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적 속도를 나타낸다. 마이크로파 반복 주파수를 1kHz, 마이크로파 전원 듀티비를 50%, 고주파 바이어스 전원 반복 주파수를 1kHz, 고주파 바이어스 전원 듀티비를 20%로 함으로써, EUV 레지스트 상의 퇴적막의 퇴적 속도를 1.5㎚/min, SiARC 상의 퇴적막의 퇴적 속도를 -0.2㎚/min으로 할 수 있었다.Figure 8 shows the deposition rate of the deposited film on the EUV resist and SiARC in the third step of the condition adjustment procedure leading to Example 1. By setting the microwave repetition frequency to 1 kHz, the microwave power supply duty ratio to 50%, the high-frequency bias power repetition frequency to 1 kHz, and the high-frequency bias power duty ratio to 20%, the deposition rate of the deposited film on the EUV resist was set to 1.5 nm/min, and that of the deposited film on SiARC was set to 1.5 nm/min. The deposition rate was able to be set to -0.2 nm/min.

도 9에, 도 8의 결과로부터 추측할 수 있는 EUV 레지스트 및 SiARC의 퇴적막의 퇴적량의 추이와, 그때의 마이크로파 전원 파워와 고주파 바이어스 전원 파워 출력의 추이를 나타낸다. 마이크로파 전원 파워 및 고주파 바이어스 전원 파워의 반복 주파수는 1kHz이기 때문에, 1msec에서 1주기로 되고, 각각의 듀티비의 비율분의 출력 ON 시간으로 된다.Figure 9 shows the trends in the deposition amounts of the EUV resist and SiARC deposited films, which can be estimated from the results in Figure 8, and the trends in the microwave power supply power and high-frequency bias power supply power output at that time. Since the repetition frequency of the microwave power supply power and the high frequency bias power supply power is 1 kHz, 1 msec is one cycle, and the output ON time is a ratio of each duty ratio.

마이크로파 전원 파워가 OFF일 때, 플라스마는 생성되어 있지 않기 때문에 퇴적막의 퇴적, 혹은, 에칭은 진행되지 않는다. 또한, 고주파 바이어스 전원 파워 출력이 ON일 때, 퇴적 속도는 에칭 속도 이하로 되기 때문에, 퇴적막이 퇴적하지 않으며, 또한, 에칭이 진행되지 않는, 혹은, 에칭이 진행되는 측으로 된다. 그 때문에, EUV 레지스트 상 및 SiARC 상의 퇴적막의 퇴적량 추이는, 도 9에 나타내는 점선을 각각 따라간다.When the microwave power supply is OFF, no plasma is generated, so deposition or etching of the deposited film does not proceed. Additionally, when the high-frequency bias power output is ON, the deposition rate becomes less than the etching rate, so the deposition film is not deposited and etching does not proceed, or the etching proceeds on the side. Therefore, the deposition amount changes of the deposited film on the EUV resist and on the SiARC follow the dotted lines shown in FIG. 9, respectively.

여기에서, 도 10에, 도 9에 나타내는 퇴적막의 퇴적량 추이의 시간 0∼0.5msec를 추출하고, 실시예 1의 인큐베이션 시간을 설명한다. EUV 레지스트 상에 퇴적하는 퇴적막의 인큐베이션 시간은, 퇴적이 개시될 때까지의 시간, 즉 그래프가 양의 기울기를 취할 때까지의 시간으로 된다. 한편, SiARC 상에 퇴적하는 퇴적막의 인큐베이션 시간은, 그래프 횡축에 나타내는 0.5msec의 기간에 더하여, 더 반복되는 시간으로 된다. 즉, EUV 레지스트 상의 퇴적막의 인큐베이션 시간이, SiARC 상에 퇴적하는 퇴적막의 인큐베이션 시간보다 짧아져 있다고 할 수 있다.Here, in Fig. 10, the time 0 to 0.5 msec of the deposition amount change of the deposited film shown in Fig. 9 is extracted, and the incubation time of Example 1 is explained. The incubation time of the deposited film deposited on the EUV resist is the time until deposition starts, that is, the time until the graph takes a positive slope. On the other hand, the incubation time of the deposited film on SiARC is a repeated time in addition to the 0.5 msec period shown on the horizontal axis of the graph. In other words, it can be said that the incubation time of the deposited film on the EUV resist is shorter than the incubation time of the deposited film deposited on SiARC.

따라서, 플라스마 에칭 파라미터, 즉, 마이크로파 전원 반복 주파수 및 마이크로파 전원 듀티비 그리고, 고주파 바이어스 전원 반복 주파수 및 고주파 바이어스 전원 듀티비를 조정함으로써, 마스크재로서의 EUV 레지스트 상의 퇴적막의 인큐베이션 시간을, 피에칭재로서의 SiARC 상에 퇴적하는 퇴적막의 인큐베이션 시간보다 짧게 하는 것이 가능하게 된다. 또, 원하는 인큐베이션 시간을 얻기 위해서, 마이크로파 전원 반복 주파수 및 마이크로파 전원 듀티비 그리고, 고주파 바이어스 전원 반복 주파수 및 고주파 바이어스 전원 듀티비 중, 적어도 하나의 값을 조정하면 충분하다. 이 조정은, 도 1에 나타내는 마이크로파 플라스마 에칭 장치에서는, 제어부(120)가 행할 수 있다.Therefore, by adjusting the plasma etching parameters, that is, the microwave power source repetition frequency and the microwave power source duty ratio, and the high-frequency bias power source repetition frequency and the high-frequency bias power source duty ratio, the incubation time of the deposited film on the EUV resist as the mask material can be adjusted to that of the etching material. It becomes possible to shorten the incubation time of the deposited film on SiARC. Additionally, in order to obtain the desired incubation time, it is sufficient to adjust at least one value among the microwave power source repetition frequency and microwave power source duty ratio, and the high-frequency bias power source repetition frequency and high-frequency bias power source duty ratio. This adjustment can be performed by the control unit 120 in the microwave plasma etching device shown in FIG. 1.

실시예 1에서는, 표 1에 나타내는 바와 같은, 마이크로파 전원 및 고주파 바이어스 전원 조건이 최적이었다. 그러나, 대상으로 되는 마스크재 및 피에칭재에 따라서는, 마이크로파 전원 파워 및 고주파 바이어스 전원 파워, 마이크로파 전원 반복 주파수 및 고주파 바이어스 전원 반복 주파수, 마이크로파 전원 듀티비 및 고주파 바이어스 듀티비를 적절하게 선택하고, 도 5의 조정 수순에 따라서 조정하여 최적의 조건을 구하는 것이 바람직하다.In Example 1, the microwave power supply and high frequency bias power supply conditions as shown in Table 1 were optimal. However, depending on the target mask material and etching material, the microwave power supply power and high frequency bias power supply power, microwave power supply repetition frequency and high frequency bias power supply repetition frequency, microwave power supply duty ratio and high frequency bias duty ratio are appropriately selected, It is desirable to obtain optimal conditions by adjusting according to the adjustment procedure in FIG. 5.

[실시예 2][Example 2]

도 11에, DSA 리소그래피 기술로 형성된 경우의 에칭 진행 과정을 나타낸다. 본 실시예에서는, SiN(111) 상에 성막된 NUL(112) 상에 PMMA(113) 및 PS(114)를 패터닝하는 구조의 샘플을 사용했다.Figure 11 shows the etching process when formed using DSA lithography technology. In this example, a sample having a structure of patterning PMMA (113) and PS (114) on NUL (112) deposited on SiN (111) was used.

먼저, PS를 마스크재로 해서 피에칭재인 PMMA를 에칭하여 PS 마스크 패턴을 형성한다. 다음으로, 형성된 PS를 마스크 패턴으로 해서 NUL을 에칭한다. 에칭은, 도 11에 나타낸 화살표의 방향으로 진행하고, 각각 (a) 에칭 전, (b) PMMA 에칭 후, (c) NUL 에칭 후의 상태를 나타낸다.First, using PS as a mask material, PMMA, which is an etching material, is etched to form a PS mask pattern. Next, the NUL is etched using the formed PS as a mask pattern. The etching proceeds in the direction of the arrow shown in FIG. 11, and shows the states (a) before etching, (b) after PMMA etching, and (c) after NUL etching, respectively.

본 실시예에서는, NUL 에칭에 적용한 경우에 대하여 설명한다. 에칭은, 표 2에 나타내는 바와 같은 Ar 가스, N2 가스, CH4 가스로 이루어지는 혼합 가스와 가스 압력, 및, 마이크로파 전원 파워와 반복 주파수와 듀티비, 또한, 고주파 바이어스 전원 파워와 반복 주파수와 듀티비의 조건을 이용해서 행했다. 본 실시예의 조건과 비교예의 조건에 있어서, 도 11에 나타내는 PMMA 에칭 후의 샘플을 각각 에칭했다.In this embodiment, the case of application to NUL etching will be described. Etching is performed using a mixed gas consisting of Ar gas, N 2 gas, and CH 4 gas as shown in Table 2, gas pressure, microwave power supply power, repetition frequency, and duty ratio, and high-frequency bias power supply power, repetition frequency, and duty ratio. This was done taking advantage of the rain conditions. Under the conditions of this example and the comparative example, the samples after PMMA etching shown in FIG. 11 were each etched.

[표 2][Table 2]

Figure 112021006243833-pct00002
Figure 112021006243833-pct00002

그 후, 샘플을 벽개하고, 그 단면을 SEM(Scanning Electron Microscope)에 의해 관찰 측장하고, 에칭 속도, 에칭 선택비 및 PS폭을 비교 검토했다. 또한, 샘플 바로 위로부터 SEM 관찰, 측장함으로써 LWR 러프니스값을 비교 검토했다.After that, the sample was cleaved, its cross section was observed and measured using a SEM (Scanning Electron Microscope), and the etching rate, etching selectivity, and PS width were compared and examined. In addition, the LWR roughness values were compared and examined by SEM observation and measurement from directly above the sample.

도 12에 에칭 속도 및 에칭 선택비를 나타낸다. 도 12에 나타내는 바와 같이, 비교예의 조건에서는 PS에 대한 NUL의 에칭 선택비는 1.5이고, 1 이상의 값을 나타내고 있지만, PS의 에칭 속도와 NUL의 에칭 속도는 양이므로, PS 및 NUL의 에칭은 진행되고 있다.Figure 12 shows the etching rate and etching selectivity. As shown in FIG. 12, under the conditions of the comparative example, the etching selectivity of NUL to PS is 1.5, indicating a value of 1 or more. However, since the etching rate of PS and the etching rate of NUL are positive, etching of PS and NUL proceeds. It is becoming.

한편, 본 실시예의 조건에서는, NUL의 에칭 속도는 비교예의 조건보다도 낮지만, PS의 에칭 속도는 음의 값으로 되어, PS 상에 퇴적막이 형성되어 있는 것을 나타내고 있다. 이 때문에, 본 실시예의 조건에서는, PS에 대한 NUL의 선택비는 무한대로 된다.On the other hand, under the conditions of this example, the etching rate of NUL is lower than the conditions of the comparative example, but the etching rate of PS is a negative value, indicating that a deposited film is formed on PS. For this reason, under the conditions of this embodiment, the selectivity ratio of NUL to PS becomes infinite.

다음으로, 도 13에 PS폭 및 LWR값을 나타낸다. PMMA 에칭 후와 비교예의 조건을 비교하면, 비교예의 조건은 PS폭이 에칭에 의해 약간 가늘어지고, LWR값도 약간 저감되어 있다. 즉, 에칭에 의해 EUV 레지스트의 횡방향으로 에칭이 진행됨으로써, LWR값이 약간 저감되어 있는 것을 알 수 있다.Next, Figure 13 shows the PS width and LWR values. Comparing the conditions after PMMA etching with the conditions of the comparative example, the PS width was slightly narrowed by etching, and the LWR value was also slightly reduced. In other words, it can be seen that the LWR value is slightly reduced as etching proceeds in the horizontal direction of the EUV resist.

한편, 본 실시예의 조건에서는, PS폭이 2㎚ 정도 두꺼워지고, LWR값이 60% 정도로 대폭으로 저감되어 있다. 이로부터, 본 실시예의 조건에서는, PS 측벽에도 퇴적막이 형성됨으로써, LWR값이 대폭으로 저감된 것을 나타내고 있다. 이와 같이 본 실시예에서는, 비교예의 조건보다, PS에 대한 NUL의 에칭 선택비를 대폭으로 향상시킬 수 있으며, 또한, LWR값도 대폭으로 저감할 수 있었다. 또, 본 실시예 조건에 이를 때까지의 조건 조정 수순은, 도 5에 따라서 실시했다.On the other hand, under the conditions of this example, the PS width becomes thicker by about 2 nm, and the LWR value is drastically reduced by about 60%. From this, it is shown that under the conditions of this example, a deposited film is also formed on the PS side wall, thereby significantly reducing the LWR value. In this way, in this example, the etching selectivity of NUL to PS could be significantly improved compared to the conditions of the comparative example, and the LWR value could also be significantly reduced. In addition, the condition adjustment procedure until the conditions of this embodiment were reached was performed according to FIG. 5.

본 실시형태에서는, 마이크로파를 이용한 ECR(Electron Cyclotron Resonance) 방식의 마이크로파 플라스마 에칭 장치에서의 적용예에 대하여 설명했지만, 이것으로 한정되는 것은 아니다. 용량 결합형, 유도 결합형의 플라스마 생성 수단을 이용한 플라스마 에칭 장치에 적용해도 된다. 또한, 에칭 파라미터의 제어는, 아르곤 가스와 질소 가스와 메탄 가스의 혼합 가스를 이용해서 행해지면 바람직하다.In this embodiment, an application example in an ECR (Electron Cyclotron Resonance) type microwave plasma etching device using microwaves has been described, but it is not limited to this. It may be applied to a plasma etching device using a capacitively coupled or inductively coupled plasma generation means. Additionally, control of etching parameters is preferably performed using a mixed gas of argon gas, nitrogen gas, and methane gas.

또한, 본 실시형태에서는, 에칭 장치의 처리실 내에 있어서 퇴적막을 형성한 후, 계속해서 동(同)처리실 내에 있어서 에칭 처리를 실시하지만, 반도체 디바이스의 제조 공정에서 일반적으로 사용되는 퇴적막의 형성 방법으로서, 증착법, 스퍼터법, 기상 성장법, ALD(Atomic Layer Deposition)법 등을 이용한 성막 장치가 있다. 그들 성막 장치를 이용하여, 본 실시형태에 따라 퇴적막의 형성을 실시할 경우, 성막 장치의 처리실로부터 에칭 장치의 처리실로, 혹은 에칭 장치의 처리실로부터 성막 장치의 처리실로 웨이퍼를 반송하기 위한 시간이 필요하게 되어, 스루풋이 저하해 버린다. 또한, 성막 장치의 처리실과 에칭 장치의 처리실이 진공 반송 경로에서 접속되어 있지 않을 경우, 반송 시에 웨이퍼가 대기에 폭로되기 때문에, 성막 후, 혹은 에칭 후의 패턴 표면이 대기 중의 성분(질소, 산소 등)과 반응하여 막질의 열화(劣化)가 일어나, 그 후의 처리를 저해해 버린다. 또한, 본 실시형태에서 이용한 EUV 및 DSA 리소그래피 기술에 의한 미세 마스크 패턴 측벽에 퇴적막을 형성할 경우, ALD법을 이용한 ALD 장치가 적합하다고 생각할 수 있지만, ALD법의 원리상, 패턴 측벽에 퇴적막이 형성됨과 동시에, 패턴 저면에도 퇴적막이 형성되어 버리기 때문에, 그 후의 에칭 처리를 저해해 버린다. 따라서, 본 실시형태에서 나타낸 에칭 장치의 처리실 내에 있어서 퇴적막의 형성과 에칭 처리를 실시하는 방법이 가장 적합하다고 할 수 있다.In addition, in the present embodiment, after forming the deposited film in the processing chamber of the etching apparatus, the etching process is subsequently performed in the same processing chamber. However, as a method of forming the deposited film generally used in the manufacturing process of semiconductor devices, There are film forming devices using deposition methods, sputtering methods, vapor phase growth methods, and ALD (Atomic Layer Deposition) methods. When forming a deposition film according to the present embodiment using these film formation devices, time is required to transport the wafer from the processing room of the film forming device to the processing room of the etching device, or from the processing room of the etching device to the processing room of the film forming device. As a result, throughput decreases. Additionally, if the processing chamber of the film forming device and the processing chamber of the etching device are not connected through a vacuum transport path, the wafer is exposed to the atmosphere during transport, so the pattern surface after film forming or etching is exposed to atmospheric components (nitrogen, oxygen, etc.). ) and deterioration of the membrane quality occurs, impeding subsequent processing. In addition, when forming a deposited film on the sidewall of the fine mask pattern using the EUV and DSA lithography technology used in this embodiment, an ALD device using the ALD method may be considered suitable. However, according to the principle of the ALD method, a deposited film is formed on the sidewall of the pattern. At the same time, a deposited film is also formed on the bottom of the pattern, thereby inhibiting the subsequent etching process. Therefore, it can be said that the method of forming the deposited film and performing the etching process within the processing chamber of the etching device shown in this embodiment is most suitable.

전술한 바와 같이, 본 실시형태의 플라스마 에칭 방법은, 피에칭재에 대해서, 마스크재에 퇴적막을 선택적으로 퇴적시키기 위하여, 마스크재 상에 퇴적하는 퇴적막의 인큐베이션 시간이 피에칭재 상에 퇴적하는 퇴적막의 인큐베이션 시간보다 짧아지도록, 플라스마 에칭 파라미터를 제어하는 것이다. 이 때문에, 마스크재에 대한 피에칭재의 에칭 선택비를 비교예의 기술로부터 대폭으로 향상시킬 수 있으며, 또한, 마스크 패턴 측벽의 러프니스도 대폭으로 저감할 수 있다.As described above, in the plasma etching method of the present embodiment, in order to selectively deposit a deposited film on a mask material with respect to an etched material, the incubation time of the deposited film deposited on the mask material is determined by the deposition time on the etched material. The plasma etching parameters are controlled to be shorter than the incubation time of the membrane. For this reason, the etching selectivity of the etching material to the mask material can be significantly improved from the technique of the comparative example, and the roughness of the mask pattern side walls can also be significantly reduced.

101 : 진공 용기
102 : 샤워 플레이트
103 : 유전체창
104 : 처리실
105 : 가스 공급 장치
106 : 진공 배기 장치
107 : 도파관
109 : 전자파 발생용 전원
110 : 자장 생성 코일
111 : 시료 재치용 전극
112 : 웨이퍼
113 : 매칭 회로
114 : 고주파 바이어스 전원
115 : 고주파 필터
116 : 직류 전원
117 : 배기용 개폐 밸브
118 : 배기 속도 가변 밸브
119 : 정합기
120 : 제어부
121 : 펄스 발생 유닛
101: Vacuum container
102: shower plate
103: Dielectric window
104: processing room
105: gas supply device
106: Vacuum exhaust device
107: waveguide
109: Power supply for electromagnetic wave generation
110: magnetic field generation coil
111: Electrode for sample placement
112: wafer
113: matching circuit
114: high frequency bias power supply
115: high frequency filter
116: DC power
117: Open/close valve for exhaust
118: Exhaust speed variable valve
119: matcher
120: control unit
121: pulse generation unit

Claims (8)

피에칭재에 대해서 마스크재에 퇴적막을 선택적으로 퇴적시키는 플라스마 처리 방법에 있어서,
상기 마스크재의 인큐베이션 시간이 상기 피에칭재의 인큐베이션 시간보다 짧아지도록 에칭 파라미터를 제어함과 함께 상기 피에칭재 및 상기 마스크재에 퇴적막을 퇴적시키는 가스를 사용하는 것을 특징으로 하는 플라스마 처리 방법.
In a plasma treatment method for selectively depositing a deposition film on a mask material with respect to an etching material,
A plasma processing method comprising controlling etching parameters so that the incubation time of the mask material is shorter than that of the etching material, and using a gas to deposit a deposition film on the etching material and the mask material.
제1항에 있어서,
상기 에칭 파라미터의 제어는, 펄스 변조된 제1 고주파 전력에 의해 플라스마를 생성하는 공정과,
상기 피에칭재가 성막된 시료를 재치(載置)하는 시료대에 펄스 변조된 제2 고주파 전력을 공급하는 공정을 갖는 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 1,
Control of the etching parameters includes a process of generating plasma by pulse-modulated first high-frequency power,
A plasma treatment method comprising a step of supplying pulse-modulated second high-frequency power to a sample table on which a sample on which the etching material is deposited is placed.
제1항에 있어서,
상기 마스크재를 EUV 레지스트로 하고, 상기 피에칭재를 SiARC로 하는 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 1,
A plasma processing method characterized in that the mask material is EUV resist, and the etching material is SiARC.
제1항에 있어서,
상기 마스크재를 PS로 하고, 상기 피에칭재를 PMMA로 하는 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 1,
A plasma treatment method characterized in that the mask material is PS, and the etching material is PMMA.
제1항에 있어서,
상기 가스는, 아르곤 가스와 질소 가스와 메탄 가스의 혼합 가스인 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 1,
A plasma treatment method, characterized in that the gas is a mixed gas of argon gas, nitrogen gas, and methane gas.
제2항에 있어서,
상기 가스는, 아르곤 가스와 질소 가스와 메탄 가스의 혼합 가스인 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 2,
A plasma treatment method, characterized in that the gas is a mixed gas of argon gas, nitrogen gas, and methane gas.
제2항에 있어서,
상기 제1 고주파 전력을 변조하는 펄스의 주기와 상기 제2 고주파 전력을 변조하는 펄스의 주기는, 동등하고,
상기 제1 고주파 전력을 변조하는 펄스의 듀티비는, 상기 제2 고주파 전력을 변조하는 펄스의 듀티비보다 큰 것을 특징으로 하는 플라스마 처리 방법.
According to paragraph 2,
The period of the pulse for modulating the first high-frequency power and the period of the pulse for modulating the second high-frequency power are equal,
A plasma processing method, characterized in that the duty ratio of the pulse for modulating the first high-frequency power is greater than the duty ratio of the pulse for modulating the second high-frequency power.
제7항에 있어서,
상기 마스크재를 EUV 레지스트로 하고, 상기 피에칭재를 SiARC로 하는 것을 특징으로 하는 플라스마 처리 방법.
In clause 7,
A plasma processing method characterized in that the mask material is EUV resist, and the etching material is SiARC.
KR1020217001573A 2020-02-10 2020-02-10 Plasma treatment method KR102581094B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/005075 WO2021161368A1 (en) 2020-02-10 2020-02-10 Plasma processing method

Publications (2)

Publication Number Publication Date
KR20210104637A KR20210104637A (en) 2021-08-25
KR102581094B1 true KR102581094B1 (en) 2023-09-22

Family

ID=77293042

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217001573A KR102581094B1 (en) 2020-02-10 2020-02-10 Plasma treatment method

Country Status (6)

Country Link
US (1) US11887814B2 (en)
JP (1) JP7075537B2 (en)
KR (1) KR102581094B1 (en)
CN (1) CN113544823B (en)
TW (1) TWI783362B (en)
WO (1) WO2021161368A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024517371A (en) * 2022-04-13 2024-04-22 株式会社日立ハイテク Plasma processing method and plasma processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017022136A (en) * 2016-10-06 2017-01-26 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414069A (en) * 1982-06-30 1983-11-08 International Business Machines Corporation Negative ion beam selective etching process
JPH10135456A (en) * 1996-10-30 1998-05-22 Toshiba Corp Manufacture of semiconductor device
JP3681533B2 (en) * 1997-02-25 2005-08-10 富士通株式会社 Silicon nitride layer etching method and semiconductor device manufacturing method
JP3447647B2 (en) * 2000-02-25 2003-09-16 株式会社日立製作所 Sample etching method
TWI437633B (en) 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
JP2012028431A (en) 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
JP5719648B2 (en) * 2011-03-14 2015-05-20 東京エレクトロン株式会社 Etching method and etching apparatus
JP5932599B2 (en) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ Plasma etching method
JP5973763B2 (en) 2012-03-28 2016-08-23 東京エレクトロン株式会社 Method and apparatus for forming periodic patterns using self-organizable block copolymers
CN103779203B (en) 2012-10-17 2016-11-02 株式会社日立高新技术 Plasma etching method
JP2014107520A (en) 2012-11-30 2014-06-09 Hitachi High-Technologies Corp Plasma etching method
JP6035606B2 (en) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus
JP2015070232A (en) 2013-09-30 2015-04-13 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing device
JP6158027B2 (en) 2013-10-08 2017-07-05 株式会社日立ハイテクノロジーズ Plasma processing method
KR20170106380A (en) * 2015-01-22 2017-09-20 니폰 제온 가부시키가이샤 Plasma etching method
CN106206307B (en) * 2015-05-05 2019-03-29 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
FR3041120B1 (en) * 2015-09-11 2017-09-29 Commissariat Energie Atomique METHOD FOR SELECTIVELY ENGRAVING A BLOCK COPOLYMER
JP2017092376A (en) 2015-11-16 2017-05-25 東京エレクトロン株式会社 Etching method
JP6722760B2 (en) * 2016-06-20 2020-07-15 東京エレクトロン株式会社 Method of processing the object
JP6671262B2 (en) * 2016-08-01 2020-03-25 東京エレクトロン株式会社 Method and apparatus for forming nitride film
US11011383B2 (en) * 2018-01-22 2021-05-18 Tokyo Electron Limited Etching method
WO2019003483A1 (en) * 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ Plasma treatment method and plasma treatment device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017022136A (en) * 2016-10-06 2017-01-26 株式会社日立ハイテクノロジーズ Plasma processing method and plasma processing apparatus

Also Published As

Publication number Publication date
TW202131409A (en) 2021-08-16
US11887814B2 (en) 2024-01-30
JP7075537B2 (en) 2022-05-25
TWI783362B (en) 2022-11-11
CN113544823A (en) 2021-10-22
JPWO2021161368A1 (en) 2021-08-19
KR20210104637A (en) 2021-08-25
US20220384148A1 (en) 2022-12-01
CN113544823B (en) 2024-04-12
WO2021161368A1 (en) 2021-08-19

Similar Documents

Publication Publication Date Title
TWI801673B (en) Method for etching a carbon-containing feature
US7008877B2 (en) Etching of chromium layers on photomasks utilizing high density plasma and low frequency RF bias
KR102436638B1 (en) Method for improving roughness and improving selectivity during ARC layer etching
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
KR100822276B1 (en) Method for photomask plasma etching using a protected mask
KR101095694B1 (en) Method for quartz photomask plasma etching
TW200845185A (en) Plasma processing method
WO2014185351A1 (en) Plasma etching method and plasma etching device
JP2001110784A (en) Apparatus and method for plasma treatment
JP2024026599A (en) Plasma processing apparatus
KR102581094B1 (en) Plasma treatment method
CN112424911B (en) Plasma processing apparatus and plasma processing method
JPH08195380A (en) Method of forming contact hole
JP6158027B2 (en) Plasma processing method
JP4061691B2 (en) Surface processing method
JP2003059907A (en) Method of etching anti-reflection film
JP5063535B2 (en) Plasma processing method
WO2023199450A1 (en) Plasma processing method and plasma processing device
JP5200687B2 (en) Manufacturing method of semiconductor device
JP3854019B2 (en) Manufacturing method of semiconductor device
JP2015099183A (en) Photomask production method and pattern transfer method
KR20210110657A (en) Plasma treatment method
JP2015188025A (en) plasma processing method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant