KR102579739B1 - Method for treating a substrate - Google Patents

Method for treating a substrate Download PDF

Info

Publication number
KR102579739B1
KR102579739B1 KR1020210179240A KR20210179240A KR102579739B1 KR 102579739 B1 KR102579739 B1 KR 102579739B1 KR 1020210179240 A KR1020210179240 A KR 1020210179240A KR 20210179240 A KR20210179240 A KR 20210179240A KR 102579739 B1 KR102579739 B1 KR 102579739B1
Authority
KR
South Korea
Prior art keywords
plasma
substrate
processing
chamber
space
Prior art date
Application number
KR1020210179240A
Other languages
Korean (ko)
Other versions
KR20220133751A (en
Inventor
김효준
장현욱
박상종
Original Assignee
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이 주식회사 filed Critical 피에스케이 주식회사
Priority to KR1020210179240A priority Critical patent/KR102579739B1/en
Publication of KR20220133751A publication Critical patent/KR20220133751A/en
Application granted granted Critical
Publication of KR102579739B1 publication Critical patent/KR102579739B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 처리 장치를 운용하는 방법을 제공한다. 일 실시 예에 있어서, 플라즈마 발생 공간을 가지는 산화 알루미늄(Aluminium Oxide) 소재로 제공되는 플라즈마 챔버와; 상기 플라즈마 챔버 외부에서 상기 플라즈마 챔버에 복수 회 감겨 있는 안테나와; 상기 안테나와 전기적으로 연결되어 상기 안테나로 전력을 인가하는 전원과; 상기 플라즈마 발생 공간으로 플루오린(Fluorine) 포함 공정 가스 및 산소 포함 가스를 선택적으로 공급하는 가스 공급 유닛을 포함하는 기판 처리 장치를 운용하는 방법은, 하나의 로트(LOT)에 속하는 복수개의 기판들을 처리하기 전에: 상기 처리 공간이 제1 진공 분위기로 유지되는 상태에서, 상기 가스 공급 유닛을 제어하여 상기 산소 포함 가스를 제1 유량으로 상기 플라즈마 발생 공간에 공급하는 제1 단계와; 상기 전원을 제1 전력으로 제1 시간동안 On 상태로 제어하여 상기 산소 포함 가스를 플라즈마로 여기하는 제2 단계와; 상기 제2 단계 이후, 상기 산소 포함 가스의 공급을 중단하고 상기 전원을 Off 상태로 제어하는 제3 단계를 행한다.The present invention provides a method of operating a substrate processing apparatus. In one embodiment, a plasma chamber made of aluminum oxide and having a plasma generation space; an antenna wound around the plasma chamber a plurality of times outside the plasma chamber; a power source electrically connected to the antenna to apply power to the antenna; A method of operating a substrate processing device including a gas supply unit for selectively supplying a fluorine-containing process gas and an oxygen-containing gas to the plasma generation space includes processing a plurality of substrates belonging to one lot (LOT). Before: a first step of controlling the gas supply unit to supply the oxygen-containing gas to the plasma generation space at a first flow rate while the processing space is maintained in a first vacuum atmosphere; a second step of exciting the oxygen-containing gas into plasma by controlling the power source to be on for a first time with first power; After the second step, a third step is performed in which the supply of the oxygen-containing gas is stopped and the power is controlled to an Off state.

Description

기판 처리 방법{Method for treating a substrate}Method for treating a substrate}

본 발명은 기판을 처리하는 방법에 관한 것으로, 보다 상세하게는 플라스마를 이용하여 기판을 처리하는 방법에 관한 것이다.The present invention relates to a method of processing a substrate, and more specifically, to a method of processing a substrate using plasma.

일반적으로, 플라즈마는 이온이나 전자, 라디칼(Radical) 등으로 이루어진 이온화된 가스 상태를 말하며, 플라즈마는 매우 높은 온도나, 강한 전계 혹은 고주파 전자계(RF Electromagnetic Fields)에 의해 생성된다.Generally, plasma refers to an ionized gas state composed of ions, electrons, radicals, etc., and is generated by very high temperatures, strong electric fields, or RF electromagnetic fields.

플라즈마를 이용하는 기판 처리 장치로는 플라즈마 생성 에너지원에 따라 축전 용량성 플라즈마(Capacitively Coupled Plasma, CCP) 처리 장치, 유도 결합형 플라즈마 (Inductively Coupled Plasma, ICP) 처리 장치 및 마이크로웨이브 플라즈마(Microwave Plasma) 처리 장치 등이 제안되어 있으며, 이 중, 유도 결합형 플라즈마(ICP) 처리 장치는 낮은 압력에서 고밀도의 플라즈마를 생성시킬 수 있는 등의 장점으로 인해 널리 사용되고 있다.Substrate processing devices that use plasma include capacitively coupled plasma (CCP) processing devices, inductively coupled plasma (ICP) processing devices, and microwave plasma processing devices, depending on the plasma generation energy source. Devices, etc. have been proposed, and among these, inductively coupled plasma (ICP) processing devices are widely used due to their advantages, such as being able to generate high-density plasma at low pressure.

유도 결합 플라즈마 장치는 플라즈마 반응 챔버를 가진다. 플라즈마 반응 챔버는 안테나 코일에 의해 감싸진다. 안테나 코일에는 교류 전류가 흐르면서 플라즈마 반응 챔버 내부에 자기장과 전기장을 발생시킨다. 발생된 자기장과 전기장은 공정 가스를 플라즈마로 여기시킨다. An inductively coupled plasma device has a plasma reaction chamber. The plasma reaction chamber is surrounded by an antenna coil. As alternating current flows through the antenna coil, it generates magnetic and electric fields inside the plasma reaction chamber. The generated magnetic and electric fields excite the process gas into plasma.

공정 가스는 F이온(F-) 및/또는 F라디칼(F*)을 포함할 수 있다. F이온(F-) 및/또는 F라디칼(F*)은 플라즈마 반응 챔버 내부벽을 이루는 Al2O3 세라믹과 반응하여 AlF(s) 파티클(Particle)을 형성하게 되고, 이는 도 1에서 참조되는 바와 같이 샤워헤드의 형상과 유사하게 웨이퍼 위에 떨어지게 되는 등, 반도체 제조 과정에서 수율을 감소시키는 원인이 된다.The process gas may contain F ions (F-) and/or F radicals (F*). F ions (F-) and/or F radicals (F*) react with the Al 2 O 3 ceramic forming the inner wall of the plasma reaction chamber to form AlF(s) particles, as shown in Figure 1. Similarly, it falls on the wafer similar to the shape of a showerhead, causing a decrease in yield during the semiconductor manufacturing process.

본 발명의 발명자들은 플라즈마 반응 챔버 내부벽 중에서도 전압을 인가하는 부분(Source applicator 부분)에서 Al2O3 세라믹과 F이온(F-) 및/또는 F라디칼(F*)의 반응성이 가장 높음에 따라, 플라즈마 반응 챔버 내부벽에서 증착(deposition)된 AlF층(AlF layer)의 형상도 Source applicator의 형상과 유사하게 형성되는 것을 발견하였고(도 2 참조), 형성된 AlF layer는 유입되는 F를 포함하는 공정 가스로부터 여기되는 플라즈마로 인해 계속해서 AlF가 형성되고 이는 내벽에 증착될 뿐만 아니라 결국 벽과 분리되어 아래로 떨어지게 됨에 따라, 떨어지는 파티클들(particles)이 결국에 샤워 헤드를 통과하여 웨이퍼의 상부에 안착하게 되는 것을 발견하였다.According to the inventors of the present invention, the reactivity of Al 2 O 3 ceramic with F ions (F-) and/or F radicals (F*) is the highest in the portion where voltage is applied (source applicator portion) among the inner walls of the plasma reaction chamber. It was found that the shape of the AlF layer deposited on the inner wall of the plasma reaction chamber was similar to the shape of the source applicator (see Figure 2), and the formed AlF layer was absorbed from the incoming F-containing process gas. AlF continues to be formed due to the excited plasma, and not only is it deposited on the inner wall, but it eventually separates from the wall and falls down, so the falling particles eventually pass through the shower head and settle on the top of the wafer. discovered that

본 발명은 상술한 문제를 해결하고 기판을 효율적으로 처리할 수 있는 기판 처리 장치 및 기판 처리 장치의 운용 방법을 제공하는 것을 일 목적으로 한다.One purpose of the present invention is to solve the above-described problems and provide a substrate processing device and a method of operating the substrate processing device that can efficiently process substrates.

본 발명은 기판을 처리하는 처리 공간에서 기판에 파티클 등의 불순물이 부착되어 오염되는 문제를 저감할 수 있는 기판 처리 장치 및 기판 처리 장치의 운용 방법을 제공하는 것을 일 목적으로 한다.The purpose of the present invention is to provide a substrate processing device and a method of operating the substrate processing device that can reduce the problem of contamination by impurities such as particles attaching to the substrate in the processing space where the substrate is processed.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명의 속하는 기술 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and problems not mentioned can be clearly understood by those skilled in the art from this specification and the attached drawings. will be.

본 발명은 기판을 처리하는 장치를 제공한다. 일 실시 예에 있어서, 기판 처리 장치는, 기판에 대한 처리가 수행되는 처리 공간을 제공하는 공정 처리부와; 공정 가스를 방전시켜 플라즈마를 생성하고, 상기 플라즈마를 상기 처리 공간으로 공급하는 플라즈마 발생부와; 상기 공정 처리부의 상기 처리 공간과 연결되어 상기 처리 공간의 분위기를 배기하고 상기 처리 공간의 압력을 설정 압력으로 유지하도록 제어하는 배기부와; 제어기를 포함하되, 상기 플라즈마 발생부는, 플라즈마 발생 공간을 가지는 산화 알루미늄(Aluminium Oxide) 소재로 제공되는 플라즈마 챔버와; 상기 플라즈마 챔버 외부에서 상기 플라즈마 챔버에 복수 회 감겨 있는 안테나와; 상기 안테나와 전기적으로 연결되어 상기 안테나로 전력을 인가하는 전원과; 상기 플라즈마 발생 공간으로 플루오린(Fluorine) 포함 공정 가스 및 산소 포함 가스를 선택적으로 공급하는 가스 공급 유닛을 포함하고, 상기 제어기는, 상기 처리 공간이 제1 진공 분위기로 유지되는 상태에서, 상기 가스 공급 유닛을 제어하여 상기 산소 포함 가스를 제1 유량으로 상기 플라즈마 발생 공간에 공급하고, 상기 전원을 제1 전력으로 제1 시간동안 On 상태로 제어하여 상기 산소 포함 가스를 플라즈마로 여기한 이후, 상기 산소 포함 가스의 공급을 중단하고 상기 전원을 Off 상태로 제어하여 상기 플라즈마 챔버의 내벽을 세정하는 세정 공정을 수행한다.The present invention provides an apparatus for processing a substrate. In one embodiment, a substrate processing apparatus includes a process processing unit that provides a processing space in which processing of a substrate is performed; a plasma generator that generates plasma by discharging process gas and supplies the plasma to the processing space; an exhaust unit connected to the processing space of the process processing unit to exhaust the atmosphere of the processing space and control the pressure of the processing space to be maintained at a set pressure; It includes a controller, wherein the plasma generator includes a plasma chamber made of aluminum oxide and having a plasma generation space; an antenna wound around the plasma chamber a plurality of times outside the plasma chamber; a power source electrically connected to the antenna to apply power to the antenna; and a gas supply unit that selectively supplies a fluorine-containing process gas and an oxygen-containing gas to the plasma generation space, wherein the controller supplies the gas while the processing space is maintained in a first vacuum atmosphere. The unit is controlled to supply the oxygen-containing gas to the plasma generation space at a first flow rate, and the power source is controlled to be turned on for a first time with first power to excite the oxygen-containing gas into plasma. The supply of the containing gas is stopped and the power is turned off to perform a cleaning process to clean the inner wall of the plasma chamber.

일 실시 예에 있어서, 상기 산소 포함 가스는 산소 가스(O2 가스)일 수 있다.In one embodiment, the oxygen-containing gas may be oxygen gas (O 2 gas).

일 실시 예에 있어서, 상기 제1 유량은 500sccm일 수 있다.In one embodiment, the first flow rate may be 500 sccm.

일 실시 예에 있어서, 상기 제1 전력은 2500W 일 수 있다.In one embodiment, the first power may be 2500W.

일 실시 예에 있어서, 상기 제1 진공 분위기는 ±5% 오차범위를 갖는 10mTorr 일 수 있다.In one embodiment, the first vacuum atmosphere may be 10 mTorr with an error range of ±5%.

일 실시 예에 있어서, 상기 제1 유량으로 공급되는 상기 산소 공급 가스에 의해 상기 처리 공간은 제2 진공 분위기로 전환되고, 상기 제2 진공 분위기는 ±5% 오차범위를 갖는 500mTorr 일 수 있다.In one embodiment, the processing space is converted into a second vacuum atmosphere by the oxygen supply gas supplied at the first flow rate, and the second vacuum atmosphere may be 500 mTorr with an error range of ±5%.

일 실시 예에 있어서, 상기 제1 시간은 5초 일 수 있다.In one embodiment, the first time may be 5 seconds.

일 실시 예에 있어서, 상기 산소 포함 가스의 공급을 중단하고 상기 전원을 Off 상태로 제어한 이후, 상기 배기부를 제어하여, 상기 처리 공간의 내부를 제2 시간동안 배기할 수 있다.In one embodiment, after stopping the supply of the oxygen-containing gas and controlling the power to an Off state, the exhaust unit may be controlled to exhaust the interior of the processing space for a second time.

일 실시 예에 있어서, 상기 제2 시간은 상기 처리 공간의 내부가 상기 제1 진공 분위기로 복귀되는 시간 일 수 있다.In one embodiment, the second time may be a time when the interior of the processing space is returned to the first vacuum atmosphere.

일 실시 예에 있어서, 상기 제어기는, 상기 전원이 Off 상태에서, 상기 산소 포함 가스를 상기 제1 유량으로 제3 시간동안 공급한 이후, 상기 산소 포함 가스를 상기 제1 유량으로 계속 공급하면서, 상기 전원을 상기 제1 전력으로 상기 제1 시간동안 On 상태로 제어할 수 있다.In one embodiment, the controller supplies the oxygen-containing gas at the first flow rate for a third time while the power is turned off, and then continues to supply the oxygen-containing gas at the first flow rate. The power can be controlled to be on for the first time using the first power.

일 실시 예에 있어서, 상기 제3 시간은 5초 이상 10초 이하 일 수 있다.In one embodiment, the third time may be 5 seconds or more and 10 seconds or less.

일 실시 예에 있어서, 상기 제어기는, 하나의 로트(LOT)에 속하는 복수개의 기판들을 처리하기 전에 상기 세정 공정을 수행하도록 제어할 수 있다.In one embodiment, the controller may control to perform the cleaning process before processing a plurality of substrates belonging to one lot (LOT).

또한, 본 발명은 기판 처리 장치를 운용하는 방법을 제공한다. 일 실시 예에 있어서, 기판에 대한 처리가 수행되는 처리 공간을 제공하는 공정 처리부와; 공정 가스를 방전시켜 플라즈마를 생성하고, 상기 플라즈마를 상기 처리 공간으로 공급하는 플라즈마 발생부와; 상기 공정 처리부의 상기 처리 공간과 연결되어 상기 처리 공간의 분위기를 배기하고 상기 처리 공간의 압력을 설정 압력으로 유지하도록 제어하는 배기부를 포함하고; 상기 플라즈마 발생부는, 플라즈마 발생 공간을 가지는 산화 알루미늄(Aluminium Oxide) 소재로 제공되는 플라즈마 챔버와; 상기 플라즈마 챔버 외부에서 상기 플라즈마 챔버에 복수 회 감겨 있는 안테나와; 상기 안테나와 전기적으로 연결되어 상기 안테나로 전력을 인가하는 전원과; 상기 플라즈마 발생 공간으로 플루오린(Fluorine) 포함 공정 가스 및 산소 포함 가스를 선택적으로 공급하는 가스 공급 유닛을 포함하는 기판 처리 장치를 운용하는 방법은, 하나의 로트(LOT)에 속하는 복수개의 기판들을 처리하기 전에: 상기 처리 공간이 제1 진공 분위기로 유지되는 상태에서, 상기 가스 공급 유닛을 제어하여 상기 산소 포함 가스를 제1 유량으로 상기 플라즈마 발생 공간에 공급하는 제1 단계와; 상기 전원을 제1 전력으로 제1 시간동안 On 상태로 제어하여 상기 산소 포함 가스를 플라즈마로 여기하는 제2 단계와; 상기 제2 단계 이후, 상기 산소 포함 가스의 공급을 중단하고 상기 전원을 Off 상태로 제어하는 제3 단계를 행한다.Additionally, the present invention provides a method of operating a substrate processing apparatus. In one embodiment, a process processing unit providing a processing space in which processing of a substrate is performed; a plasma generator that generates plasma by discharging process gas and supplies the plasma to the processing space; an exhaust unit connected to the processing space of the process processing unit to exhaust the atmosphere of the processing space and control the pressure of the processing space to be maintained at a set pressure; The plasma generator includes a plasma chamber made of aluminum oxide and having a plasma generation space; an antenna wound around the plasma chamber a plurality of times outside the plasma chamber; a power source electrically connected to the antenna to apply power to the antenna; A method of operating a substrate processing device including a gas supply unit for selectively supplying a fluorine-containing process gas and an oxygen-containing gas to the plasma generation space includes processing a plurality of substrates belonging to one lot (LOT). Before: a first step of controlling the gas supply unit to supply the oxygen-containing gas to the plasma generation space at a first flow rate while the processing space is maintained in a first vacuum atmosphere; a second step of exciting the oxygen-containing gas into plasma by controlling the power source to be on for a first time with first power; After the second step, a third step is performed in which the supply of the oxygen-containing gas is stopped and the power is controlled to an Off state.

일 실시 예에 있어서, 상기 산소 포함 가스는 산소 가스(O2 가스) 일 수 있다.In one embodiment, the oxygen-containing gas may be oxygen gas (O 2 gas).

일 실시 예에 있어서, 상기 제1 유량은 500sccm 일 수 있다.In one embodiment, the first flow rate may be 500 sccm.

일 실시 예에 있어서, 상기 제1 전력은 2500W 일 수 있다.In one embodiment, the first power may be 2500W.

일 실시 예에 있어서, 상기 제1 진공 분위기는 ±5% 오차범위를 갖는 10mTorr 일 수 있다.In one embodiment, the first vacuum atmosphere may be 10 mTorr with an error range of ±5%.

일 실시 예에 있어서, 상기 제1 유량으로 공급되는 상기 산소 공급 가스에 의해 상기 처리 공간은 제2 진공 분위기로 전환되고, 상기 제2 진공 분위기는 ±5% 오차범위를 갖는 500mTorr 일 수 있다.In one embodiment, the processing space is converted into a second vacuum atmosphere by the oxygen supply gas supplied at the first flow rate, and the second vacuum atmosphere may be 500 mTorr with an error range of ±5%.

일 실시 예에 있어서, 상기 제1 시간은 5초 일 수 있다.In one embodiment, the first time may be 5 seconds.

일 실시 예에 있어서, 상기 제3 단계 이후, 상기 처리 공간의 내부를 제2 시간 동안 배기하는 제4 단계를 행할 수 있다.In one embodiment, after the third step, a fourth step of exhausting the inside of the processing space for a second time may be performed.

일 실시 예에 있어서, 상기 제2 시간은 상기 처리 공간의 내부가 상기 제1 진공 분위기로 복귀되는 시간 일 수 있다.In one embodiment, the second time may be a time when the interior of the processing space is returned to the first vacuum atmosphere.

일 실시 예에 있어서, 상기 제1 단계에서, 상기 전원은 Off 상태로 제어되고, 상기 산소 포함 가스를 상기 제1 유량으로 제3 시간동안 공급하며, 상기 제2 단계에서, 상기 산소 포함 가스를 상기 제1 유량으로 계속 공급하면서, 상기 전원을 상기 제1 전력으로 상기 제1 시간동안 On 상태로 제어할 수 있다.In one embodiment, in the first step, the power is controlled to be Off, and the oxygen-containing gas is supplied at the first flow rate for a third time, and in the second step, the oxygen-containing gas is supplied to the While continuing to supply at the first flow rate, the power can be controlled to be on for the first time using the first power.

일 실시 예에 있어서, 상기 제3 시간은 5초 이상 10초 이하 일 수 있다.In one embodiment, the third time may be 5 seconds or more and 10 seconds or less.

본 발명의 일 실시 예에 의하면,  기판을 효율적으로 처리할 수 있다.According to an embodiment of the present invention, a substrate can be processed efficiently.

본 발명의 일 실시 예에 의하면, 기판을 처리하는 처리 공간에서 기판에 파티클 등의 불순물이 부착되어 오염되는 문제를 저감할 수 있다.According to an embodiment of the present invention, the problem of contamination caused by impurities such as particles attaching to the substrate in the processing space where the substrate is processed can be reduced.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the effects described above, and effects not mentioned can be clearly understood by those skilled in the art from this specification and the attached drawings.

도 1은 샤워헤드의 형상과 유사하게 웨이퍼 위에 떨어진 파티클의 분포맵이다.
도 2는 플라즈마 반응 챔버 내부벽에 AlF층(AlF layer)이 증착(deposition)된 상태의 사진으로, 증착(deposition)된 AlF층이 Source applicator의 형상과 유사하게 형성된 것을 확인할 수 있다.
도 3은 본 발명의 기판 처리 설비를 개략적으로 보여주는 도면이다.
도 4는 본 발명의 일 실시 예에 따른 기판 처리 장치를 보여주는 도면이다.
도 5는 도 4의 패러데이 실드를 나타내는 사시도이다.
도 6은 본 발명의 일 실시 예에 따른 플라즈마 챔버의 모습을 보여주는 도면이다.
도 7은 본 발명의 실시 예에 따른 처리에 의할 때, 플라즈마 챔버의 내벽 표면에서 나타나는 반응을 개략적으로 나타내는 도면이다.
도 8 내지 도 10은 순서대로 본 발명의 일 실시 예에 따른 기판 처리 장치의 운용 방법에 따른 운용 방법을 나타내는 도면이다.
도 11은 본 발명의 일 실시 예에 따른 기판 처리 장치의 운용 방법을 나타내는 플로우 차트이다.
도 12는 본 발명의 일 실시 예에 따라 기판을 처리하였을 때, 플라즈마 챔버의 내벽 표면의 상태와, 그 비교예를 나타낸 비교표이다.
도 13은 본 발명의 일 실시 예에 따라 기판을 처리하였을 때, 기판 표면의 파티클의 양 및 분포를 나타내는 파티클맵과, 그 비교예를 나타낸 비교표이다.
Figure 1 is a distribution map of particles that fell on a wafer similar to the shape of a showerhead.
Figure 2 is a photograph of an AlF layer deposited on the inner wall of the plasma reaction chamber. It can be seen that the deposited AlF layer is formed similarly to the shape of the source applicator.
Figure 3 is a diagram schematically showing the substrate processing equipment of the present invention.
Figure 4 is a diagram showing a substrate processing apparatus according to an embodiment of the present invention.
Figure 5 is a perspective view showing the Faraday shield of Figure 4.
Figure 6 is a diagram showing a plasma chamber according to an embodiment of the present invention.
Figure 7 is a diagram schematically showing the reaction that occurs on the inner wall surface of the plasma chamber when treated according to an embodiment of the present invention.
8 to 10 are diagrams sequentially showing an operation method of a substrate processing apparatus according to an embodiment of the present invention.
11 is a flow chart showing a method of operating a substrate processing apparatus according to an embodiment of the present invention.
Figure 12 is a comparison table showing the state of the inner wall surface of the plasma chamber when the substrate is processed according to an embodiment of the present invention and a comparative example thereof.
Figure 13 is a particle map showing the amount and distribution of particles on the surface of a substrate when the substrate is processed according to an embodiment of the present invention, and a comparison table showing a comparative example thereof.

본 발명의 다른 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술하는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되지 않으며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 만일 정의되지 않더라도, 여기서 사용되는 모든 용어들(기술 혹은 과학 용어들을 포함)은 이 발명이 속한 종래 기술에서 보편적 기술에 의해 일반적으로 수용되는 것과 동일한 의미를 갖는다. 공지된 구성에 대한 일반적인 설명은 본 발명의 요지를 흐리지 않기 위해 생략될 수 있다. 본 발명의 도면에서 동일하거나 상응하는 구성에 대하여는 가급적 동일한 도면부호가 사용된다.Other advantages and features of the present invention and methods for achieving them will become clear by referring to the embodiments described in detail below along with the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, and the present invention is only defined by the scope of the claims. Even if not defined, all terms (including technical or scientific terms) used herein have the same meaning as generally accepted by the general art in the prior art to which this invention belongs. General descriptions of known configurations may be omitted so as not to obscure the gist of the present invention. In the drawings of the present invention, the same reference numerals are used as much as possible for identical or corresponding components.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다", "가지다" 또는 "구비하다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terms used in this application are only used to describe specific embodiments and are not intended to limit the invention. Singular expressions include plural expressions unless the context clearly dictates otherwise. In this application, terms such as “comprise,” “have,” or “equipped with” are intended to designate the presence of features, numbers, steps, operations, components, parts, or combinations thereof described in the specification. It should be understood that it does not exclude in advance the presence or addition of other features, numbers, steps, operations, components, parts, or combinations thereof.

아래에서는, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장 및 축소된 것이다.Below, embodiments of the present invention will be described in more detail with reference to the attached drawings. Embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This example is provided to more completely explain the present invention to those with average knowledge in the art. Therefore, the shapes of elements in the drawings are exaggerated and reduced to emphasize clearer explanation.

이하 도 1 내지 도 13을 참조하여 본 발명의 실시 예를 상세히 설명한다.Hereinafter, an embodiment of the present invention will be described in detail with reference to FIGS. 1 to 13.

도 3은 본 발명의 기판 처리 설비를 개략적으로 보여주는 도면이다. 도 1을 참조하면, 기판 처리 설비(1)는 설비 전방 단부 모듈(equipment front end module, EFEM)(20) 및 처리 모듈(30)을 가진다. 설비 전방 단부 모듈(20)과 처리 모듈(30)은 일 방향으로 배치된다.Figure 3 is a diagram schematically showing the substrate processing equipment of the present invention. 1, a substrate processing facility 1 has an equipment front end module (EFEM) 20 and a processing module 30. The facility front end module 20 and the processing module 30 are arranged in one direction.

설비 전방 단부 모듈(20)은 로드 포트(load port, 10) 및 이송 프레임(21)을 가진다. 로드 포트(10)는 제1방향(11)으로 설비 전방 단부 모듈(20)의 전방에 배치된다. 로드 포트(10)는 복수 개의 지지부(6)를 가진다. 각각의 지지부(6)는 제 2 방향(12)으로 일렬로 배치되며, 공정에 제공될 기판(W) 및 공정 처리가 완료된 기판(W)이 수납된 캐리어(4)(예를 들어, 카세트, FOUP등)가 안착된다. The equipment front end module 20 has a load port 10 and a transfer frame 21. The load port 10 is disposed in front of the equipment front end module 20 in the first direction 11. The load port 10 has a plurality of supports 6. Each support part 6 is arranged in a row in the second direction 12, and is provided with a carrier 4 (e.g., a cassette, FOUP, etc.) is seated.

캐리어(4)에는 공정에 제공될 기판(W) 및 공정 처리가 완료된 기판(W)이 수납된다. 일 예에 있어서, 하나의 캐리어(4)에 수납되는 복수개의 기판(W)을 하나의 로트(LOT)로 정의할 수 있다. 일 예로, 기판(W)은 클린룸 환경의 반도체 제조라인에서 20 내지 25개 단위로 캐리어(4)에 격납된 상태로 운반 또는 이송된다. 이러한 기판(W)의 묶음을 로트(Lot)라 한다.The carrier 4 accommodates a substrate W to be provided in the process and a substrate W on which the process has been completed. In one example, a plurality of substrates W stored in one carrier 4 may be defined as one lot. As an example, the substrates W are transported or transported in a state in which 20 to 25 substrates are stored in the carrier 4 in a semiconductor manufacturing line in a clean room environment. This bundle of substrates W is called a lot.

이송 프레임(21)은 로드 포트(10)와 처리 모듈(30) 사이에 배치된다. 이송 프레임(21)은 그 내부에 배치되고 로드 포트(10)와 처리 모듈(30)간에 기판(W)을 이송하는 제1 이송로봇(25)을 포함한다. 제1 이송로봇(25)은 제2 방향(12)으로 구비된 이송 레일(27)을 따라 이동하여 캐리어(4)와 처리 모듈(30)간에 기판(W)을 이송한다.The transfer frame 21 is disposed between the load port 10 and the processing module 30. The transfer frame 21 is disposed therein and includes a first transfer robot 25 that transfers the substrate W between the load port 10 and the processing module 30. The first transfer robot 25 moves along the transfer rail 27 provided in the second direction 12 to transfer the substrate W between the carrier 4 and the processing module 30.

처리 모듈(30)은 로드락 챔버(40), 트랜스퍼 챔버(50), 그리고 프로세스 챔버(60)를 포함한다.The processing module 30 includes a load lock chamber 40, a transfer chamber 50, and a process chamber 60.

로드락 챔버(40)는 이송 프레임(21)에 인접하게 배치된다. 일 예로, 로드락 챔버(40)는 트랜스퍼 챔버(50)와 설비 전방 단부 모듈(20)사이에 배치될 수 있다. 로드락 챔버(40)는 공정에 제공될 기판(W)이 프로세스 챔버(60)로 이송되기 전, 또는 공정 처리가 완료된 기판(W)이 설비 전방 단부 모듈(20)로 이송되기 전 대기하는 공간을 제공한다.The load lock chamber 40 is disposed adjacent to the transfer frame 21. As an example, the load lock chamber 40 may be disposed between the transfer chamber 50 and the front end module 20 of the facility. The load lock chamber 40 is a waiting space before the substrate (W) to be provided for the process is transferred to the process chamber 60, or before the substrate (W) that has completed the process is transferred to the front end module 20 of the facility. provides.

트랜스퍼 챔버(50)는 로드락 챔버(40)에 인접하게 배치된다. 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 다각형의 몸체를 갖는다. 도 3을 참조하면, 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 오각형의 몸체를 갖는다. 몸체의 외측에는 로드락 챔버(40)와 복수개의 프로세스 챔버(60)들이 몸체의 둘레를 따라 배치된다. 몸체의 각 측벽에는 기판(W)이 출입하는 통로(미도시)가 형성되며, 통로는 트랜스퍼 챔버(50)와 로드락 챔버(40) 또는 프로세스 챔버(60)들을 연결한다. 각 통로에는 통로를 개폐하여 내부를 밀폐시키는 도어(미도시)가 제공된다. 트랜스퍼 챔버(50)의 내부공간에는 로드락 챔버(40)와 프로세스 챔버(60)들간에 기판(W)을 이송하는 제2 이송로봇(53)이 배치된다. 제2 이송로봇(53)은 로드락 챔버(40)에서 대기하는 미처리된 기판(W)을 프로세스 챔버(60)로 이송하거나, 공정 처리가 완료된 기판(W)을 로드락 챔버(40)로 이송한다. 그리고, 복수개의 프로세스 챔버(60)에 기판(W)을 순차적으로 제공하기 위하여 프로세스 챔버(60)간에 기판(W)을 이송한다. 도 3과 같이, 트랜스퍼 챔버(50)가 오각형의 몸체를 가질 때, 설비 전방 단부 모듈(20)과 인접한 측벽에는 로드락 챔버(40)가 각각 배치되며, 나머지 측벽에는 프로세스 챔버(60)들이 연속하여 배치된다. 트랜스퍼 챔버(50)는 상기 형상뿐만 아니라, 요구되는 공정모듈에 따라 다양한 형태로 제공될 수 있다. 트랜스퍼 챔버(50)의 내부는 제1 진공 분위기로 제공된다. 예컨대, 트랜스퍼 챔버(50)의 내부의 공간은 약 10mTorr 내외로 유지될 수 있다. The transfer chamber 50 is disposed adjacent to the load lock chamber 40. The transfer chamber 50 has a polygonal body when viewed from the top. Referring to FIG. 3, the transfer chamber 50 has a pentagonal body when viewed from the top. On the outside of the body, a load lock chamber 40 and a plurality of process chambers 60 are disposed along the circumference of the body. A passage (not shown) through which the substrate W enters and exits is formed on each side wall of the body, and the passage connects the transfer chamber 50 and the load lock chamber 40 or the process chamber 60. Each passage is provided with a door (not shown) that opens and closes the passage and seals the interior. A second transfer robot 53 is disposed in the inner space of the transfer chamber 50 to transfer the substrate W between the load lock chamber 40 and the process chamber 60. The second transfer robot 53 transfers the unprocessed substrate (W) waiting in the load lock chamber 40 to the process chamber 60, or transfers the processed substrate (W) to the load lock chamber 40. do. Then, the substrate W is transferred between the process chambers 60 in order to sequentially provide the substrate W to the plurality of process chambers 60 . As shown in FIG. 3, when the transfer chamber 50 has a pentagonal body, load lock chambers 40 are disposed on each side wall adjacent to the front end module 20 of the facility, and process chambers 60 are continuous on the remaining side walls. It is placed as follows. In addition to the above shape, the transfer chamber 50 may be provided in various forms depending on the required process module. The interior of the transfer chamber 50 is provided with a first vacuum atmosphere. For example, the space inside the transfer chamber 50 may be maintained at around 10 mTorr.

프로세스 챔버(60)는 트랜스퍼 챔버(50)의 둘레를 따라 배치된다. 프로세스 챔버(60)는 복수개 제공될 수 있다. 각각의 프로세스 챔버(60)내에서는 기판(W)에 대한 공정 처리가 진행된다. 프로세스 챔버(60)는 제2 이송로봇(53)으로부터 기판(W)을 이송 받아 공정 처리를 하고, 공정 처리가 완료된 기판(W)을 제2 이송로봇(53)으로 제공한다. 각각의 프로세스 챔버(60)에서 진행되는 공정 처리는 서로 상이할 수 있다. 이하, 프로세스 챔버(60) 중 플라즈마 처리 공정을 수행하는 기판 처리 장치(1000)에 대해서 상세히 설명한다.The process chamber 60 is disposed along the perimeter of the transfer chamber 50 . A plurality of process chambers 60 may be provided. Within each process chamber 60, processing for the substrate W is performed. The process chamber 60 receives the substrate W from the second transfer robot 53, processes it, and provides the processed substrate W to the second transfer robot 53. Process processing performed in each process chamber 60 may be different. Hereinafter, the substrate processing apparatus 1000 that performs a plasma processing process in the process chamber 60 will be described in detail.

도 4는 도 3의 프로세스 챔버(60) 중 플라즈마 처리 공정을 수행하는 기판 처리 장치(1000)를 보여주는 도면이다. 도 4를 참조하면, 기판 처리 장치(1000)는 플라즈마를 이용하여 기판(W) 상에 소정의 공정을 수행한다. 일 예로, 기판 처리 장치(1000)는 기판(W)을 건식 세정(Dry Cleaning)할 수 있다. 기판(W)은 자연 산화막이나 화학적으로 생성된 산화막을 포함하는 것일 수 있다. 일 예로 기판(W)은 웨이퍼로 제공될 수 있다. FIG. 4 is a diagram showing a substrate processing apparatus 1000 that performs a plasma processing process in the process chamber 60 of FIG. 3 . Referring to FIG. 4, the substrate processing apparatus 1000 performs a predetermined process on the substrate W using plasma. As an example, the substrate processing apparatus 1000 may dry clean the substrate W. The substrate W may include a natural oxide film or a chemically generated oxide film. As an example, the substrate W may be provided as a wafer.

기판 처리 장치(1000)는 공정 처리부(200), 플라즈마 발생부(400), 그리고 배기부(600)를 가진다.The substrate processing apparatus 1000 includes a process processing unit 200, a plasma generation unit 400, and an exhaust unit 600.

공정 처리부(200)는 기판(W)이 놓이고, 기판에 대한 처리가 수행되는 처리 공간(212)을 제공한다. 플라즈마 발생부(400)는 공정 가스를 방전시켜 플라즈마(Plasma)를 생성시키고, 이를 공정 처리부(200)의 처리 공간(212)으로 공급한다. 배기부(600)는 공정 처리부(200) 내부에 머무르는 공정 가스 및/또는 기판 처리 과정에서 발생한 반응 부산물 등을 외부로 배출하고, 공정 처리부(200) 내의 압력을 설정 압력으로 유지한다.The process processing unit 200 provides a processing space 212 in which the substrate W is placed and processing on the substrate is performed. The plasma generator 400 generates plasma by discharging the process gas and supplies it to the processing space 212 of the process processing unit 200. The exhaust unit 600 discharges process gases remaining inside the process processing unit 200 and/or reaction by-products generated during the substrate processing process to the outside, and maintains the pressure within the process processing unit 200 at a set pressure.

공정 처리부(200)는 하우징(210), 지지 유닛(230), 그리고 샤워 헤드(250)를 포함할 수 있다. The process processing unit 200 may include a housing 210, a support unit 230, and a shower head 250.

하우징(210)의 내부에는 기판 처리 공정을 수행하는 처리 공간(212)을 가질 수 있다. 하우징(210)은 상부가 개방되고, 측벽에는 개구(미도시)가 형성될 수 있다. 개구(미도시)를 통해 하우징(210)은 트랜스퍼 챔버(50)와 연통한다. 기판(W)은 개구(미도시)를 통하여 하우징(210) 내부로 출입한다. 개구는 도어(미도시)와 같은 개폐 부재에 의해 개폐될 수 있다.Inside the housing 210, there may be a processing space 212 where a substrate processing process is performed. The housing 210 may have an open top and an opening (not shown) may be formed in the side wall. The housing 210 communicates with the transfer chamber 50 through an opening (not shown). The substrate W enters and exits the housing 210 through an opening (not shown). The opening may be opened and closed by an opening and closing member such as a door (not shown).

하우징(210)의 바닥면에는 배기홀(214) 형성된다. 배기홀(214)을 통해 처리 공간(212) 내 공정 가스 및/또는 부산물을 처리 공간(212)의 외부로 배기할 수 있다. 배기홀(214)은 후술하는 배기부(600)가 포함하는 구성들과 연결될 수 있다.An exhaust hole 214 is formed on the bottom of the housing 210. Process gas and/or by-products within the processing space 212 may be exhausted to the outside of the processing space 212 through the exhaust hole 214 . The exhaust hole 214 may be connected to components included in the exhaust unit 600, which will be described later.

지지 유닛(230)은 처리 공간(212)에서 기판(W)을 지지한다. 지지 유닛(230)은 지지 플레이트(232), 그리고 지지 축(234)을 포함할 수 있다. 지지 플레이트(232)는 처리 공간(212)에서 기판(W)을 지지할 수 있다. 지지 플레이트(232)는 지지 축(234)에 의해 지지될 수 있다. 지지 플레이트(232)는 외부 전원과 연결되며, 인가된 전력에 의해 정전기를 발생시킬 수 있다. 발생된 정전기가 가지는 정전기력은 기판(W)을 지지 유닛(230)에 고정시킬 수 있다.The support unit 230 supports the substrate W in the processing space 212 . The support unit 230 may include a support plate 232 and a support shaft 234. The support plate 232 may support the substrate W in the processing space 212 . Support plate 232 may be supported by support shaft 234. The support plate 232 is connected to an external power source and can generate static electricity by the applied power. The electrostatic force of the generated static electricity can fix the substrate W to the support unit 230.

지지축(234)은 대상물을 이동시킬 수 있다. 예컨대, 지지축(234)은 기판(W)을 상하 방향으로 이동시킬 수 있다. 일 예로, 지지축(234)은 지지 플레이트(232)와 결합된다. 지지축(234)은 구동 부재(미도시)와 연결되어, 지지 플레이트(232)를 승하강시킬 수 있다. 지지 플레이트(232)의 승하강에 의해 기판(W)과 샤워 헤드(250)간의 상대위치가 조절될 수 있다.The support axis 234 can move an object. For example, the support shaft 234 can move the substrate W in the vertical direction. As an example, the support shaft 234 is coupled to the support plate 232. The support shaft 234 is connected to a driving member (not shown) to raise and lower the support plate 232. The relative position between the substrate W and the shower head 250 can be adjusted by raising and lowering the support plate 232.

샤워 헤드(250)는 지지 유닛(230)과 마주보도록 지지 유닛(230)의 상부에 위치한다. 샤워 헤드(250)는 지지 유닛(230)과 플라즈마 발생부(400)의 사이에 배치될 수 있다. 플라즈마 발생부(400)에서 발생되는 플라즈마는 샤워 헤드(250)에 형성된 복수의 홀(252)들을 통과할 수 있다.The shower head 250 is located on top of the support unit 230 to face the support unit 230. The shower head 250 may be disposed between the support unit 230 and the plasma generator 400. Plasma generated from the plasma generator 400 may pass through a plurality of holes 252 formed in the shower head 250.

샤워 헤드(250)는 처리 공간(212)으로 유입되는 플라즈마가 기판(W)으로 균일하게 공급되도록 한다. 샤워 헤드(250)에 형성된 홀(252)들은 샤워 헤드(250)의 상면에서 하면까지 제공되는 관통홀로 제공되며, 샤워 헤드(250)의 각 영역에 균일하게 형성될 수 있다.The shower head 250 ensures that plasma flowing into the processing space 212 is uniformly supplied to the substrate W. The holes 252 formed in the shower head 250 are provided as through holes from the top to the bottom of the shower head 250, and may be formed uniformly in each area of the shower head 250.

플라즈마 발생부(400)는 하우징(210)의 상부에 위치될 수 있다. 플라즈마 발생부(400)는 공정 가스를 방전시켜 플라즈마를 생성하고, 생성된 플라즈마를 처리 공간(212)으로 공급할 수 있다. 플라즈마 발생부(400)는 플라즈마 챔버(410), 가스 공급 유닛(420), 전력 인가 유닛(430), 그리고 확산 챔버(440)를 포함할 수 있다.The plasma generator 400 may be located at the top of the housing 210. The plasma generator 400 may generate plasma by discharging a process gas and supply the generated plasma to the processing space 212 . The plasma generator 400 may include a plasma chamber 410, a gas supply unit 420, a power application unit 430, and a diffusion chamber 440.

플라즈마 챔버(410)에는 상면, 그리고 하면이 개방된 형상을 가질 수 있다. 일 예에 의하면, 플라즈마 챔버(410)는 상면, 그리고 하면이 개방된 원통 형상을 가질 수 있다. 플라즈마 챔버(410)는 플라즈마 발생 공간(412)을 가질 수 있다. 또한, 플라즈마 챔버(410)는 산화 알루미늄(Al2O3)을 포함하는 재질로 제공될 수 있다. 플라즈마 챔버(410)의 상면은 가스 공급 포트(414)에 의해 밀폐될 수 있다. 가스 공급 포트(414)는 가스 공급 유닛(420)과 연결될 수 있다. 공정 가스 및 산소 포함 가스는 가스 공급 포트(414)를 통해 플라즈마 발생 공간(412)으로 공급될 수 있다. 플라즈마 발생 공간(412)으로 공급된 가스는 샤워 헤드(250)를 거쳐 처리 공간(212)으로 유입될 수 있다.The plasma chamber 410 may have an open top and bottom surface. According to one example, the plasma chamber 410 may have a cylindrical shape with an open upper and lower surface. The plasma chamber 410 may have a plasma generation space 412. Additionally, the plasma chamber 410 may be made of a material containing aluminum oxide (Al 2 O 3 ). The upper surface of the plasma chamber 410 may be sealed by the gas supply port 414. The gas supply port 414 may be connected to the gas supply unit 420. Process gas and oxygen-containing gas may be supplied to the plasma generation space 412 through the gas supply port 414. Gas supplied to the plasma generation space 412 may flow into the processing space 212 through the shower head 250.

가스 공급 유닛(420)은 공정 가스 또는 산소 포함 가스를 공급할 수 있다. 가스 공급 유닛(420)은 가스 공급 포트(414)와 연결될 수 있다. 가스 공급 유닛(420)이 공급하는 공정 가스는 플루오린(F; Fluorine)을 포함할 수 있다.The gas supply unit 420 may supply process gas or oxygen-containing gas. The gas supply unit 420 may be connected to the gas supply port 414. The process gas supplied by the gas supply unit 420 may contain fluorine (F).

가스 공급 유닛(420)은 메인 공급 라인(421)을 포함한다. 메인 공급 라인(421)의 일단은 가스 공급 포트(414)와 연결된다. 메인 공급 라인(421)의 타단은 제1 가스 공급 라인(429a)을 통해 제1 가스 공급원(429)과 연결된다. 또한, 메인 공급 라인(421)의 타단은 제2 가스 공급 라인(428a)을 통해 제2 가스 공급원(428)과 연결된다. 또한, 메인 공급 라인(421)의 타단은 제3 가스 공급 라인(427a)을 통해 제3 가스 공급원(428)과 연결된다. 또한, 메인 공급 라인(421)의 타단은 제4 가스 공급 라인(427a)을 통해 제4 가스 공급원(427)과 연결된다.The gas supply unit 420 includes a main supply line 421. One end of the main supply line 421 is connected to the gas supply port 414. The other end of the main supply line 421 is connected to the first gas supply source 429 through the first gas supply line 429a. Additionally, the other end of the main supply line 421 is connected to the second gas supply source 428 through the second gas supply line 428a. Additionally, the other end of the main supply line 421 is connected to the third gas supply source 428 through the third gas supply line 427a. Additionally, the other end of the main supply line 421 is connected to the fourth gas supply source 427 through the fourth gas supply line 427a.

제1 가스 공급원(429)은 플라즈마 발생 공간(412)으로 제1 가스를 공급할 수 있다. 일 예에 의하면, 제1 가스 공급원(429)은 제1 가스를 저장할 수 있다. 제2 가스 공급원(428)은 플라즈마 발생 공간(412)으로 제2 가스를 공급할 수 있다. 일 예에 의하면, 제3 가스 공급원(427)은 제3 가스를 저장할 수 있다. 제4 가스 공급원(426)은 플라즈마 발생 공간(412)으로 제4 가스를 공급할 수 있다. 일 예에 의하면, 제1 가스는 산소 포함 가스로서, O2이고, 제2 가스는 NF3이고, 제3 가스는 N2이고, 제4 가스는 H2일 수 있다. 제2 가스, 제3 가스 그리고 제4 가스는 혼합되어 공정 가스로 공급될 수 있다.The first gas source 429 may supply the first gas to the plasma generation space 412. According to one example, the first gas source 429 may store the first gas. The second gas source 428 may supply the second gas to the plasma generation space 412. According to one example, the third gas source 427 may store third gas. The fourth gas source 426 may supply the fourth gas to the plasma generation space 412. According to one example, the first gas is an oxygen-containing gas and may be O 2 , the second gas may be NF 3 , the third gas may be N 2 , and the fourth gas may be H 2 . The second gas, third gas, and fourth gas may be mixed and supplied as process gas.

메인 공급 라인(421)에는 메인 밸브(421b)가 설치된다. 제1 가스 공급 라인(429a)에는 제1 밸브(429b)가 설치된다. 제2 가스 공급 라인(428a)에는 제2 밸브(428b)가 설치된다. 제3 가스 공급 라인(427a)에는 제3 밸브(427b)가 설치된다. 제4 가스 공급 라인(426a)에는 제4 밸브(426b)가 설치된다. 메인 밸브(421b), 제1 밸브(429b), 제2 밸브(428b), 제3 밸브(427b), 제4 밸브(426b)는 플라즈마 발생 공간(412)으로 공급하는 가스의 단위 시간당 공급 유량을 조절할 수 있도록 유량 조절 밸브로 제공될 수 있다. 그러나 이에 한정되는 것은 아니며 공지된 다양한 밸브들로 변형될 수 있다.A main valve 421b is installed in the main supply line 421. A first valve 429b is installed in the first gas supply line 429a. A second valve 428b is installed in the second gas supply line 428a. A third valve 427b is installed in the third gas supply line 427a. A fourth valve 426b is installed in the fourth gas supply line 426a. The main valve 421b, the first valve 429b, the second valve 428b, the third valve 427b, and the fourth valve 426b adjust the supply flow rate per unit time of the gas supplied to the plasma generation space 412. It may be provided with a flow control valve to allow adjustment. However, it is not limited to this and can be modified into various known valves.

전력 인가 유닛(430)은 플라즈마 발생 공간(412)에 고주파 전력을 인가한다. 전력 인가 유닛(430)은 플라즈마 발생 공간(412)에서 공정 가스를 여기하여 플라즈마를 발생시키는 플라즈마 소스 어플리케이터(plasma source applicator)일 수 있다. 전력 인가 유닛(430)은 안테나(432), 전원(434)을 포함할 수 있다.The power application unit 430 applies high frequency power to the plasma generation space 412. The power application unit 430 may be a plasma source applicator that generates plasma by exciting a process gas in the plasma generation space 412. The power applying unit 430 may include an antenna 432 and a power source 434.

안테나(432)는 유도 결합형 플라즈마(ICP) 안테나일 수 있다. 도 6을 통해 참조되듯, 안테나(432)는 코일 형상으로 제공될 수 있다. 안테나(432)는 플라즈마 챔버(410) 외부에서 플라즈마 챔버(410)에 복수 회 감길 수 있다. 안테나(432)는 플라즈마 챔버(410)의 외부에서 나선 형으로 플라즈마 챔버(410)에 복수 회 감길 수 있다. 안테나(432)는 플라즈마 발생 공간(412)에 대응하는 영역에서 플라즈마 챔버(410)에 감길 수 있다. 안테나(432)의 일단은 플라즈마 챔버(410)의 정단면에서 바라볼 때, 플라즈마 챔버(410)의 상부 영역과 대응되는 높이에 제공될 수 있다. 안테나(432)의 타단은 플라즈마 챔버(410)의 정단면에서 바라볼 때, 플라즈마 챔버(410)의 하부 영역과 대응되는 높이에 제공될 수 있다.Antenna 432 may be an inductively coupled plasma (ICP) antenna. As referenced through FIG. 6, the antenna 432 may be provided in a coil shape. The antenna 432 may be wound around the plasma chamber 410 multiple times from outside the plasma chamber 410 . The antenna 432 may be wound around the plasma chamber 410 in a spiral shape multiple times outside the plasma chamber 410. The antenna 432 may be wound around the plasma chamber 410 in an area corresponding to the plasma generation space 412. One end of the antenna 432 may be provided at a height corresponding to the upper area of the plasma chamber 410 when viewed from the front end of the plasma chamber 410. The other end of the antenna 432 may be provided at a height corresponding to the lower area of the plasma chamber 410 when viewed from the top section of the plasma chamber 410.

패러데이 실드(415)는 플라즈마 챔버(410)를 감싸도록 제공된다. 패러데이 실드(415)는 플라즈마 챔버(410)와 안테나(432)의 사이에 제공된다. 도 5와 같이, 패러데이 실드(415)는 상부 및 하부가 개방된 중공의 실린더 형상을 가진다. 패러데이 실드(415)의 측면에는 복수개의 개구(415a)가 형성된다. 일 예에 의하면, 개구(415a)는 상하방향으로 연장되는 슬릿 형상을 가질 수 있다. 개구(415a)의 상단과 하단은 라운드질 수 있다. 상술한 형상의 개구(415a)는 패러데이 실드(415)의 측면을 따라 이격하여 복수개 형성된다. 패러데이 실드(415)는 도전성 재질로 제공될 수 있다. 일 예로, 패러데이 실드(415)는 구리 재질로 제공될 수 있다. 패러데이 실드(415)는 접지된다. 고주파 전원이 인가된 안테나(432)에는 유도 결합형 플라스마와 함께 용량 결합형 플라스마가 발생할 수 있다. 패러데이 실드(415)는 안테나(432)에서 발생하는 축방향 전기장을 전기적으로 단락시켜 용량 결합형 플라스마의 발생을 억제한다. 또한, 패러데이 실드(415)는 안테나(432)에서 발생하는 축방향 전기장을 전기적으로 단락시켜 스퍼터링 발생을 억제시킨다. 이에 의해, 스퍼터링에 따른 플라즈마 챔버(410)의 내부 손상 및 파티클 발생을 억제할 수 있다. 그러나, 패러데이 실드(415)를 적용한다고 하더라도, 스퍼터링 발생을 완전히 억제하기는 어려움에 따라, 도 2에서 설명한 AlF의 증착이 발생할 수 있다. 이에, 후술하는 플라즈마 챔버의 세정 단계의 필요성이 있으며, 후술하는 세정 단계를 통해 기판(W)으로 낙하되는 파티클을 감소시킬 수 있다. The Faraday shield 415 is provided to surround the plasma chamber 410. The Faraday shield 415 is provided between the plasma chamber 410 and the antenna 432. As shown in FIG. 5, the Faraday shield 415 has a hollow cylinder shape with open top and bottom. A plurality of openings 415a are formed on the side of the Faraday shield 415. According to one example, the opening 415a may have a slit shape extending in the vertical direction. The top and bottom of the opening 415a may be rounded. A plurality of openings 415a of the above-described shape are formed spaced apart along the side surface of the Faraday shield 415. The Faraday shield 415 may be made of a conductive material. As an example, the Faraday shield 415 may be made of copper. The Faraday shield 415 is grounded. Capacitively coupled plasma as well as inductively coupled plasma may be generated in the antenna 432 to which high frequency power is applied. The Faraday shield 415 suppresses the generation of capacitively coupled plasma by electrically short-circuiting the axial electric field generated from the antenna 432. Additionally, the Faraday shield 415 suppresses sputtering by electrically short-circuiting the axial electric field generated from the antenna 432. As a result, internal damage and particle generation of the plasma chamber 410 due to sputtering can be suppressed. However, even if the Faraday shield 415 is applied, it is difficult to completely suppress sputtering, and AlF deposition as described in FIG. 2 may occur. Accordingly, there is a need for a cleaning step of the plasma chamber, which will be described later, and particles falling on the substrate W can be reduced through the cleaning step, which will be described later.

전원(434)은 안테나(432)에 전력을 인가할 수 있다. 전원(434)은 안테나(432)에 고주파 교류 전류를 인가할 수 있다. 교류 전류는 13.56MHz일 수 있다. 전원(434)에 인가되는 전력의 크기는 제어기(미도시)에 의해 제어될 수 있다. 안테나(432)에 인가된 고주파 교류 전류는 플라즈마 발생 공간(412)에 유도 전기장을 형성할 수 있다. 플라즈마 발생 공간(412) 내로 공급되는 공정 가스는 유도 전기장으로부터 이온화에 필요한 에너지를 얻어 플라즈마 상태로 변환될 수 있다. 또한, 전원(434)은 안테나(432)의 일단에 연결될 수 있다. 전원(434)은 플라즈마 챔버(410)의 상부 영역과 대응되는 높이에 제공되는 안테나(432)의 일단에 연결될 수 있다. 또한, 안테나(432)의 타단은 접지될 수 있다. 플라즈마 챔버(410)의 하부 영역과 대응되는 높이에 제공되는 안테나(432)의 타단은 접지될 수 있다. 그러나, 이에 한정되는 것은 아니고 안테나(432)의 타단에 전원(434)이 연결되고 안테나(432)의 일단이 접지될 수도 있다.The power source 434 may apply power to the antenna 432. The power source 434 may apply high-frequency alternating current to the antenna 432. Alternating current may be 13.56 MHz. The amount of power applied to the power source 434 may be controlled by a controller (not shown). The high-frequency alternating current applied to the antenna 432 may form an induced electric field in the plasma generation space 412. The process gas supplied into the plasma generation space 412 may be converted into a plasma state by obtaining energy required for ionization from the induced electric field. Additionally, the power source 434 may be connected to one end of the antenna 432. The power source 434 may be connected to one end of the antenna 432 provided at a height corresponding to the upper area of the plasma chamber 410. Additionally, the other end of the antenna 432 may be grounded. The other end of the antenna 432 provided at a height corresponding to the lower area of the plasma chamber 410 may be grounded. However, the present invention is not limited to this, and the power source 434 may be connected to the other end of the antenna 432 and one end of the antenna 432 may be grounded.

확산 챔버(440)는 플라즈마 챔버(410)에서 발생된 플라즈마를 확산시킬 수 있다. 확산 챔버(440)는 플라즈마 챔버(410)의 하부에 배치될 수 있다. 확산 챔버(440)는 상부와 하부가 개방된 형상을 가질 수 있다. 확산 챔버(440)는 역 깔대기 형상을 가질 수 있다. 확산 챔버(440)의 상단은 플라즈마 챔버(410)와 대응되는 직경을 가질 수 있다. 확산 챔버(440)의 하단은 확산 챔버(440)의 상단보다 큰 직경을 가질 수 있다. 확산 챔버(440)는 상단에서 하단으로 갈수록 그 직경이 커질 수 있다. 또한, 확산 챔버(440)는 확산 공간(442)을 가질 수 있다. 플라즈마 발생 공간(412)에서 발생된 플라즈마는 확산 공간(442)을 거치면서 확산될 수 있다. 확산 공간(442)으로 유입된 플라즈마는 샤워 헤드(250)를 거쳐 처리 공간(412)으로 유입될 수 있다.The diffusion chamber 440 may diffuse the plasma generated in the plasma chamber 410. The diffusion chamber 440 may be disposed below the plasma chamber 410. The diffusion chamber 440 may have an open top and bottom shape. Diffusion chamber 440 may have an inverted funnel shape. The top of the diffusion chamber 440 may have a diameter corresponding to that of the plasma chamber 410. The lower end of the diffusion chamber 440 may have a larger diameter than the upper end of the diffusion chamber 440. The diameter of the diffusion chamber 440 may increase from top to bottom. Additionally, the diffusion chamber 440 may have a diffusion space 442 . Plasma generated in the plasma generation space 412 may diffuse through the diffusion space 442. Plasma flowing into the diffusion space 442 may flow into the processing space 412 through the shower head 250.

배기부(600)는 공정 처리부(200) 내부의 분위기, 공정 가스 및/또는 불순물을 외부로 배기할 수 있다. 배기부(600)는 공정 처리부(200) 내부의 분위기를 외부로 배기하여 처리 공간(212)의 압력을 조절할 수 있다. 배기부(600)는 기판(W) 처리 과정에서 발생하는 불순물을 기판 처리 장치(1000)의 외부로 배기할 수 있다. 배기부(600)는 처리 공간(212) 내로 공급된 공정 가스를 외부로 배기할 수 있다. 배기부(600)는 배기 라인(602), 그리고 감압 부재(604)를 포함할 수 있다. 배기 라인(602)은 하우징(210)의 바닥면에 형성된 배기홀(214)과 연결될 수 있다. 또한, 배기 라인(602)은 감압을 제공하는 감압 부재(604)와 연결될 수 있다. 이에, 감압 부재(604)는 처리 공간(212)에 감압을 제공할 수 있다. 감압 부재(604)는 진공 펌프 일 수 있다. 감압 부재(604)는 처리 공간(212)에 잔류하는 플라즈마 및 불순물을 하우징(210)의 외부로 배출할 수 있다. 또한, 감압 부재(604)는 처리 공간(212)의 압력을 기 설정된 압력으로 유지하도록 감압을 제공할 수 있다.The exhaust unit 600 may exhaust the atmosphere, process gas, and/or impurities inside the process processing unit 200 to the outside. The exhaust unit 600 may control the pressure of the processing space 212 by exhausting the atmosphere inside the process processing unit 200 to the outside. The exhaust unit 600 may exhaust impurities generated during the processing of the substrate W to the outside of the substrate processing apparatus 1000. The exhaust unit 600 may exhaust the process gas supplied into the processing space 212 to the outside. The exhaust unit 600 may include an exhaust line 602 and a pressure reducing member 604. The exhaust line 602 may be connected to the exhaust hole 214 formed on the bottom of the housing 210. Additionally, the exhaust line 602 may be connected to a pressure relief member 604 that provides reduced pressure. Accordingly, the pressure reducing member 604 may provide reduced pressure to the processing space 212 . The pressure reducing member 604 may be a vacuum pump. The pressure reducing member 604 may discharge plasma and impurities remaining in the processing space 212 to the outside of the housing 210 . Additionally, the pressure reducing member 604 may provide reduced pressure to maintain the pressure of the processing space 212 at a preset pressure.

제어기(미도시)는 기판 처리 장치를 제어할 수 있다. 제어기(미도시)는 상술하는 바와 같이 기판을 설정 공정에 따라 처리되도록 공정 챔버(260)의 구성 요소들을 제어할 수 있다. 또한, 제어기(미도시)는 기판 처리 장치의 제어를 실행하는 마이크로프로세서(컴퓨터)로 이루어지는 프로세스 컨트롤러와, 오퍼레이터가 기판 처리 장치를 관리하기 위해서 커맨드 입력 조작 등을 행하는 키보드나, 기판 처리 장치의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스와, 기판 처리 장치에서 실행되는 처리를 프로세스 컨트롤러의 제어로 실행하기 위한 제어 프로그램이나, 각종 데이터 및 처리 조건에 따라 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 처리 레시피가 저장된 기억부를 구비할 수 있다. 또한, 유저 인터페이스 및 기억부는 프로세스 컨트롤러에 접속되어 있을 수 있다. 처리 레시피는 기억 부 중 기억 매체에 기억되어 있을 수 있고, 기억 매체는, 하드 디스크이어도 되고, CD-ROM, DVD 등의 가반성 디스크나, 플래시 메모리 등의 반도체 메모리 일 수도 있다.A controller (not shown) may control the substrate processing device. As described above, a controller (not shown) may control the components of the process chamber 260 so that the substrate is processed according to a set process. In addition, the controller (not shown) includes a process controller consisting of a microprocessor (computer) that controls the substrate processing device, a keyboard that allows the operator to input commands to manage the substrate processing device, and a device that operates the substrate processing device. A user interface consisting of a display that visualizes and displays the situation, a control program for executing the processing performed in the substrate processing device under the control of the process controller, and a control program for executing processing in each component according to various data and processing conditions. A memory unit in which a program, that is, a processing recipe, is stored may be provided. Additionally, the user interface and storage may be connected to the process controller. The processing recipe may be stored in a storage medium in the storage unit, and the storage medium may be a hard disk, a portable disk such as a CD-ROM or DVD, or a semiconductor memory such as a flash memory.

도 7은 본 발명의 실시 예에 따른 처리에 의할 때, 플라즈마 챔버(410)의 내벽 표면에서 나타나는 반응을 개략적으로 나타내는 도면이다. 본 발명의 실시 예에 의하면, 플라즈마 챔버(410)의 내벽은 공정 가스에 포함된 F(Fluorine)성분으로 인해 플라즈마 챔버(410)의 내벽에 도출된 Al2O3와 반응하여, AlF(s)가 증착(deposition)되어 오염된 상태가 된다. 증착된 AlF는 산소 포함 가스(예로, O2)의 플라즈마와 반응하면서 AlF가 일부 떨어지면서 파티클(Particle)과 Al2O3를 형성하며, O2 gas를 계속 사용하면서 반응을 일으켜주면 AlF layer위에 Al2O3를 형성하여 더 이상의 반응으로 인한 AlF 파티클 이 발생하지 않는다. 따라서, 후술하는 바와 같이 샤워 헤드 형상과 유사하게 기판(W) 상에 분포되는 파티클을 제어할 수 있게 되고, 이는 반도체 제조 생산에서 수율 향상이 가능하다.FIG. 7 is a diagram schematically showing the reaction that occurs on the inner wall surface of the plasma chamber 410 when processing according to an embodiment of the present invention. According to an embodiment of the present invention, the inner wall of the plasma chamber 410 reacts with Al 2 O 3 derived from the inner wall of the plasma chamber 410 due to the F (Fluorine) component contained in the process gas, producing AlF(s). is deposited and becomes contaminated. The deposited AlF reacts with the plasma of oxygen-containing gas (e.g., O 2 ), and some of the AlF falls off to form particles and Al 2 O 3 . If the reaction occurs while continuing to use O 2 gas, Al is formed on the AlF layer. 2 O 3 is formed and no further AlF particles are generated from the reaction. Therefore, as will be described later, it is possible to control particles distributed on the substrate W similar to the shower head shape, which can improve yield in semiconductor manufacturing production.

도 8 내지 도 10은 순서대로 본 발명의 일 실시 예에 따른 기판 처리 장치의 운용 방법에 따른 운용 방법을 나타내는 도면이다. 도 11은 본 발명의 일 실시 예에 따른 기판 처리 장치의 운용 방법을 나타내는 플로우 차트이다. 도 11의 플로우 차트와 함께, 도 8 내지 도 10을 참조하여, 본 발명의 실시 예에 따른 기판 처리 장치의 운용 방법을 설명한다.8 to 10 are diagrams sequentially showing an operation method of a substrate processing apparatus according to an embodiment of the present invention. 11 is a flow chart showing a method of operating a substrate processing apparatus according to an embodiment of the present invention. A method of operating a substrate processing apparatus according to an embodiment of the present invention will be described with reference to FIGS. 8 to 10 along with the flow chart of FIG. 11.

도 8을 참조하면, 처리 공간(212)은 제1 진공 분위기로 제공된다(S110), 제1 진공 분위기는 약 10mTorr로 제공된다. 예컨대, 제1 진공 분위기는 10mTorr에 대하여 ±5% 범위로 제공된다. 제1 진공 분위기는 트랜스퍼 챔버(50)의 제2 이송로봇(53)이 제공되는 공간과 동일한 조건일 수 있다. Referring to FIG. 8, the processing space 212 is provided with a first vacuum atmosphere (S110). The first vacuum atmosphere is provided at about 10 mTorr. For example, the first vacuum atmosphere is provided in a ±5% range for 10 mTorr. The first vacuum atmosphere may be under the same conditions as the space in which the second transfer robot 53 of the transfer chamber 50 is provided.

도 8을 계속하여 참조하면, 제어기(미도시)는 제1 진공 분위기로 제공되는 처리 공간(212)에 기판(W)이 없는 상태에서 제1 가스로써 O2가스를 플라즈마 발생 공간으로 공급한다(S120). O2가스의 공급을 위해 메인 밸브(421b)와 제1 밸브(429a)를 개방 상태로 한다. O2가스의 공급은 제1 유량으로 공급될 수 있다. 제1 유량은 500sccm일 수 있다. 그리고, 제1 유량은 500sccm에 대하여 ±5% 오차 범위로 제공된다. O2가스의 도입 초기에는 플라즈마 소스의 전원(434)을 Off상태로 제어한다. Continuing to refer to FIG. 8, the controller (not shown) supplies O 2 gas as the first gas to the plasma generation space in the absence of the substrate W in the processing space 212 provided as a first vacuum atmosphere ( S120). To supply O 2 gas, the main valve 421b and the first valve 429a are opened. The supply of O 2 gas may be supplied at a first flow rate. The first flow rate may be 500 sccm. And, the first flow rate is provided with an error range of ±5% for 500 sccm. At the beginning of introduction of O 2 gas, the power source 434 of the plasma source is controlled to be turned off.

도 9를 참조하면, S120단계를 시작하고 제1 시간이 경과한 후, 제어기(미도시)는 O2 가스의 공급을 유지하면서, 플라즈마 소스의 전원(434)을 On상태로 한다(S130). 제1 시간은 처리 공간(212)이 제2 진공 분위기에 이르는 시간이다. 제2 진공 분위기는 약 500mTorr로 제공된다. 예컨대, 제2 진공 분위기는 약 500mTorr에 대하여 5% 범위로 제공된다. 일 예로, 제1 시간은 10초 이하일 수 있다. 예컨대, 제1 시간은 5초 이상 10초 이하일 수 있다. 플라즈마 소스의 전원(434)은 제2 시간동안 On상태로 제어한다. S130단계에서 전원(434)은 2500W의 전력을 공급하도록 제어된다. S130단계에서 전원(434)이 공급하는 전력은 후술하는 S220단계에서 기판의 공정 처리를 위해 공정 가스를 플라즈마로 여기하는 전력보다 높다. S130단계에서 전원(434)을 2500W의 전력을 공급하도록 제어하여 O2가스와 AlF 증착물의 반응성을 높인다. 제2 시간은 5초 내외의 시간이다. 본 발명의 발명자들은 5초 내외로 전원(434)을 On 상태로 제어할 경우, 플라즈마가 안정화되고, 산소 라디칼(O*) 및/또는 O2에 의한 영향이 플라즈마 챔버 내벽의 AlF 증착물 제거 목적을 달성하기에 충분한 것으로 파악하였다. 그러나, 5초 내외보다 짧은 시간, 예컨대 4초 정도의 시간동안 전원(434)을 On 상태로 제어할 경우, AlF 증착물 제거 목적이 충분히 달성되지 않았으며, 5초 내외보다 긴 시간, 예컨대 6초 이상의 시간동안 전원(434)을 On 상태로 제어할 경우, 산소 라디칼(O*) 및/또는 O2에 의한 영향이 AlF 증착물 제거를 넘어 다른 부품의 변질을 초래함에 따라, 후속 처리되는 기판(W)에 예기치 못하는 파티클이 발생될 수 있는 것으로 파악한다.Referring to FIG. 9, after starting step S120 and the first time has elapsed, the controller (not shown) turns on the power source 434 of the plasma source while maintaining the supply of O 2 gas (S130). The first time is the time when the processing space 212 reaches the second vacuum atmosphere. The second vacuum atmosphere is provided at approximately 500 mTorr. For example, the second vacuum atmosphere is provided in a range of 5% for about 500 mTorr. As an example, the first time may be 10 seconds or less. For example, the first time may be 5 seconds or more and 10 seconds or less. The power source 434 of the plasma source is controlled to be on for the second time. In step S130, the power source 434 is controlled to supply 2500W of power. The power supplied by the power source 434 in step S130 is higher than the power that excites the process gas into plasma for processing the substrate in step S220, which will be described later. In step S130, the power source 434 is controlled to supply 2500 W of power to increase the reactivity of the O 2 gas and the AlF deposit. The second time is about 5 seconds. The inventors of the present invention found that when the power source 434 is controlled to the On state for about 5 seconds, the plasma is stabilized and the influence of oxygen radicals (O*) and/or O 2 is removed for the purpose of removing AlF deposits on the inner wall of the plasma chamber. It was found to be sufficient to achieve this. However, when the power source 434 is controlled to be on for a time shorter than about 5 seconds, for example, about 4 seconds, the purpose of removing AlF deposits is not sufficiently achieved, and when the power source 434 is controlled to be on for a time shorter than about 5 seconds, for example, about 6 seconds or more, the purpose of removing AlF deposits is not sufficiently achieved. When the power source 434 is controlled to be on for a period of time, the influence of oxygen radicals (O*) and/or O 2 goes beyond the removal of AlF deposits and causes deterioration of other components, resulting in the subsequent processing of the substrate (W). It is understood that unexpected particles may be generated.

S130 단계 이후, 제어기(미도시)는 플라즈마 소스의 전원(434)을 Off하도록 제어하고, 제1 밸브(429b)를 닫아 O2 가스의 공급을 중단한다(S140). 플라즈마 소스의 전원(434)을 Off 동작과 제1 밸브(429b)의 폐쇄 동작은 동시에 또는 순차적으로 행해질 수 있다. After step S130, the controller (not shown) controls the power source 434 of the plasma source to be turned off and closes the first valve 429b to stop supply of O 2 gas (S140). Turning off the power source 434 of the plasma source and closing the first valve 429b may be performed simultaneously or sequentially.

S140단계 이후, 제어기(미도시)는 처리 공간(212) 내부의 분위기를 제3 시간 이상 펌핑하여 처리 공간(212) 내부를 제1 진공 분위기로 복귀시킨다(S150). 제3 시간은 60초 내외로 제공될 수 있다. 제3 시간은 처리 공간(212) 내부를 제1 진공 분위기로 복귀되기에 충분한 시간이며, 제3 시간이 길어질수록 단위 시간당 생산량은 감소할 수 있으므로, 적절하게 조절될 수 있다. 처리 공간(212)이 제1 진공 분위기로 복귀되면서, 처리 공간(212)의 내부에 잔존하는 O2 가스 및/또는 산소 플라즈마와, 파티클들이 처리 공간(212)의 외부로 배출될 수 있다. After step S140, the controller (not shown) returns the inside of the processing space 212 to the first vacuum atmosphere by pumping the atmosphere inside the processing space 212 for a third time or more (S150). The third time may be provided around 60 seconds. The third time is sufficient to return the inside of the processing space 212 to the first vacuum atmosphere. As the third time increases, the production volume per unit time may decrease, so it can be adjusted appropriately. As the processing space 212 returns to the first vacuum atmosphere, the O 2 gas and/or oxygen plasma and particles remaining inside the processing space 212 may be discharged to the outside of the processing space 212 .

도 10을 참조한다. S140단계 이후, 제어기(미도시)는 기판(W)을 처리 공간(212)으로 반입한다(S210). 처리 공간(212)에 반입된 기판(W)은 공정 가스로부터 여기된 플라즈마로 세정 처리된다(S220). 공정 가스는 F를 포함하는 가스이다. 공정 가스는 제2 가스, 제3 가스 및 제4 가스의 혼합 가스일 수 있다. 예컨대, 공정 가스는 NF3, N2 및 H2의 혼합 가스이다. 제2 밸브(428b), 제3 밸브(427b), 제4 밸브(426b)를 개방하여 공정 가스를 공급하면서, 전원(434)을 On상태로 제어하여, 공정 가스를 플라즈마로 여기한다. S220단계에서 전원(434)의 전력은 1200W로 제어될 수 있다. 여기된 플라즈마는 기판(W)을 세정한다.See Figure 10. After step S140, the controller (not shown) brings the substrate W into the processing space 212 (S210). The substrate W brought into the processing space 212 is cleaned with plasma excited from the process gas (S220). The process gas is a gas containing F. The process gas may be a mixed gas of a second gas, a third gas, and a fourth gas. For example, the process gas is a mixed gas of NF 3 , N 2 and H 2 . The second valve 428b, third valve 427b, and fourth valve 426b are opened to supply process gas, and the power source 434 is controlled to be turned on to excite the process gas into plasma. In step S220, the power of the power source 434 can be controlled to 1200W. The excited plasma cleans the substrate W.

기판(W)에 대한 세정 처리가 완료되면, 공정 가스의 공급을 중단하고, 처리된 기판(W)을 처리 공간(212)에서 반출한다(S230). 기판(W)이 처리되고, 하나의 LOT의 속하는 기판(W)들의 처리가 모두 완료되면, 다시 S110부터 S150의 단계를 수행한다. 기판(W)이 처리되고, 하나의 LOT의 속하는 기판(W)들의 처리가 모두 완료된 것이 아니면, 하나의 LOT에 속하는 다음 기판(W)에 대하여 S210부터 S230의 단계를 수행한다.When the cleaning process for the substrate W is completed, the supply of process gas is stopped, and the processed substrate W is taken out of the processing space 212 (S230). When the substrate W is processed and the processing of all substrates W belonging to one LOT is completed, steps S110 to S150 are performed again. If the substrate W is processed and the processing of all substrates W belonging to one LOT is not completed, steps S210 to S230 are performed for the next substrate W belonging to one LOT.

본 발명의 실시 예에 있어서, 산소 포함 가스는 O3일 수도 있다. 그러나, O3의 단가, O3적용을 위한 설비 구성의 복잡성 등을 고려할 때, 상술한 설명에서의 실시예와 같이 O2가스를 적용하는 것이 효율적이다.In an embodiment of the present invention, the oxygen-containing gas may be O 3 . However, the unit price of O 3 , for O 3 application Considering the complexity of the equipment configuration, etc., it is efficient to apply O 2 gas as in the embodiment in the above description.

본 발명의 실시 예에 의하면, AlF 증착물의 제거에 있어서, 수소(H)를 포함하지 않고도 충분한 세정 효과를 얻을 수 있다. 본 발명의 실시 예는, 에너지가 강한 영역인 플라즈마 챔버의 내벽에 발생한 증착물을 제거함으로써, 기판(W)에 낙하하는 파티클을 제어하는 단계를 포함한다. 본 발명의 실시 예에 의하면, 플라즈마 챔버의 내벽에서도 에너지가 강한 영역에 증착된 AlF를 제거하는 것임에 따라, AlF가 증착된 영역은 산소 플라즈마와 반응성 역시도 높게 나타나는 영역이므로, 수소(H)를 포함하지 않고도 충분한 세정효과를 얻을 수 있는 것으로 본 발명의 발명자들은 추정한다. 이는 일반적으로 AlF의 제거에 수소(H)가 필요하다고 생각되는 것과는 상이하다.According to an embodiment of the present invention, when removing AlF deposits, a sufficient cleaning effect can be obtained without including hydrogen (H). An embodiment of the present invention includes controlling particles falling on the substrate W by removing deposits that have formed on the inner wall of the plasma chamber, which is an area of high energy. According to an embodiment of the present invention, AlF deposited in an area with high energy is removed from the inner wall of the plasma chamber, and the area where AlF is deposited is an area that is also highly reactive with oxygen plasma, so it contains hydrogen (H). The inventors of the present invention estimate that a sufficient cleaning effect can be obtained without doing so. This is different from the general belief that hydrogen (H) is required for removal of AlF.

도 12는 본 발명의 일 실시 예에 따라 기판을 처리하였을 때, 플라즈마 챔버의 내벽 표면의 상태와, 그 비교예를 나타낸 비교표이다. 비교예를 통해 살펴지는 바와 같이, 검은색으로 보이는 것이 F로 인해 Source applicator가 제공되는 부분에서 증착된 AlF이다. 공정 처리에 따라 사용시간이 증가할수록 점점 AlF가 검고 진하게 변하는 것을 확인 가능하다. Figure 12 is a comparison table showing the state of the inner wall surface of the plasma chamber when the substrate is processed according to an embodiment of the present invention and a comparative example thereof. As seen through comparative examples, what appears in black is AlF deposited in the area where the source applicator is provided due to F. It can be seen that AlF gradually turns black and dark as the usage time increases depending on the process.

그러나, 실시예와 같이, 하나의 LOT에 대한 처리 전에 산소 포함 가스 및 이로부터 여기된 플라즈마를 적용하면, 사용시간이 200시간으로 누적되더라도 AlF가 증착되는 부분이 검게 변하는 현상이 현저히 억제되는 것을 확인 가능하다. 이는 도 7을 통해 설명되는 바와 같이 AlF가 증착되는 부분이 산소와의 반응을 통해 Al2O3를 형성함으로써, 육안으로도 확인할 수 있을 정도로 백화되는 것으로 본 발명자들은 파악한다.However, as in the example, when oxygen-containing gas and plasma excited therefrom were applied before processing one LOT, it was confirmed that the phenomenon of blackening of the AlF deposited area was significantly suppressed even if the use time was accumulated to 200 hours. possible. As explained in FIG. 7, the present inventors understand that the area where AlF is deposited forms Al 2 O 3 through a reaction with oxygen, thereby whitening to the extent that it can be seen with the naked eye.

도 13은 본 발명의 일 실시 예에 따라 기판을 처리하였을 때, 기판 표면의 파티클의 양 및 그 분포를 나타내는 파티클맵과, 그 비교예를 나타낸 비교표이다.Figure 13 is a particle map showing the amount and distribution of particles on the surface of the substrate when the substrate is processed according to an embodiment of the present invention, and a comparison table showing a comparative example thereof.

비교예로 표기된 것은 종래와 같이 하나의 LOT의 기판들을 처리 후 플라즈마 챔버(410)의 내벽 세정 공정을 수행하지 않고, 다음 LOT의 기판들을 처리한 실험 결과이며, 실시예로 표기된 것은 하나의 LOT의 기판들을 처리 후 플라즈마 챔버(410)의 내벽을 본 발명의 실시예와 같이 세정 공정을 수행한 뒤, 다음 LOT의 기판들을 처리한 실험 결과이다.What is indicated as a comparative example is the result of an experiment in which one lot of substrates was processed as in the past and then the next lot of substrates were processed without performing the inner wall cleaning process of the plasma chamber 410, and what is indicated as an example is the experimental result of one lot of substrates. This is the result of an experiment in which, after processing the substrates, the inner wall of the plasma chamber 410 was cleaned as in an embodiment of the present invention, and then the next LOT of substrates was processed.

St 1은 제1 프로세스 챔버에서 처리되는 기판들이며, St 2는 제2 프로세스 챔버에서 처리되는 기판들이다. #1은 하나의 LOT에서 첫번째 기판을 의미하며, #2는 두번째 기판을. #11은 11번째 기판을, #12는 12번째 기판을, #23은 23번째 기판을, #24는 24번째 기판을 의미한다.St 1 is the substrate processed in the first process chamber, and St 2 is the substrate processed in the second process chamber. #1 refers to the first board in one lot, and #2 refers to the second board. #11 means the 11th board, #12 means the 12th board, #23 means the 23rd board, and #24 means the 24th board.

표에서 첫번째 행을 살피면, 비교예에 따른 제1 LOT의 처리시에 파티클 개수가 많고 파티클이 집중되는 영역이 존재하는 것을 확인할 수 있다. 그러나, 두번째 행부터 네번째 행을 살피면, 비교예에 따른 제1 LOT 내지 제3 LOT의 처리시 파티클 개수가 비교예보다 현저히 적고, 파티클이 집중되는 영역이 없는 것을 확인할 수 있다. 실험 예는, 제1 LOT와 제2 LOT 사이에 실시예와 같이 플라즈마 챔버 내벽 세정 공정을 수행하였으며, 제2 LOT와 제3 LOT의 사이에 실시예와 같이 플라즈마 챔버 내벽 세정 공정을 수행하고, 제1 LOT 내지 제3 LOT의 처리를 연속적으로 수행한 것이다.Looking at the first row in the table, it can be seen that when processing the first LOT according to the comparative example, there is a large number of particles and an area where the particles are concentrated. However, looking at the second to fourth rows, it can be seen that when processing the first to third LOTs according to the comparative example, the number of particles is significantly smaller than that of the comparative example, and there is no area where particles are concentrated. In an experimental example, a plasma chamber inner wall cleaning process was performed between the first LOT and the second LOT as in the embodiment, and a plasma chamber inner wall cleaning process was performed between the second LOT and the third LOT as in the embodiment. Processing of 1 LOT to 3 LOT was performed continuously.

다섯번째 행의 실험은, 실시 예에 따른 제3 LOT의 처리 이후에, 플라즈마 챔버 내벽 세정 공정을 진행하지 않음으로써, 재현성을 확인한 것이다. 제3 LOT의 처리 이후에 제4 LOT의 처리가 진행되었다. 다섯번째 행을 살피면, 비교예에 따른 제4 LOT의 처리시에 파티클의 개수가 많고, 파티클이 집중되는 영역이 존재하는 것을 확인할 수 있다. The experiment in the fifth row confirms reproducibility by not performing the plasma chamber inner wall cleaning process after processing the third LOT according to the embodiment. After processing the third LOT, processing of the fourth LOT proceeded. Looking at the fifth row, it can be seen that when processing the fourth LOT according to the comparative example, the number of particles is large and there is an area where the particles are concentrated.

실험을 통해 살펴지는 바와 같이, 본 발명의 실시 예에 의하면, 하나의 LOT 처리 이후, 다음 LOT의 기판들의 처리 이전에 약 75초 정도의 플라즈마 챔버 내벽 세정 공정을 진행하도록 기판 처리 장치를 운용함으로써, 파티클이 효과적으로 억제될 수 있다.As seen through experiments, according to an embodiment of the present invention, by operating the substrate processing device to perform a plasma chamber inner wall cleaning process for about 75 seconds after processing one LOT and before processing the substrates of the next LOT, Particles can be effectively suppressed.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The above detailed description is illustrative of the present invention. Additionally, the foregoing is intended to illustrate preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications, and environments. That is, changes or modifications can be made within the scope of the inventive concept disclosed in this specification, within the scope equivalent to the written disclosure, and/or within the scope of technology or knowledge in the art. The written examples illustrate the best state for implementing the technical idea of the present invention, and various changes required for specific application fields and uses of the present invention are also possible. Accordingly, the detailed description of the invention above is not intended to limit the invention to the disclosed embodiments. Additionally, the appended claims should be construed to include other embodiments as well.

Claims (7)

기판을 처리하는 방법에 있어서,
플라즈마 챔버의 플라즈마 발생 공간에서 플루오린 포함 공정 가스로부터 플라즈마를 생성하고, 생성된 상기 플라즈마를 처리 공간에 공급하여 상기 처리 공간 내에 반입된 기판을 처리하는 기판 처리 단계와;
상기 처리 공간에서 상기 기판을 반출 후, 상기 플라즈마 발생 공간에서 산소 포함 가스로부터 플라즈마를 생성하여 상기 플라즈마 챔버를 세정하는 챔버 세정 단계를 포함하되,
상기 플라즈마 챔버는 산화 알루미늄 소재로 제공되고,
상기 챔버 세정 단계에서 상기 산소 포함 가스로부터 플라즈마를 발생시키기 위해 상기 플라즈마 발생 공간에 전기장을 형성하는 전력은 상기 기판 처리 단계에서 상기 플루오린 포함 가스로부터 플라즈마를 생성하기 위해 상기 플라즈마 발생 공간에 전기장을 형성하는 전력보다 높게 제공되는 기판 처리 방법.
In a method of processing a substrate,
A substrate processing step of generating plasma from a fluorine-containing process gas in a plasma generation space of a plasma chamber and supplying the generated plasma to a processing space to process a substrate brought into the processing space;
After removing the substrate from the processing space, a chamber cleaning step of cleaning the plasma chamber by generating plasma from an oxygen-containing gas in the plasma generation space,
The plasma chamber is made of aluminum oxide,
The power that forms an electric field in the plasma generation space to generate plasma from the oxygen-containing gas in the chamber cleaning step forms an electric field in the plasma generation space to generate plasma from the fluorine-containing gas in the substrate processing step. A substrate processing method that provides higher power than that required.
제1 항에 있어서,
상기 챔버 세정 단계는,
상기 플라즈마 발생 공간에 전력을 인가하지 않은 상태에서 상기 산소 포함 가스를 상기 플라즈마 발생 공간으로 설정 시간동안 공급하고,
상기 설정 시간이 경과한 후, 상기 산소 포함 가스의 공급을 유지하면서 상기 플라즈마 발생 공간에 전기장을 형성하기 위한 전력을 인가하여 상기 산소 포함 가스로부터 플라즈마를 발생시키는 기판 처리 방법.
According to claim 1,
The chamber cleaning step is,
Supplying the oxygen-containing gas to the plasma generation space for a set time without applying power to the plasma generation space,
After the set time has elapsed, a substrate processing method of generating plasma from the oxygen-containing gas by applying power to form an electric field in the plasma generation space while maintaining the supply of the oxygen-containing gas.
제1 항에 있어서,
상기 챔버 세정 단계에서 상기 플라즈마 발생 공간으로 공급되는 가스는 수소 포함 가스를 가지지 않는 기판 처리 방법.
According to claim 1,
A substrate processing method in which the gas supplied to the plasma generation space in the chamber cleaning step does not contain hydrogen.
제1 항에 있어서,
상기 기판 처리 단계에서 상기 처리 공간의 압력은 상기 챔버 세정 단계에서 상기 처리 공간의 압력보다 낮은 기판 처리 방법.
According to claim 1,
A substrate processing method wherein the pressure of the processing space in the substrate processing step is lower than the pressure of the processing space in the chamber cleaning step.
제1항에 있어서,
상기 산소 포함 가스는 산소 가스(O2)인 기판 처리 방법.
According to paragraph 1,
A substrate processing method wherein the oxygen-containing gas is oxygen gas (O2).
기판을 처리하는 방법에 있어서,
기판 처리 장치는 기판을 처리하는 처리 공간을 제공하는 공정 처리부; 공정 가스를 방전시켜 플라즈마를 생성하고, 상기 플라즈마를 상기 처리 공간으로 공급하는 플라즈마 발생부; 상기 처리 공간과 연결되어 상기 처리 공간의 분위기를 배기하고 상기 처리 공간의 압력을 설정 압력으로 유지하도록 제어하는 배기부를 가지고,
상기 플라즈마 발생부는
플라즈마 발생 공간을 가지는 산화 알루미늄(Aluminium Oxide) 소재로 제공되는 플라즈마 챔버; 상기 플라즈마 챔버 외부에서 상기 플라즈마 챔버를 감싸는 안테나; 상기 안테나로 전력을 인가하는 전원; 그리고 상기 플라즈마 발생 공간으로 플루오린(Fluorine) 포함 공정 가스 및 산소 포함 가스를 선택적으로 공급하는 가스 공급 유닛을 포함하며,
상기 기판 처리 방법은
상기 처리 공간으로 기판을 반입하고, 상기 플라즈마 챔버에서 상기 플로오린 포함 공정 가스로부터 생성된 플라즈마를 상기 처리 공간으로 공급하여 상기 기판을 처리하는 기판 처리 단계와; 그리고
상기 처리 공간에서 상기 기판을 반출한 후, 상기 플라즈마 챔버에서 상기 산소 포함 가스로부터 플라즈마를 발생시켜 상기 플라즈마 챔버의 내벽을 세정하는 챔버 세정 단계를 포함하되,
상기 기판 처리 단계에서, 상기 플라즈마 챔버의 내벽에는 상기 산화 알루미늄과 상기 플로오린 성분의 반응에 의해 불화 알루미늄이 증착되고,
상기 챔버 세정 단계에서, 상기 불화 알루미늄이 상기 산소와 반응하여 상기 불화 알루미늄 위에 산화 알루미늄이 형성되며,
상기 챔버 세정 단계에서 상기 전원으로부터 상기 안테나에 인가되는 전력은 상기 기판 처리 단계에서 상기 안테나에 인가되는 전력보다 높은 기판 처리 방법.
In a method of processing a substrate,
A substrate processing apparatus includes a process processing unit that provides a processing space to process a substrate; a plasma generator that generates plasma by discharging a process gas and supplies the plasma to the processing space; An exhaust unit connected to the processing space to exhaust the atmosphere of the processing space and control the pressure of the processing space to be maintained at a set pressure,
The plasma generator
A plasma chamber made of aluminum oxide and having a plasma generation space; an antenna surrounding the plasma chamber outside the plasma chamber; a power source that applies power to the antenna; And a gas supply unit that selectively supplies fluorine-containing process gas and oxygen-containing gas to the plasma generation space,
The substrate processing method is
A substrate processing step of bringing a substrate into the processing space and processing the substrate by supplying plasma generated from the fluorine-containing process gas in the plasma chamber to the processing space; and
After removing the substrate from the processing space, a chamber cleaning step of generating plasma from the oxygen-containing gas in the plasma chamber to clean the inner wall of the plasma chamber,
In the substrate processing step, aluminum fluoride is deposited on the inner wall of the plasma chamber by a reaction between the aluminum oxide and the fluorine component,
In the chamber cleaning step, the aluminum fluoride reacts with the oxygen to form aluminum oxide on the aluminum fluoride,
A substrate processing method wherein the power applied to the antenna from the power source in the chamber cleaning step is higher than the power applied to the antenna in the substrate processing step.
제6 항에 있어서,
상기 기판 처리 단계에서 상기 처리 공간의 압력은 상기 챔버 세정 단계에서 상기 처리 공간의 압력보다 낮은 기판 처리 방법.
According to clause 6,
A substrate processing method wherein the pressure of the processing space in the substrate processing step is lower than the pressure of the processing space in the chamber cleaning step.
KR1020210179240A 2021-03-25 2021-12-15 Method for treating a substrate KR102579739B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210179240A KR102579739B1 (en) 2021-03-25 2021-12-15 Method for treating a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020210038991A KR102357986B1 (en) 2021-03-25 2021-03-25 Apparatus for treating substrate and method for operating thereof
KR1020210179240A KR102579739B1 (en) 2021-03-25 2021-12-15 Method for treating a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020210038991A Division KR102357986B1 (en) 2021-03-25 2021-03-25 Apparatus for treating substrate and method for operating thereof

Publications (2)

Publication Number Publication Date
KR20220133751A KR20220133751A (en) 2022-10-05
KR102579739B1 true KR102579739B1 (en) 2023-09-20

Family

ID=80252463

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020210038991A KR102357986B1 (en) 2021-03-25 2021-03-25 Apparatus for treating substrate and method for operating thereof
KR1020210179240A KR102579739B1 (en) 2021-03-25 2021-12-15 Method for treating a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020210038991A KR102357986B1 (en) 2021-03-25 2021-03-25 Apparatus for treating substrate and method for operating thereof

Country Status (1)

Country Link
KR (2) KR102357986B1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151952A (en) * 2001-11-13 2003-05-23 Toshiba Corp Method of dry etching

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151952A (en) * 2001-11-13 2003-05-23 Toshiba Corp Method of dry etching

Also Published As

Publication number Publication date
KR20220133751A (en) 2022-10-05
KR102357986B1 (en) 2022-02-08

Similar Documents

Publication Publication Date Title
US20210343510A1 (en) Quartz component with protective coating
KR102188404B1 (en) Method for reforming electrostatic chuck, and plasma processing device
KR102513108B1 (en) Atmospheric plasma apparatus for semiconductor processing
JP5390846B2 (en) Plasma etching apparatus and plasma cleaning method
KR101046335B1 (en) Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma
WO2020017328A1 (en) Plasma processing device and plasma processing method
US8057603B2 (en) Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
CN112133630B (en) Method for processing object to be processed having mask
JP4963842B2 (en) Substrate processing chamber cleaning method, storage medium, and substrate processing apparatus
KR20140051282A (en) Plasma etching method
JPH07283206A (en) Plasma device and plasma processing method using thereof
JP6521848B2 (en) Etching method
US20110114113A1 (en) Substrate processing apparatus, cleaning method thereof and storage medium storing program
KR20160094306A (en) Method of processing target object
KR20190008226A (en) Etching method
JP7068140B2 (en) Plasma processing equipment and plasma processing method
KR102579739B1 (en) Method for treating a substrate
KR101994918B1 (en) Substrate processing apparatus and substrate processing method
US8133820B2 (en) Substrate processing method and substrate processing apparatus
KR101559874B1 (en) Substrate treating apparatus and chamber producing method
JP7382848B2 (en) Substrate processing method and substrate processing apparatus
KR102208931B1 (en) Etching method
JP4405236B2 (en) Substrate processing method and substrate processing apparatus
KR102155863B1 (en) Substrate processing apparatus and method
US20240297023A1 (en) Upper electrode unit and substrate processing apparatus including the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right