KR102474252B1 - Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same - Google Patents

Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same Download PDF

Info

Publication number
KR102474252B1
KR102474252B1 KR1020177000797A KR20177000797A KR102474252B1 KR 102474252 B1 KR102474252 B1 KR 102474252B1 KR 1020177000797 A KR1020177000797 A KR 1020177000797A KR 20177000797 A KR20177000797 A KR 20177000797A KR 102474252 B1 KR102474252 B1 KR 102474252B1
Authority
KR
South Korea
Prior art keywords
electron beam
cell
product
cells
pad
Prior art date
Application number
KR1020177000797A
Other languages
Korean (ko)
Other versions
KR20170018027A (en
Inventor
인드라닐 드
데니스 제이. 시플릭카스
스티븐 램
조나단 헤이
비아체슬라프 브이. 로브너
크리스토퍼 헤스
토마즈 더블유. 브로제크
안드레제이 제이. 스트롤지바스
켈빈 둥
존 케이. 키바리안
쉐리 에프. 리
키몬 더블유. 미카엘스
마르친 에이. 스트로지바스
코너 오설리번
메윌 제인
Original Assignee
피디에프 솔루션즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피디에프 솔루션즈, 인코포레이티드 filed Critical 피디에프 솔루션즈, 인코포레이티드
Publication of KR20170018027A publication Critical patent/KR20170018027A/en
Application granted granted Critical
Publication of KR102474252B1 publication Critical patent/KR102474252B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Abstract

제품 IC들/웨이퍼들은 충진재 셀 위치들에, 탭 셀들 내에, 디캡 셀들 내에, 스크라이브 라인 부위들 내에, 그리고/또는 더미 충진 영역들 내에 편의적으로 배치되어 있는 추가적인 진단, 테스트, 또는 모니터링 구조들을 포함한다. 개선된 제조 프로세스들은 웨이퍼 배치 결정들, 재처리 결정들, 프로세스 제어, 수율 학습, 또는 고장 진단시 그러한 구조(들)의 데이터를 이용한다.Product ICs/wafers include additional diagnostic, test, or monitoring structures conveniently disposed at fill cell locations, within tap cells, within decap cells, within scribe line areas, and/or within dummy fill areas. . Advanced manufacturing processes use data from such structure(s) in wafer placement decisions, reprocessing decisions, process control, yield learning, or failure diagnosis.

Description

충진재 셀들, 탭 셀들, 디캡 셀들, 스크라이브 라인들, 및/또는 더미 충진, 그리고 이들을 포함하는 제품 IC 칩들의 용도와는 달리 사용되는 부위들에의 IC 테스트 구조들 및/또는 전자 비임 타깃 패드들의 편의적 배치{Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same}Convenience of filling material cells, tap cells, decap cells, scribe lines, and/or dummy filling, and IC test structures and/or electron beam target pads to areas that are used differently from the use of product IC chips containing them Placement {Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same}

관련 출원의 전후 참조Cross Reference of Related Applications

본원은 2014년 6월 12일자 출원된 미국 특허출원 제14/303,578호를 기초로 우선권을 주장한 것이며, 상기 미국 특허출원 제14/303,578호는 2014년 2월 25일자 출원된 미국 특허출원 제14/190,040호의 일부 계속 출원이고, 상기 미국 특허출원 제14/190,040호는 2013년 9월 27일자 출원된 미국 특허출원 제14/038,799호의 일부 계속 출원이며, 또한 본원은 2014년 6월 12일자 출원된 미국 임시 특허출원 제62/011,161호를 기초로 우선권을 주장한 것으로 이들 출원 모두는 본원 명세서에서 인용에 의해 보완된다.This application claims priority based on US Patent Application No. 14/303,578 filed on June 12, 2014, which is based on US Patent Application No. 14/303,578 filed on February 25, 2014. 190,040, which is a continuation-in-part of U.S. patent application Ser. No. 14/038,799 filed on September 27, 2013, which is also a U.S. patent application filed on June 12, 2014. Priority is claimed on the basis of Provisional Patent Application No. 62/011,161, all of which are incorporated herein by reference.

기술분야technology field

본 발명은 반도체 집적회로들 및 그러한 회로들을 제조 및 테스트하는 방법들의 분야에 관한 것이다.The present invention relates to the field of semiconductor integrated circuits and methods of manufacturing and testing such circuits.

제품 웨이퍼들 상의 "테스트 구조들"(회로 기능에는 필요하지 않지만, 제조 프로세스 또는 그 결과에 따른 부분적으로나 완전하게 제조된 웨이퍼들/칩들의 모니터링 또는 평가를 위해 설계, 의도 또는 사용되는 임의의 패터닝으로서 본원 명세서에 정의되어 있는 "테스트 구조들")의 배치는 지난 10년 동안 아주 흔히 이루어져 왔다. 전통적으로, 그러한 테스트 구조들은 활성 다이 사이의 스크라이브 라인 부위들에 위치하게 되어 있다. 예컨대, 「Hess, Christopher와 그의 동료 명의의 "Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring," IEEE International Conference on Microelectronic Test Structures, 2006」을 참고하기 바란다.“Test structures” on product wafers (any patterning not necessary for circuit function, but designed, intended, or used for monitoring or evaluation of partially or fully fabricated wafers/chips in accordance with the manufacturing process or its results) The deployment of "test structures" (as defined herein) has become quite common over the past decade. Traditionally, such test structures have been placed at scribe line sites between the active dies. For example, refer to "Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring," IEEE International Conference on Microelectronic Test Structures, 2006 by Hess, Christopher and his colleagues.

F. Duan과 그의 동료 명의의 미국 특허 7,223,616 B2 ("Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Designing the Same")에는 테스트 및 제품 웨이퍼들의 프로브 패드들 하부에의 상호접속된 활성 테스트 셀들의 배치가 기재되어 있다.U.S. Patent 7,223,616 B2 ("Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Designing the Same") to F. Duan and his colleagues describes interconnected active test cells under probe pads of test and product wafers. Placement is indicated.

S. Jansen과 그의 동료 명의의 미국 특허 7,679,083 B2 ("Semiconductor integrated test structures for electron beam inspection of semiconductor wafers")에는 제품 IC들의 사전에 지정된 대형 영역들 내에의 테스트 구조들의 배치가 기재되어 있다.US Patent 7,679,083 B2 ("Semiconductor integrated test structures for electron beam inspection of semiconductor wafers") to S. Jansen and his colleagues describes the placement of test structures within pre-specified large areas of product ICs.

제품 웨이퍼들 상에 테스트 구조들을 위치시키는 이들 및 다른 공지된 기법들이 유용한 결과들을 초래하지만, 상기 기법들이 여전히 이상적이지는 않다. 특히, 제품 웨이퍼들의 스크라이브 라인에서 이용 가능한 부위가 엄격히 제한되어 있으므로 단지 특정 타입의 테스트 구조들만을 수용할 수 있다. 그 외에도, 스크라이브 라인에서 그리고 프로브 패드 하부에서 이루어지는 방법들은 테스트 구조들이 가장 중요한 활성 회로 영역들로부터 원격 배치되어 있어 상기 활성 회로의 프로세싱 환경을 정확하게 표현할 확률이 그다지 높지 않다는 점에 직면해 있다. 비록 상기 미국 특허 7,679,083 B2에서는 이러한 문제를 잠재적으로 완화할 수 있지만, 상기 미국 특허 7,679,083 B2에서는 필요한 대형의 전용 테스트 영역들의 수용 불가능한 비용을 치러서 상기 문제를 잠재적으로 완화하고(상기 미국 특허 7,679,083 B2의 FIG. 5, 문단 44-45 참조), 만약 상기 문제의 잠재적인 완화를 위해 필요한 대형의 전용 테스트 영역들의 수용 불가능한 비용을 치르지 않으려면 귀중한 활성 다이 부위가 소비될 수 있다.Although these and other known techniques for placing test structures on product wafers produce useful results, they are still far from ideal. In particular, the available area on the scribe line of product wafers is severely limited and can accommodate only certain types of test structures. In addition, at the scribe line and below the probe pad methods face the fact that the test structures are located remotely from the most important active circuit areas and thus are not very likely to accurately represent the processing environment of the active circuit. Although the U.S. Patent 7,679,083 B2 potentially mitigates this problem, the U.S. Patent 7,679,083 B2 potentially mitigates the problem at the unacceptable cost of the large, dedicated test areas required (see U.S. Patent 7,679,083 B2). 5, paragraphs 44-45), valuable active die area can be consumed if one does not want to pay the unacceptable cost of large, dedicated test areas required for potential mitigation of the above problem.

본 발명은 활성 다이 부위의 희생이 거의 없거나 전혀 없는 제품 IC들 상의 테스트 구조 유효범위를 개선하는 몇몇 기법들을 개시한다.The present invention discloses several techniques to improve test structure coverage on production ICs with little or no sacrifice of active die site.

본 발명의 한 실시형태에 의하면, "충진재 셀들(filler cells)"(라우팅 혼잡을 회피/경감하고 그리고/또는 셀 밀도를 균등하게 할 목적으로 활성 회로 영역 내에 배치된 비-기능적 셀들로서 정의되는 "충진재 셀들")은 추가적인 부위 또는 상호접속을 필요로 하지 않는 자체 수납형 테스트 구조들로 대체된다. 최근의 일반 셀 레이아웃들은 그러한 충진재 셀들을 사용하여 라우팅 혼잡을 경감하는 것이 일반적이다. 예컨대, 「Cong, J.와 그의 동료 명의의 "Optimizing routability in large-scale mixed-size placement," ASP-DAC, 2013」 및 「Menezes, C.와 그의 동료 명의의 "Design of regular layouts to improve predictability," Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits and Systems, 2006」을 참고하기 바란다. C. Mayor와 그의 동료 명의의 PCT 출원공개공보 WO 2009/090516 A1("Monitor Cell and Monitor Cell Placement Method")에는 충진재 셀을 "모니터 셀"로 대체하는 방안(상기 PCT 출원공개공보 WO 2009/090516 A1의 FIG. 5, step 540 참조)이 제안되어 있지만, 상기 제안된 모니터 셀은 지나치게 커서 충진재 셀 공간에 들어맞지 않을 수 있고, 더욱 중요한 점으로는 스캔 체인 내로의 통합을 위해 추가적인 상호접속을 필요로 한다. 본 발명과 관련해서 사용하기에 적합한 테스트-허용 충진재(충진) 셀들의 예들은 상기 미국 임시 특허출원 제62/011,161호에, 그리고 본원의 도면 중, 도 11 - 도 32에 개시되어 있다.According to one embodiment of the present invention, "filler cells" (defined as non-functional cells placed within an active circuit area for the purpose of avoiding/relieving routing congestion and/or equalizing cell density) Filler cells") are replaced with self-contained test structures that require no additional parts or interconnections. It is common in recent normal cell layouts to use such filler cells to relieve routing congestion. For example, “Optimizing routability in large-scale mixed-size placement,” ASP-DAC, 2013 by Cong, J. and colleagues and “Design of regular layouts to improve predictability by Menezes, C. and colleagues. ," Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits and Systems, 2006". PCT Application Publication WO 2009/090516 A1 ("Monitor Cell and Monitor Cell Placement Method") in the name of C. Mayor and his co-workers includes a method of replacing a filler cell with a "monitor cell" (PCT Application Publication WO 2009/090516 5 of A1, step 540) is proposed, but the proposed monitor cell may be too large to fit in the filler cell space and, more importantly, require additional interconnections for integration into the scan chain. do it with Examples of test-accepting filler (fill) cells suitable for use in connection with the present invention are disclosed in the aforementioned US Provisional Patent Application No. 62/011,161 and in the drawings herein, Figures 11-32.

본 발명의 다른 한 실시형태에 의하면, 디캡(decap; decoupling capacitance(감결합 캐패시턴스)) 셀들은 하나 이상의 자체 수납형 테스트 구조들을 합체하도록 변형된다. 그러한 디캡 셀들의 사용은 본 기술에 공지되어 있다. 예컨대, 「X. Meng과 그의 동료 명의의 "Novel Decoupling Capacitor Designs for sub-90nm CMOS Technology," Proceedings of the 7th IEEE International Symposium on Quality Electronic Design, 2006」을 참고하기 바란다.According to another embodiment of the present invention, decap (decoupling capacitance) cells are modified to incorporate one or more self-contained test structures. The use of such decap cells is known in the art. For example, "X. See "Novel Decoupling Capacitor Designs for sub-90nm CMOS Technology," Proceedings of the 7th IEEE International Symposium on Quality Electronic Design, 2006 by Meng and his colleagues.

본 발명의 다른 한 실시형태에 의하면, 웰 탭(탭) 셀들은 하나 이상의 자체 수납형 테스트 구조들을 합체하도록 변형된다. 그러한 탭 셀들의 사용은 본 기술에 공지되어 있다. 예컨대, 본원 명세서에서 인용에 의해 보완되는 미국 특허 제6,388,315호("Tap connections for circuits with leakage suppression capability"); 「Jungeblut, T.와 그의 동료 명의의 2010, "A modular design flow for very large design space exploration," at FIG. 4 ("- add well tap cells")」을 참조하기 바란다. 그러한 테스트-허용 탭 셀들의 예들은 상기 미국 임시 특허출원 제62/011,161호에 개시되어 있다.According to another embodiment of the present invention, well tap (tap) cells are modified to incorporate one or more self-contained test structures. The use of such tap cells is known in the art. See, eg, U.S. Patent No. 6,388,315 ("Tap connections for circuits with leakage suppression capability"); "Jungeblut, T. and his colleagues, 2010, "A modular design flow for very large design space exploration," at FIG. 4 ("- add well tap cells")”. Examples of such test-accepting tap cells are disclosed in the aforementioned US provisional patent application Ser. No. 62/011,161.

본 발명의 여전히 다른 한 실시형태에 의하면, "더미 충진(dummy fill)" 부위들(본원 명세서에서 인용에 의해 보완되는 미국 특허 7,137,092 B2 참조)은 테스트 구조 패턴들로 밀집되어 있다.According to still another embodiment of the present invention, "dummy fill" areas (see US Pat. No. 7,137,092 B2, incorporated herein by reference) are packed with test structure patterns.

본원 명세서에서 양자 모두 인용에 의해 보완되는, 미국 특허 제7,217,579호 ("Voltage contrast test structure") 그리고 제7,679,083호 ("Semiconductor integrated test structures for electron beam inspection of semiconductor wafers")는 반도체 웨이퍼들의 스크라이브 라인 부위들에서의 전압 콘트라스트 테스트 구조들의 사용을 개시하고 있다. 본 발명의 다른 한 실시형태에는 추가적인 테스트 구조 삽입을 위한 스크라이브 라인 부위들의 사용이 포함된다. 그러한 스크라이브 라인 부위들은 기존의 DRC(design rule checking; 설계 규칙 체킹) 흐름들과의 양립성을 위한 실제의 성능 문제 또는 요구사항 때문에 제품 웨이퍼들의 실제 다이 부위들 내에서의 사용이 단념되거나 금지될 수 있는 테스트 구조들을 구현하는데 유리하게 사용될 수 있다. 그러한 단념/금지된 테스트 구조들의 예들에는 의도적인 층간 오정렬(들)을 포함하는 구조들, 하위-설계 규칙 또는 카나리 구조들, 또는 활성 다이 부위의 요구사항과 양립할 수 없는 밀도 또는 패터닝을 지니는 구조들이 포함된다. 예를 들면, 본원 명세서에서 인용에 의해 보완되는, 예를 들면 전자 비임 카나리 테스트 구조들의 포기된 미국 특허출원공개공보 제2009-0102501 A1호 ("Test structures for e-beam testing of systematic and random defects in integrated circuits")를 참고하기 바란다. 본 발명의 몇몇 실시 예들에서는, 본 발명의 제품 IC 웨이퍼들의 다이간 스크라이브 라인 부위들은 상기 활성 다이 부위들 내에서의 사용이 단념 또는 금지되는 전압 콘트라스트 테스트 구조들로 전부 또는 대부분 밀집되어 있다.U.S. Patent Nos. 7,217,579 ("Voltage contrast test structure") and 7,679,083 ("Semiconductor integrated test structures for electron beam inspection of semiconductor wafers"), both of which are supplemented by reference herein, refer to scribe line regions of semiconductor wafers. It discloses the use of voltage contrast test structures in fields. Another embodiment of the present invention includes the use of scribe line sites for insertion of additional test structures. Such scribe line regions may be discouraged or prohibited from use within actual die regions of product wafers due to practical performance issues or requirements for compatibility with existing design rule checking (DRC) flows. It can advantageously be used to implement test structures. Examples of such abandoned/prohibited test structures include structures that contain intentional interlayer misalignment(s), sub-design rules or canary structures, or structures that have density or patterning incompatible with the requirements of the active die area. are included See, for example, abandoned US Patent Application Publication No. 2009-0102501 A1 ("Test structures for e-beam testing of systematic and random defects in Integrated circuits"). In some embodiments of the present invention, the inter-die scribe line regions of product IC wafers of the present invention are all or mostly populated with voltage contrast test structures that are discouraged or prohibited from use within the active die regions.

본 발명의 다른 한 실시형태에는 위에서 언급한 더미 충진, 충진재 셀, 디캡 셀, 그리고/또는 탭 셀 위치들 내로의(그리고/또는 그러한 셀들 내에의) 테스트 패드들(위에서 정의된 바와 같은 한 타입의 "테스트 구조")의 편의적 삽입이 포함된다. 그러한 테스트 패드들은 하전 입자(예컨대, 전자 비임) 타깃들, 바람직하게는 소정 기술의 노드에서 분해 가능한 최소 특징 크기의 1x 내지 10x 범위를 이루도록 상대적으로 작은 치수로 크기가 결정된 하전 입자(예컨대, 전자 비임) 타깃들을 포함하는 것이 바람직하지만, 마이크로- 또는 나노-프로브 가능 접점 패드들도 포함할 수 있다. 그러한 테스트 패드들은 관련 테스트 구조들 상에 배치될 수도 있고, 관련 테스트 구조들에 인접 배치될 수도 있으며, 동일 층상의 인접해 있지 않은 테스트 구조들에 연결될 수도 있고, 하위 층(들) 상의 관련 테스트 구조들에 연결될 수도 있다.Another embodiment of the present invention includes test pads (one type as defined above) into (and/or within) the above-mentioned dummy fill, filler cell, decap cell, and/or tap cell locations. An opportunistic insertion of "test structures") is included. Such test pads are charged particle (e.g., electron beam) targets, preferably sized to relatively small dimensions to achieve a range of 1x to 10x the minimum feature size resolvable at a given technology node (e.g., electron beam). ) targets, but may also include micro- or nano-probeable contact pads. Such test pads may be disposed on related test structures, disposed adjacent to related test structures, connected to non-adjacent test structures on the same layer, or related test structures on lower layer(s). may be connected to

본 발명의 다른 실시형태들은 위에서 언급한 전통적인 스크라이브 라인을 가지거나 전통적인 스크라이브 라인을 가지지 않는 편의적으로 삽입된 테스트 구조들 및 패드 하부에 있는 테스트 구조들의 타입들을 1개, 2개, 3개, 또는 4개를 지니는 IC들 및 IC 레이아웃들에 관련된다. 본 발명의 여전히 부가적인 실시형태들은 그러한 IC 레이아웃들을 형성하는 CAD 방법들, 본 발명의 편의적으로 삽입된 테스트 구조들로부터 획득된 정보를 적어도 부분적으로 이용하는 제조 프로세스, 그리고 이로부터 제조되는 IC들에 관련된다.Other embodiments of the present invention may use one, two, three, or four types of test structures under the pad and conveniently inserted test structures with or without the traditional scribe line mentioned above. It relates to ICs and IC layouts with dogs. Still additional embodiments of the present invention relate to CAD methods for forming such IC layouts, a manufacturing process that uses at least in part information obtained from the conveniently inserted test structures of the present invention, and ICs fabricated therefrom. do.

따라서, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 몇몇 실시형태들은 예를 들면 적어도 50개, 75개, 100개 또는 그 이상의 인접하는 셀들 의 적어도 10개, 20개, 30개, 또는 그 이상의 행들을 포함하는 제품 IC들로서, 상기 행들 각각이 복수 개의 논리 셀들을 포함하고, 상기 행들의 적어도 절반, 4분의 3 또는 그 이상이 충진재, 디캡, 또는 탭 셀 위치에(그리고/또는 그러한 셀들 내에) 테스트 구조를 포함하는 것을 특징으로 하는 제품 IC들에 관련된다. 그러한 제품 IC들은 상기 행들을 적어도 부분적으로 오버레이하는 더미 충진 영역들에서 구현되는 (테스트 패드들을 포함하지만 이에 국한되지 않는) 복수 개의 더미 충진 테스트 구조들을 추가로 포함할 수 있다. 그러한 더미 충진 테스트 구조들은 임의의 패터닝된 층 상에, 특히 하나의 금속 층, 또는 그 이상의 금속 층들 상에 나타나 있을 수 있다.Thus, generally speaking, and without intending to be limiting, some embodiments of the present invention may include at least 10, 20, 30, or more of, for example, at least 50, 75, 100 or more contiguous cells. Product ICs comprising one or more rows, each of the rows including a plurality of logic cells, and at least half, three-quarters or more of the rows having filler, decap, or tap cell locations (and/or such cells) in) product ICs characterized by including a test structure. Such product ICs may further include a plurality of dummy fill test structures (including but not limited to test pads) implemented in dummy fill areas at least partially overlaying the rows. Such dummy fill test structures may appear on any patterned layer, in particular on one metal layer or more metal layers.

상기 테스트 구조들 각각은 자체 수납형인 것이 바람직하고, 그럼으로써 온-칩 연결들을 위한 라우팅 부위들의 사용을 필요로 하지 않는다. 다시 말하면, 본 발명의 이러한 자체 수납형 실시형태에 의하면, 상기 충진재/디캡/탭 셀들을 본 발명의 테스트 셀들/구조들로 대체하는 것은 이용 가능한 라우팅 부위들에 영향을 주지 않아야 할 것이다. 몇몇 실시 예들에서는, 그러한 자체 수납형 테스트 구조들이 다수의 인접한 충진재, 디캡, 또는 탭 셀들의 풋프린트들에 형성되고, 그럼으로써 상대적으로 훨씬 크고 그리고/또는 불규칙한 형상을 지니는 자체 수납형 테스트 구조들을 허용하게 될 수 있다. 그러한 제품 IC들은 전자 비임 테스트를 위해 구성되는 테스트 구조들, SEM 검사를 위해 구성되는 테스트 구조들, 명시야 검사를 위해 구성되는 테스트 구조들, (마이크로프로브, 나노프로브 또는 프로브 카드에 의한) 프로브 접촉을 위해 구성되는 테스트 구조들, 또는 이들의 2개, 3개, 또는 4개의 임의 조합을 포함할 수 있다.Each of the test structures is preferably self-contained, so that it does not require the use of routing areas for on-chip connections. In other words, with this self-contained embodiment of the present invention, replacing the filler/decap/tab cells with the test cells/structures of the present invention should not affect the available routing areas. In some embodiments, such self-contained test structures are formed in the footprints of multiple adjacent filler, decap, or tap cells, thereby allowing self-contained test structures that are relatively much larger and/or have irregular shapes. can be done Such product ICs are test structures configured for electron beam testing, test structures configured for SEM inspection, test structures configured for brightfield inspection, and probe contacts (by microprobe, nanoprobe or probe card). test structures configured for , or any combination of two, three, or four thereof.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 다른 실시형태들은 예를 들면 라우팅 부위들과 함께, 적어도 100개, 150개, 200개 또는 그 이상의 인접한 셀들의 적어도 20개, 30개, 40개, 또는 그 이상의 인접한 행들을 포함하는 인접 영역을 포함하는 제품 IC들로서, 상기 행들 각각이 다수(또는 60%, 70%, 또는 80%와 같은 압도적 다수)의 논리 셀들을 포함하고, 상기 인접 영역이 또한, 논리 셀, 충진재 셀, 또는 탭 셀에 달리 적합한 위치에서, 상기 행들 중 하나의 행에 각각 배치되는 적어도 25개(또는 50개, 100개, 150개, 또는 그 이상)의 불규칙하게 분포되어 있는 자체 수납형 테스트 구조들을 포함하는 것을 특징으로 하는 제품 IC들에 관련된다. 몇몇 실시 예들에서는, 상기 테스트 구조들 중 적어도 몇몇 테스트 구조들이 디캡 셀들 내에 포함되어 있다. 그러한 제품 IC들은 또한, 복수 개의 자체 수납형 더미 충진 테스트 구조들을 포함할 수 있으며, 각각의 자체 수납형 더미 충진 테스트 구조는 상기 인접 영역을 적어도 부분적으로 오버레이하고 있지만, (공통 전원 회로망들에 대한 연결들을 배제한) 논리 셀들 중 어떤 논리 셀에도 연결되어 있지 않다. 몇몇 실시 예들에서는, 그러한 더미 충진 테스트 구조들이 하나 이상의 상호접속 층을 점유할 수 있다. 몇몇 실시 예들에서는, 상기 테스트 구조들 중 적어도 몇몇 테스트 구조들이 카나리(다시 말하면, 하위 설계 규칙) 테스트 구조들이며, 상기 더미 충진 테스트 구조들 중 적어도 몇몇 테스트 구조들은 무작위 결함 테스트 구조들이다. 다른 실시 예들에서는, 테스트 구조들이 시스템적인 고장 모드들에 대해 테스트하거나 시스템적인 고장 모드들을 평가하도록 구성된 DR-순응 구조들을 포함할 수 있다. 그리고 이들의 조합들을 포함하는 실시 예들이 또한 고려될 수 있다.Also, generally speaking, and without intending to be limiting, other embodiments of the present invention may include, for example, at least 20, 30, Product ICs that include a contiguous area comprising 40 or more contiguous rows, each of said rows containing a majority (or an overwhelming majority, such as 60%, 70%, or 80%) of logic cells; The regions also include at least 25 (or 50, 100, 150, or more) irregularly spaced cells each disposed in one of the rows, at locations otherwise suitable for logic cells, filler cells, or tab cells. It relates to product ICs characterized by including distributed self-contained test structures. In some embodiments, at least some of the test structures are included in decap cells. Such product ICs may also include a plurality of self-contained dummy fill test structures, each self-contained dummy fill test structure at least partially overlaying the contiguous area, but with a connection to common power supply networks. ) is not connected to any of the logic cells. In some embodiments, such dummy fill test structures may occupy one or more interconnect layers. In some embodiments, at least some of the test structures are canary (ie, lower design rule) test structures, and at least some of the dummy filling test structures are random defect test structures. In other embodiments, the test structures may include DR-compliant structures configured to test for or evaluate systematic failure modes. And embodiments including combinations thereof may also be considered.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 다른 실시형태들은 예를 들면 적어도 IC 웨이퍼에 초기 제조 단계들을 수행하게 하는 단계; 상기 웨이퍼의 인접 논리 부분 내에 편의적으로 분포되어 있는 적어도 5개(또는 10개, 20개, 40개 또는 그 이상)의 자체 수납형 테스트 구조들로부터의 측정값들을 획득하는 단계; 그리고 상기 테스트 구조들로부터 획득된 측정값들을 적어도 부분적으로 기반으로 하여, 상기 웨이퍼에 추가적이고 그리고/또는 변경된 제조 단계들을 선택적으로 수행하게 하는 단계; 를 포함하는 IC 제조 프로세스들에 관련된다. 몇몇 실시 예들에서는, 측정값들을 획득하는 단계가 하전 입자들(예컨대, 전자 비임)로 상기 테스트 구조들을 여기(勵起) 시키는 단계, 명시야 검사로 상기 테스트 구조들을 검사하는 단계, SEM 검사로 상기 테스트 구조들을 검사하는 단계, 또는 전기적 측정을 위한 프로빙으로 상기 테스트 구조들을 접촉하는 단계를 포함할 수 있다. 몇몇 실시 예들에서는, 상기 웨이퍼에 추가적인 제조 단계들 또는 물리적 고장 분석을 선택적으로 수행하게 하는 단계가 상기 초기 제조 단계들 중 하나 이상을 재처리해야 할지를 결정하는 단계, 또는 상기 추가적인 제조 단계들을 수행해야 할지, 또는 상기 웨이퍼를 폐기해야 할지를 결정하는 단계를 포함할 수 있다.Also, generally speaking, and without intending to be limiting, other embodiments of the invention include, for example, performing initial fabrication steps on at least an IC wafer; obtaining measurements from at least 5 (or 10, 20, 40 or more) self-contained test structures conveniently distributed within an adjacent logic portion of the wafer; and selectively causing additional and/or modified fabrication steps to be performed on the wafer based at least in part on measurements obtained from the test structures; It relates to IC manufacturing processes including. In some embodiments, obtaining measurements includes exciting the test structures with charged particles (e.g., an electron beam), inspecting the test structures with brightfield inspection, and inspecting the test structures with SEM inspection. It may include inspecting the test structures, or contacting the test structures with probing for electrical measurements. In some embodiments, selectively causing the wafer to undergo additional fabrication steps or physical failure analysis determines whether one or more of the initial fabrication steps should be reprocessed, or whether the additional fabrication steps should be performed. , or determining whether the wafer should be discarded.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 몇몇 실시 예들에 따른 제품 IC 웨이퍼를 제조하는 프로세스는 대표적으로 적어도 초기 제품 웨이퍼 레이아웃을 획득하는 단계; 컴퓨터를 사용하여 상기 초기 제품 웨이퍼 레이아웃을 분석하고 테스트 구조의 삽입을 위한 편의적 부위들(예컨대, 더미 충진, 충진재 셀들, 탭 셀들, 디캡 셀들)을 식별하는 단계; 상기 컴퓨터를 사용하여 테스트 구조의 삽입을 위해 식별된 편의적 부위들을 가로질러 적어도 하나의 분포된 DOE를 집합적으로 구성하는 복수 개의 테스트 구조들을 삽입함으로써 상기 초기 제품 웨이퍼 레이아웃을 변경하는 단계; 컴퓨터 판독가능 레이아웃 데이터 기록에서 상기 변경된 제품 웨이퍼 레이아웃을 제작하는데 필요한 정보를 저장하지만 상기 분포된 DOE(들)를 이용하는데 필요한 정보를 저장하지 않는 단계; 컴퓨터 판독가능 테스트 데이터 기록에서 상기 분포된 DOE(들)를 이용하는데 필요한 정보를 저장하는 단계; 및 상기 변경된 제품 웨이퍼 레이아웃에 기반하여 웨이퍼의 제조를 허용하도록 제조자에게 상기 레이아웃 데이터 기록으로부터의 정보를 제공하는 단계; 를 포함할 수 있다. 본 발명의 이러한 실시형태, 그리고 다른 실시형태들에 의하면, 그러한 레이아웃 변경들은 설계 흐름 동안에(다시 말하면, 설계 종료 신호(design sign-off) 전에) 또는 후속의 마스크 데이터 처리(mask data processing; MDP) 단계(들) 동안에, 또는 이들 양자 모두의 동안에 진행될 수 있다. 본 발명의 관련된 실시형태에 의하면, IC 제품 칩들을 제조하는 방법은 대표적으로 적어도 제1 제품 IC 웨이퍼를 수납하는 단계로서, 상기 제1 제품 IC 웨이퍼는 적어도 하나의 분포된 DOE를 집합적으로 구성하는 엠베드(embed)된 테스트 구조들을 지니는 다수의 제품 IC 다이를 포함하는, 단계; 상기 분포된 DOE(들)의 적어도 하나를 식별하고 상기 분포된 DOE(들)의 적어도 하나의 사용을 허용하는 데이터를 수신하는 단계; 상기 적어도 하나의 분포된 DOE(들)를 이용하여 제1 제품 IC 웨이퍼의 제조에 관한 정보를 획득하는 단계; 및 상기 제1 제품 IC 웨이퍼를 처리하여 다수의 IC 제품 칩을 이루게 하는 단계를 포함할 수 있다. 그러한 방법들은 적어도 이하의 추가적인 단계들, 다시 말하면 상기 제1 제품 IC 웨이퍼와 동일한 제2 제품 IC 웨이퍼를 수납하는 단계; 상기 제2 제품 IC 웨이퍼 상의 상기 분포된 DOE(들) 중 적어도 하나를 이용하여 상기 제2 제품 IC 웨이퍼의 제조에 관한 정보를 획득하는 단계; 및 상기 제2 제품 IC 웨이퍼를 처리하여 다수의 IC 제품 칩을 이루게 하는 단계; 를 더 포함할 수 있다. 본 발명의 이러한 실시형태들에 의하면, 그러한 DOE(들) 및/또는 테스트 구조(들)로부터의 데이터는 웨이퍼 배치 결정들, 재처리 결정들, 프로세스 제어, 수율 학습, 또는 고장 진단시 이용될 수 있다.Also, generally speaking, and without intending to be limiting, the process of fabricating a product IC wafer according to some embodiments of the present invention typically includes obtaining at least an initial product wafer layout; analyzing the initial product wafer layout using a computer and identifying convenient areas for insertion of a test structure (eg, dummy fill, fill cells, tap cells, decap cells); altering the initial product wafer layout by using the computer to insert a plurality of test structures that collectively constitute at least one distributed DOE across the identified convenient sites for insertion of the test structure; storing in a computer readable layout data record information necessary to fabricate the modified product wafer layout but not storing information necessary to utilize the distributed DOE(s); storing information necessary to utilize the distributed DOE(s) in a computer readable test data record; and providing information from the layout data record to a manufacturer to permit fabrication of wafers based on the altered product wafer layout. can include In accordance with this and other embodiments of the present invention, such layout changes may be made during design flow (ie, before design sign-off) or subsequent mask data processing (MDP). It may proceed during the step(s), or during both. In accordance with a related embodiment of the present invention, a method of manufacturing IC product chips typically includes receiving at least a first product IC wafer, wherein the first product IC wafer collectively constitutes at least one distributed DOE. comprising a plurality of product IC dies having embedded test structures; receiving data identifying at least one of the distributed DOE(s) and permitting use of at least one of the distributed DOE(s); obtaining information about fabrication of a first product IC wafer using the at least one distributed DOE(s); and processing the first product IC wafer to form a plurality of IC product chips. Such methods include at least the following additional steps: receiving a second product IC wafer identical to the first product IC wafer; obtaining information regarding fabrication of the second product IC wafer using at least one of the distributed DOE(s) on the second product IC wafer; and processing the second product IC wafer to form a plurality of IC product chips; may further include. According to these embodiments of the invention, data from such DOE(s) and/or test structure(s) can be used in wafer placement decisions, reprocessing decisions, process control, yield learning, or failure diagnosis. have.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 다른 한 실시형태에 따른 제품 IC 웨이퍼는 적어도 기능적 제품 회로 부위 내에 분포되어 있는 다수의 전자 비임 운용 가능한 테스트 구조(또는 패드/타깃)를 지니는 기능적 제품 회로 부위; 및 임의의 테스트 구조들(패드들/타깃들)을 운용할 편의를 결여(缺如)하지 않고 전자 비임 스캐너가 (스캔 방향으로 측정된) 전자 비임 스캐너의 전체 스캔 길이의 적어도 10%, 15%, 또는 20%를 스킵하는 것을 각각 허용하는 복수 개의 전자 비임 스킵 존들을 포함할 수 있다. 그러한 제품 IC 웨이퍼는 적어도 하나 이상의 공백 전자 비임 스캐닝 트랙들을 부가적으로 포함하는 것이 바람직할 수 있으며, 상기 하나 이상의 공백 전자 비임 스캐닝 트랙들 각각은 기능적 제품 회로 부위의 전체 폭에 걸쳐 있다.Also, generally speaking, and without intending to be limiting, a product IC wafer according to another embodiment of the present invention has multiple electron beam operable test structures (or pads/targets) distributed within at least functional product circuitry areas. functional product circuit regions; and at least 10%, 15% of the total scan length of the electron beam scanner (measured in the scan direction) without lacking the convenience of operating any test structures (pads/targets). , or a plurality of electron beam skipping zones each allowing skipping of 20%. Such product IC wafers may further preferably include at least one or more blank electron beam scanning tracks, each of the one or more blank electron beam scanning tracks spanning the entire width of the functional product circuitry area.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 다른 한 실시형태는 예를 들면 적어도 스크라이브 라인 부위들에 의해 분할되는 적어도 3 x 3(또는 5 x 5, 10 x 10, 20 x 20, 또는 50 x 50 등등) 제품 다이를 포함하는 제품 웨이퍼들로서, 상기 웨이퍼들은 상기 제품 다이들 각각이 제품 기능을 지원하는 (많은) 복수 개의 작동 가능한 (조합 및/또는 순차) 논리 셀들을 포함하고, 상기 제품 다이들 각각이 상기 논리 셀들로 산재해 있는 복수 개의 테스트-허용 탭 셀들을 포함하며, 상기 테스트-허용 탭 셀들 각각이 (전자 비임 테스트 패드를 지니거나 전자 비임 테스트 패드를 지니지 않는) 자체 수납형 전압 콘트라스트 테스트 구조를 포함하고, 상기 스크라이브 라인 부위들 각각이 (해당 전자 비임 테스트 패드들을 지니거나 해당 전자 비임 테스트 패드들을 지니지 않는) 복수 개의 전압 콘트라스트 테스트 구조들을 포함하는 것을 특징으로 하는, 제품 웨이퍼들에 관련된다. 그러한 제품 다이들은 복수 개의 테스트-허용 디캡 셀들로서, 테스트-허용 디캡 셀들 각각이 해당 전자 비임 테스트 패드들을 지니거나 해당 전자 비임 테스트 패드들을 지니지 않는) 자체 수납형 전압 콘트라스트 테스트 구조를 포함하는, 복수 개의 테스트 허용 디캡 셀들; 복수 개의 테스트 허용 충진재 셀들로서, 테스트 허용 충진재 셀들 각각이 (해당 전자 비임 테스트 패드들을 지니거나 해당 전자 비임 테스트 패드들을 지니지 않는) 자체 수납형 전압 콘트라스트 테스트 구조; 및/또는 (해당 전자 비임 테스트 패드들을 지니거나 해당 전자 비임 테스트 패드들을 지니지 않는) 상기 제품 다이들의 더미 충진 영역들에서 구현되는 복수 개의 자체 수납형 전압 콘트라스트 테스트 구조들을 부가적으로 포함할 수 있다. 몇몇 실시 예들에서는, 상기 스크라이브 라인 부위들이 (전자 비임 타깃 패드들을 포함하는) 전압 콘트라스트 테스트 구조들로 실질적으로 완전히 밀집되어 있고, 상기 스크라이브 라인 부위들에 포함되어 있는 일부 또는 다수의 상기 테스트 구조들이 카나리 구조들을 포함할 수 있으며, 의도적인 층 오정렬들을 포함할 수 있고, 그리고/또는 의도적인 프로세스 설계 규칙 위반들을 포함할 수 있다.Again, generally speaking and without intending to be limiting, another embodiment of the present invention is for example at least 3 x 3 (or 5 x 5, 10 x 10, 20 x 20, 20 x 20, or 50 x 50, etc.) product wafers, each of which includes a (many) plurality of operable (combination and/or sequential) logic cells supporting a product function; Each of the product dies includes a plurality of test-accepting tap cells interspersed with the logic cells, each of the test-accepting tap cells being self-contained (with or without electron beam test pads) product wafers comprising a voltage contrast test structure, wherein each of the scribe line portions comprises a plurality of voltage contrast test structures (with or without corresponding electron beam test pads) related to Such product dies are a plurality of test-accepting decap cells, each of which includes a self-contained voltage contrast test structure (with or without corresponding electron beam test pads). test allowed decap cells; a plurality of test acceptance filler cells, each of the test acceptance filler cells (with or without corresponding electron beam test pads) self-contained voltage contrast test structure; and/or a plurality of self-contained voltage contrast test structures implemented in dummy fill areas of the product dies (with or without corresponding electron beam test pads). In some embodiments, the scribe line regions are substantially completely populated with voltage contrast test structures (including electron beam target pads), and some or a plurality of the test structures included in the scribe line regions canary structures, may include intentional layer misalignments, and/or may include intentional process design rule violations.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 다른 한 실시형태는 예를 들면 적어도 제품 IC 웨이퍼에 초기 제조 단계들을 수행하게 하는 단계; 적어도 40개(바람직하게는 적어도 100개)의 자체 수납형 테스트 구조, 상기 웨이퍼의 인접한 논리 부분(다시 말하면, 기능적 제품 논리를 포함하는 웨이퍼 부분) 내에 불규칙하게 분포되어 있는 테스트 구조들 중 적어도 20개의 테스트 구조, 및 상기 웨이퍼의 스크라이브 라인 부분들 내에 위치해 있는 테스트 구조들의 적어도 20개의 테스트 구조로부터의 전자 비임 여기 측정값들을 획득하는 단계; 및 상기 테스트 구조들로부터 획득된 측정값들을 적어도 부분적으로 기반으로 하여, 상기 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계; 를 포함하는 IC 제조 프로세스들에 관련된다. 몇몇 바람직한 실시 예들에서는, 측정값들을 획득하는 단계가 (예를 들면, 단일의 픽셀 값, 또는 10개 미만의 픽셀 값을 샘플링함으로써) 상기 웨이퍼의 어떤 상당한 부분을 지속적으로 스캐닝하지 않고, 상기 웨이퍼의 스크라이브 라인 부위들에 위치해 있는 전자 비임 타깃 패드들을 선택적으로 타깃팅하는 단계를 포함한다. 몇몇 바람직한 실시 예들에서는, 측정값들을 획득하는 단계가 상기 웨이퍼의 어떤 상당한 부분을 지속적으로 스캐닝하지 않고, 상기 웨이퍼의 인접한 논리 부분 내에 위치해 있는 전자 비임 타깃 패드들을 선택적으로 타깃하는 단계를 포함한다. 몇몇 실시 예들에서는, 선택적으로 수행하게 하는 단계가 상기 초기 제조 단계들 중 하나 이상을 재처리해야 할지를 결정하는 단계를 포함할 수 있다. 그리고 몇몇 실시 예들에서는, 선택적으로 수행하게 하는 단계가 상기 추가적인 제조 단계들을 수행해야 할지를 결정하는 단계를 포함할 수 있다.Again, generally speaking, and without intending to be limiting, another embodiment of the present invention includes, for example, performing initial fabrication steps on at least a product IC wafer; At least 40 (preferably at least 100) self-contained test structures, at least 20 of the test structures randomly distributed within adjacent logic portions of the wafer (ie, portions of the wafer containing functional product logic) obtaining electron beam excitation measurements from a test structure and at least 20 of the test structures located within scribe line portions of the wafer; and selectively causing additional fabrication steps to be performed on the wafer based at least in part on measurements obtained from the test structures; It relates to IC manufacturing processes including. In some preferred embodiments, obtaining measurements is performed without continuously scanning any significant portion of the wafer (e.g., by sampling a single pixel value, or less than 10 pixel values), and and selectively targeting electron beam target pads located at scribe line portions. In some preferred embodiments, acquiring measurements includes selectively targeting electron beam target pads located within an adjacent logical portion of the wafer, without continuously scanning any significant portion of the wafer. In some embodiments, selectively causing may include determining whether one or more of the initial fabrication steps should be reprocessed. And in some embodiments, selectively performing may include determining whether to perform the additional fabrication steps.

본 발명의 몇몇 실시 예들은 제품 웨이퍼들의 스크라이브 라인 영역들에 위치해 있는 상기 미국 임시 특허출원 제62/011,161호에 기재되어 있는 타입을 포함하지만 이에 국한되지 않는 전기적으로 프로브 가능한 테스트 구조들을 포함할 수 있다. 그러한 전기적으로 프로브 가능한 테스트 구조들은 자기 자신의 프로브 패드들을 포함할 수도 있고 인접한 전압-콘트라스트 테스트 구조들을 지닌 하나 이상의 패드들을 공유함으로써, 단일의 패드들이 프로브 패드들로서 그리고 전자 비임 타깃 패드들로서의 기능을 수행하는 것을 허용할 수 있다.Some embodiments of the present invention may include electrically probeable test structures, including but not limited to the type described in the above-mentioned US Provisional Patent Application No. 62/011,161, located in the scribe line regions of product wafers. . Such electrically probeable test structures may include their own probe pads and share one or more pads with adjacent voltage-contrast test structures, so that single pads function as probe pads and as electron beam target pads. can be allowed to

이하의 검토에서는, (이하, 도 11 - 도 85의) 본 발명의 셀들이 "셀들" 및 "수단들" 양자 모두로 언급될 것이다. 명료함과 명확함을 위해, 본원 출원인들은, 예를 들면, "도 82 셀"에 대한 언급이, "도 82에 도시되어 있는 토폴로지 설계를 지니는 셀"을 의미하는 것으로 해석되는 반면에, "도 82 수단"에 대한 유사한 언급이 "도 82 셀의 논리 기능, 다시 말하면 '구동력 1의 2-입력, 3-상태 멀티플렉서'를 구현하고, 도 82에 도시되어 있는 구조, 또는 등가 구조를 지니는 셀"을 커버하는 것으로

Figure 112017003192669-pct00001
에 따라 해석됨을 의도한 것이다.In the discussion below, cells of the present invention (hereinafter in FIGS. 11-85) will be referred to both as “cells” and “means”. For the sake of clarity and clarity, Applicants shall interpret, for example, reference to a "FIG. 82 cell" to mean "a cell having the topological design shown in FIG. 82", whereas "FIG. 82 A similar reference to "means" refers to "a cell that implements the logic function of the Figure 82 cell, namely 'two-input, three-state multiplexer of driving force 1', and has the structure shown in Figure 82, or an equivalent structure" by covering
Figure 112017003192669-pct00001
It is intended to be interpreted accordingly.

일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 추가적인 실시형태들은 적어도 500개(또는 1000개, 1500개 등등)의 셀들의 인접한 논리 영역 내에, (i) 도 33a 및 도 33b 셀; 도 34a 및 도 34b cell; 도 35a 및 도 35b 셀; 도 36a 및 도 36b 셀; 도 37a 및 도 37b 셀; 도 38a 및 도 38b 셀; 도 39a 및 도 39b 셀; 도 40a 및 도 40b 셀; 도 41a 및 도 41b 셀; 도 42a 및 도 42b 셀; 도 43a 및 도 43b 셀; 도 44a 및 도 44b 셀; 도 45 셀; 도 46 셀; 도 47 셀; 도 48 셀; 도 49 셀; 도 50 셀; 도 51 셀; 도 52 셀; 도 53 셀; 도 54 셀; 도 55 셀; 도 56 셀; 도 57 셀; 도 58 셀; 도 59 셀; 도 60 셀; 도 61 셀; 도 62 셀; 도 63 셀; 도 64 셀; 도 65 셀; 도 66 셀; 도 67 셀; 도 68 셀; 도 69 셀; 도 70 셀; 도 71 셀; 도 72 셀; 도 73 셀; 도 74 셀; 도 75 셀; 도 76 셀; 도 77 셀; 도 78 셀; 도 79 셀; 도 80 셀; 도 81 셀; 도 82 셀; 도 83a 및 도 83b 셀; 도 84 셀; 및 도 85 셀; 로 이루어진 집합으로부터 선택된 적어도 선택 개수(예컨대, 3개, 4개, 5개, 6개, 7개 등등)의 개별 기능 셀, 및 (ii) 적어도 1개(또는 2개, 3개, 4개 등등)의 개별 타입들을 포함하는 적어도 10개의 테스트-허용 셀로서, 도 11 셀; 도 12 셀; 도 13 셀; 도 14 셀; 도 15 셀; 도 16 셀; 도 17 셀; 도 18 셀; 도 19 셀; 도 20 셀; 도 21 셀; 도 22 셀; 도 23 셀; 도 24 셀; 도 25 셀; 도 26 셀; 도 27 셀; 도 28 셀; 도 29 셀; 도 30 셀; 도 31 셀; 및 도 32 셀; 로 이루어진 집합으로부터 선택되는 적어도 10개의 테스트-허용 셀을 포함하는 제품 집적 회로들에 관련된다. 본 발명의 다른 한 실시형태는 예를 들면 위에 언급한 집합들로부터 선택되는 적어도 선택 개수의 개별 셀들을 인스턴스(instance)화하여 제작함으로써 그러한 IC들을 제조하는 방법들에 관련된다.Generally speaking, and without intending to be limiting, additional embodiments of the present invention may include, within a contiguous logical region of at least 500 (or 1000, 1500, etc.) cells: (i) FIGS. 33A and 33B cells; 34a and 34b cell; 35A and 35B cells; 36A and 36B cells; 37A and 37B cells; 38A and 38B cells; 39A and 39B cells; 40A and 40B cells; 41A and 41B cells; 42A and 42B cells; 43A and 43B cells; 44A and 44B cells; Figure 45 cell; Figure 46 cell; Figure 47 cell; Figure 48 cell; Figure 49 cell; Figure 50 cells; Figure 51 cell; Figure 52 cell; Figure 53 cell; Figure 54 cells; Figure 55 cells; Figure 56 cell; Figure 57 cells; Figure 58 cell; Figure 59 cells; Figure 60 cells; Figure 61 cell; Figure 62 cell; Figure 63 cells; Figure 64 cells; Figure 65 cells; Figure 66 cell; Figure 67 cell; Figure 68 cell; Figure 69 cells; Figure 70 cells; Figure 71 cell; Figure 72 cell; Figure 73 cell; Figure 74 cell; Figure 75 cells; Figure 76 cells; Figure 77 cell; Figure 78 cells; Figure 79 cell; Figure 80 cell; Figure 81 cell; Figure 82 cell; 83A and 83B cells; Figure 84 cell; and FIG. 85 cells; at least a select number (eg, 3, 4, 5, 6, 7, etc.) of individual functional cells selected from the set consisting of, and (ii) at least one (or two, three, four, etc.) ), as at least 10 test-accepting cells comprising distinct types of FIG. 11 cell; Figure 12 cell; Figure 13 cell; Figure 14 cell; Figure 15 cell; Figure 16 cell; Figure 17 cell; Figure 18 cell; Figure 19 cell; Figure 20 cell; Figure 21 cell; Figure 22 cell; Figure 23 cell; Figure 24 cell; Figure 25 cell; Figure 26 cell; Figure 27 cell; Figure 28 cell; Figure 29 cell; Figure 30 cells; Figure 31 cell; and Figure 32 cell; It relates to product integrated circuits comprising at least 10 test-accepting cells selected from the set consisting of. Another embodiment of the present invention relates to methods of manufacturing such ICs, for example by instantiating and fabricating at least a select number of individual cells selected from the aforementioned sets.

또, 일반적으로 말하면, 그리고 제한하려는 의도 없이, 본 발명의 추가적인 실시형태들은 적어도 200개(또는 500개, 1000개 등등)의 수단들의 인접한 논리 영역 내에, (i) 도 33a 및 도 33b 수단; 도 34a 및 도 34b 수단; 도 35a 및 도 35b 수단; 도 36a 및 도 36b 수단; 도 37a 및 도 37b 수단; 도 38a 및 도 38b 수단; 도 39a 및 도 39b 수단; 도 40a 및 도 40b 수단; 도 41a 및 도 41b 수단; 도 42a 및 도 42b 수단; 도 43a 및 도 43b 수단; 도 44a 및 도 44b 수단; 도 45 수단; 도 46 수단; 도 47 수단; 도 48 수단; 도 49 수단; 도 50 수단; 도 51 수단; 도 52 수단; 도 53 수단; 도 54 수단; 도 55 수단; 도 56 수단; 도 57 수단; 도 58 수단; 도 59 수단; 도 60 수단; 도 61 수단; 도 62 수단; 도 63 수단; 도 64 수단; 도 65 수단; 도 66 수단; 도 67 수단; 도 68 수단; 도 69 수단; 도 70 수단; 도 71 수단; 도 72 수단; 도 73 수단; 도 74 수단; 도 75 수단; 도 76 수단; 도 77 수단; 도 78 수단; 도 79 수단; 도 80 수단; 도 81 수단; 도 82 수단; 도 83a 및 도 83b 수단; 도 84 수단; 및 도 85 수단; 으로 이루어진 집합으로부터 선택된 적어도 선택 개수(예컨대, 2개, 3개, 4개, 5개 등등)의 개별 "수단"(다시 말하면,

Figure 112017003192669-pct00002
에 따른 해당 수단 및 그의 등가물), 및 (ii) 적어도 1개(또는 2개, 3개, 4개 등등)의 개별 타입들을 포함하는 적어도 10개의 테스트-허용 수단으로서, 도 11 수단; 도 12 수단; 도 13 수단; 도 14 수단; 도 15 수단; 도 16 수단; 도 17 수단; 도 18 수단; 도 19 수단; 도 20 수단; 도 21 수단; 도 22 수단; 도 23 수단; 도 24 수단; 도 25 수단; 도 26 수단; 도 27 수단; 도 28 수단; 도 29 수단; 도 30 수단; 도 31 수단; 및 도 32 수단; 으로 이루어진 집합으로부터 선택되는 적어도 10개의 테스트-허용 셀을 포함하는 제품 집적 회로들에 관련된다. 본 발명의 다른 한 실시형태는 예를 들면 위에 언급한 집합들로부터 선택되는 적어도 선택 개수의 개별 수단들을 인스턴스(instance)화하여 제작함으로써 그러한 IC들을 제조하는 방법들에 관련된다. 본 발명의 부가적인 실시형태들은 위에서 정의된 타입의 적어도 선택된 개수(예컨대, 1개, 2개, 3개, 4개)의 상기 IC들과 아울러, 재충전 가능한 전원(들)과 같은 다른 옵션 구성요소들을 포함하는 전자 시스템들(고정식 또는 이동식)에 관련된다. 그리고 본 발명의 여전히 부가적인 실시형태들은 예를 들면 적어도 선택된 개수의 상기 위에서 언급한 "셀들" 및/또는 "수단들"을 인스턴스화함으로써 그러한 IC들을 제조하는 방법들에 관련된다.Again, generally speaking and without intending to be limiting, additional embodiments of the present invention may include, within a contiguous logical area of at least 200 (or 500, 1000, etc.) means: (i) means FIGS. 33A and 33B; Figures 34a and 34b means; Figures 35a and 35b means; Figures 36a and 36b means; Figures 37a and 37b means; Figures 38a and 38b means; Figures 39a and 39b means; Figures 40a and 40b means; Figures 41a and 41b means; Figures 42a and 42b means; Figures 43a and 43b means; Figures 44a and 44b means; Fig. 45 means; Fig. 46 means; Fig. 47 means; Fig. 48 means; Fig. 49 means; Fig. 50 means; Fig. 51 means; Fig. 52 means; Fig. 53 means; Fig. 54 means; Fig. 55 means; Fig. 56 means; Fig. 57 means; Fig. 58 means; Fig. 59 Means; Fig. 60 means; Fig. 61 means; Fig. 62 means; Fig. 63 means; Fig. 64 means; Fig. 65 means; 66 means; 67 means; Fig. 68 means; Fig. 69 Means; Fig. 70 means; Fig. 71 means; Fig. 72 means; Fig. 73 means; Fig. 74 means; Fig. 75 means; Fig. 76 means; Fig. 77 Means; Fig. 78 means; Fig. 79 Means; Fig. 80 means; 81 means; 82 means; 83a and 83b means; 84 means; and Figure 85 means; At least a select number (e.g., 2, 3, 4, 5, etc.) of individual "means" (in other words,
Figure 112017003192669-pct00002
and (ii) at least 10 test-accepting means, including at least one (or two, three, four, etc.) distinct types, FIG. 11 means; Fig. 12 means; Fig. 13 means; Fig. 14 means; Fig. 15 means; Fig. 16 means; Fig. 17 means; Fig. 18 means; Fig. 19 means; Fig. 20 means; Fig. 21 means; Fig. 22 means; Fig. 23 means; Fig. 24 means; Fig. 25 means; Fig. 26 means; Fig. 27 means; Fig. 28 means; Fig. 29 means; Fig. 30 means; Fig. 31 means; and Figure 32 means; It relates to product integrated circuits comprising at least 10 test-accepting cells selected from the set consisting of. Another embodiment of the present invention relates to methods for manufacturing such ICs, for example by instantiating and fabricating at least a select number of individual means selected from the aforementioned sets. Additional embodiments of the present invention may include at least a selected number (e.g., 1, 2, 3, 4) of the ICs of the type defined above, as well as other optional components such as rechargeable power source(s). It relates to electronic systems (stationary or mobile) comprising And still further embodiments of the present invention relate to methods of manufacturing such ICs, for example by instantiating at least a selected number of the above mentioned “cells” and/or “means”.

전형적인 논리 및 테스트-허용 셀들(도 11 - 도 85)이 테이퍼 기기(tapered device)들에 관련된 파라미터 변동 문제들 및 기능적 수율 손실 문제들을 회피하기 위해 테이퍼 기기들의 사용을 회피하였지만, 통상의 기술자라면 바로 알 수 있겠지만, 이러한 셀들의 등가, 변형 예들이 테이퍼 기기들을 사용할 수 있고 그러한 변형에 의한 테이퍼 기기의 예들이 본 발명의 범위 내에 있게 된다.Although typical logic and test-accepting cells (FIGS. 11-85) avoid the use of tapered devices to avoid the parameter variation problems and functional yield loss problems associated with tapered devices, those skilled in the art can immediately As will be appreciated, equivalent, variants of these cells may use tapered devices and examples of tapered devices with such variations are within the scope of the present invention.

본 발명의 다른 한 실시형태는 반도체 웨이퍼들의 표면상의 결함들을 찾아내는 것이 주 기능인(다시 말하면, 검사기(inspector)로서의 기능을 수행하는) 하전 입자 컬럼(전자들 또는 이온들)을 이용하는 도구의 사용에 관련된다. (본 발명의 기재에서는 용어 "전자 비임"이 사용되고 있지만, 여기서 이해할 점은 상기 "전자 비임"이 모든 하전된 비임들에 적용된다는 점이다.)Another embodiment of the present invention relates to the use of a tool that uses a column of charged particles (electrons or ions) whose main function is to find defects on the surface of semiconductor wafers (that is, to function as an inspector). do. (While the term "electron beam" is used in the description of the present invention, it is to be understood herein that the term "electron beam" applies to all charged beams.)

본 발명의 한 실시형태에 의하면, 웨이퍼 표면상의 픽셀들을 샘플링하는 VC 검사기가 설명되어 있다. 이러한 스캐닝 방법은 이전에 설계되어 있는 모든 검사기들과는 근본적으로 다르다. 한 실시 예에서는, 결함이 존재하는지를 결정하는데 픽셀 값(다시 말하면, 전자 비임 신호)이 사용되는 몇몇 지정된 X-Y 좌표들을 픽셀들이 지닌다. 이는 선행기술의 전형적인 2-D 검사 대신에 0-D 검사로 간주할 수 있다.In accordance with one embodiment of the present invention, a VC inspector for sampling pixels on a wafer surface is described. This scanning method is fundamentally different from all previously designed inspection systems. In one embodiment, pixels have some designated X-Y coordinates at which the pixel value (ie, the electron beam signal) is used to determine if a defect exists. This can be considered a 0-D inspection instead of the typical 2-D inspection of the prior art.

한 실시 예에서는, 상기 픽셀이 전압 콘트라스트 결함을 찾아낼 목적으로 특별히 제작되는 전기 테스트 구조의 "패드"에 상응한다. 상기 비임은 지정된 기간 동안 상기 패드 상에 비춰진다. 각각의 테스트 구조는 하나 이상의 패드들을 지닐 수 있다(검사기는 패드당 하나의 픽셀을 판독한다). 그러한 테스트 패드들은 패턴들이 주로 "테스트 칩"으로서 설계되어 있는 반도체 웨이퍼 상에 존재할 수도 있고 "제품 웨이퍼"에 엠베드될 수도 있다.In one embodiment, the pixels correspond to "pads" of an electrical test structure specially designed for the purpose of finding voltage contrast defects. The beam is projected onto the pad for a specified period of time. Each test structure can have one or more pads (the tester reads one pixel per pad). Such test pads may exist on semiconductor wafers whose patterns are primarily designed as "test chips" or may be embedded in "product wafers".

한 실시 예에서는, 각각의 픽셀이 반도체 제품 레이아웃의 어느 특정한 위치에 상응한다. 이러한 픽셀들은 상기 제품상의 이러한 위치들에서의 신호 이상(signal abnormality)이 특정 타입의 결함 또는 특정 타입들의 결함들을 나타내기 때문에 선택된다.In one embodiment, each pixel corresponds to a specific location in the semiconductor product layout. These pixels are selected because the signal abnormality at these locations on the product indicates a certain type of defect or certain types of defects.

한 실시 예에서는, 스테이지가 "단계 및 스캔" 검사와 마찬가지로 고정 상태로 유지된다. 일단 주어진 시야(field of view)에 상응하는 픽셀 값들이 감지되면, 상기 스테이지는 다음 픽셀 집합이 판독될 수 있는 다른 한 위치로 이동한다.In one embodiment, the stage remains stationary, similar to a “step and scan” inspection. Once pixel values corresponding to a given field of view are detected, the stage moves to another position from which the next set of pixels can be read out.

한 실시 예에서는, 상기 픽셀들이 스캐닝되고 있을 때 상기 스테이지가 이동하게 되고 상기 스테이지의 이동을 고려하도록 전자 비임을 그에 따라 편향시킴으로써 검사가 이루어진다.In one embodiment, the stage is moved as the pixels are being scanned and inspection is made by deflecting the electron beam accordingly to account for the stage movement.

한 실시 예에서는, 각각의 위치에서의 픽셀 판독이 지속 되는 기간은 각각의 픽셀에 대해 동적인데, 다시 말하면 각각의 시점에서 검사되는 테스트 구조 또는 제품 회로에 의존하여, 상기 위치에 유지되는 비임이 지속 되는 기간은 적절히 변하게 된다.In one embodiment, the duration of pixel reading at each location is dynamic for each pixel, i.e. depending on the test structure or product circuit being tested at each point in time, the beam held at that location is continuous. The period of time will change accordingly.

한 실시 예에서는, 상기 웨이퍼 상의 비임의 크기가 고정되어 있지는 않지만, 판독되는 각각의 위치에 대해 동적으로 변하게 된다. 이러한 타입의 비임 정형은 전자 비임 기록기들에서 사용되고 있는 것과 유사하다. 구조 단위에 기초한 스폿의 크기결정은 상기 비임이 각각의 구조에 대해 최적화되는 것을 허용한다. 상기 최적화는 상기 검사의 신호 대 잡음 비(signal-to-noise ratio; SNR)를 최대화하는 것이 전형적이다. 본 발명의 다른 한 실시형태는 테스트 패드를 지니는 "테스트용 전압 콘트라스트 기기(voltage-contrast device-under-test; VC DUT)"의 설계에 관련되며, 이 경우에 완전한 구조가 매우 적은(<10) 픽셀들을 가지고 테스트를 받게 된다. 그러한 VC DUT는 크기 및 형상이 비-원형 입사 전자 비임을 수용함과 동시에 SNR을 최대화하는 테스트 패드를 지닐 수 있다. 그러한 비임들은 또한 마찬가지로 사각형 형상을 이루고 있는 패드들과 매치(match) 하도록 사각형 형상을 이루고 있을 수 있다. 그러한 패드들은 3보다 큰 비대칭 애스펙트 비(X/Y 길이 비를 갖는 비임들을 획득하도록 구성될 수 있다(100nm의 X-치수 및 300-600nm의 Y-치수를 지니는 DUT가 3:1, 4:1, 5:1의 애스펙트 비를 지니게 된다).In one embodiment, the size of the beam on the wafer is not fixed, but changes dynamically for each position being read. This type of beam shaping is similar to that used in electronic beam recorders. The sizing of the spot on a structural unit basis allows the beam to be optimized for each structure. The optimization typically maximizes the signal-to-noise ratio (SNR) of the test. Another embodiment of the present invention relates to the design of a "voltage-contrast device-under-test (VC DUT)" with test pads, in which case the complete structure is very small (<10). It is tested with pixels. Such a VC DUT may have a test pad whose size and shape accommodates a non-circular incident electron beam while maximizing SNR. Such beams may also be rectangular in shape to match pads which are also rectangular in shape. Such pads can be configured to obtain beams with an asymmetric aspect ratio (X/Y length ratio) greater than 3 (a DUT with an X-dimension of 100 nm and a Y-dimension of 300-600 nm is 3:1, 4:1 , which has an aspect ratio of 5:1).

본 발명의 이들 및 다른 실시형태들, 특징들 및 이점들은 이하 첨부도면들에 전형화되어 있다.These and other embodiments, features and advantages of the present invention are exemplified in the accompanying drawings below.

도 1은 행들로 배치되어 있는 논리 셀들(L), 충진재 셀들(F), 및 탭 셀들(T)을 포함하며, 상기 행들 사이에는 라우팅 부위들이 있으며 상기 행들에 인접해서는 디캡 셀들(dC)이 있는 일반 셀 레이아웃의 대표적인 단면을 개념적인 방식으로 보여주는 도면이다.
도 2는 도 1과 동일하지만 제1 층에 더미 충진 부위들이 나타나 있는 레이아웃을 보여주는 도면이다.
도 3은 도 1 및 도 2와 동일하지만 제2 층에 더미 충진 부위(들)가 나타나 있는 레이아웃을 보여주는 도면이다.
도 4는 도 3 레이아웃의 충진재 셀들, 탭 셀들, 디캡 셀들, 및 더미 충진 영역들이 자체 수납형 테스트 구조들로 대체되는 발명에 따른 전형적인 레이아웃을 보여주는 도면이다.
도 5는 (본 발명에 따라 사용하기 위한) 일반 셀 레이아웃의 바람직한 형태를 개념적인 방식으로 보여주는 도면이다.
도 6은 도 5 레이아웃의 충진재 셀들, 디캡 셀들, 탭 셀들, 및 더미 충진 영역들이 자체 수납형 테스트 구조들로 대체되는 본 발명에 따른 전형적인 레이아웃을 보여주는 도면이다.
도 7은 본 발명의 몇몇 실시 예들에 따른 편의적 테스트 구조 삽입을 위한 전형적인 프로세스 흐름을 보여주는 도면이다.
도 8은 (도 7 또는 도 10에 따른) 편의적으로 삽입된 테스트 구조들을 이용하여 유용한 결과들을 얻기 위한 전형적인 프로세스 흐름을 보여주는 도면이다.
도 9는 신속한 전자 비임 스캐닝을 허용하는 공백 트랙(들) 및/또는 스킵 존(들)을 만들어내도록 배치된 편의적으로 삽입된 테스트 패드들 및/또는 구조들이 나타나 있는 전형적인 웨이퍼 또는 다이의 부분을 개념적인 방식으로 보여주는 도면이다.
도 10은 본 발명의 몇몇 실시 예들에 따른 편의적 테스트 구조 삽입을 위한 전형적인 프로세스 흐름의 변형 예를 보여주는 도면이다.
도 11은 제1의 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 12는 제1의 전형적인 테스트-허용 충전재 셀을 보여주는 도면이다.
도 13은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 14는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 15는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 16은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 17은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 18은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 19는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 20은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 21은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 22는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 23은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 24는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 25는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 26은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 27은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 28은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 29는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 30은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다.
도 31은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 32는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다.
도 33a 내지 도 85는 전형적인 일반 셀 라이브러리의 전형적인 셀들을 보여주는 도면들로서, 특히
도 33a 및 도 33b는 제1의 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 34a 및 도 34b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 35a 및 도 35b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 36a 및 도 36b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 37a 및 도 37b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 38a 및 도 38b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 39a 및 도 39b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 40a 및 도 40b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 41a 및 도 41b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 42a 및 도 42b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 43a 및 도 43b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이고,
도 44a 및 도 44b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이며,
도 45는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 46은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 47은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 48은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 49는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 50은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 51은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 52는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 53은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 54는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 55는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 56은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 57은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 58은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 59는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 60은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 61은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 62는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 63은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 64는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 65는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 66은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 67은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 68은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 69는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 70은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 71은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 72는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 73은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 74는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 75는 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 76은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 77은 다른 한 전형적인 일반 셀을 보여주는 도면이다.
도 78은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 79는 다른 한 전형적인 일반 셀을 보여주는 도면이다.
도 80은 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 81은 다른 한 전형적인 일반 셀을 보여주는 도면이고,
도 82는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 83a 및 도 83b는 다른 한 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 예시하는 도면들이고,
도 84는 다른 한 전형적인 일반 셀을 보여주는 도면이며,
도 85는 다른 한 전형적인 일반 셀을 보여주는 도면이다.
도 86은 선행기술의 "스텝 앤드 스캔(step and scan)" 및 "스와싱(swathing)" 기법들을 보여주는 도면이다.
도 87은 선행기술의 비임 스캐닝/세이핑(정형)(scanning/shaping) 장치를 보여주는 도면이다.
도 88은 도 87의 열(column)을 사용하여 구현될 수 있는 비임 형상들의 예들을 보여주는 도면이다.
도 89는 원형인 것이 전형적이며 동일한 다이들로 나뉘어 있는 전형적인 반도체 웨이퍼를 보여주고, 부가적으로는 테스트 구조들 모두가 다이의 스크라이브 부위들에 위치해 있는 전형적인 경우를 보여주는 도면이다.
도 90은 전자 비임의 스폿에 대한 웨이퍼의 상대적인 이동에 의해 전자 비임의 스폿이 일련의 테스트 구조들의 패드들 상을 스캐닝하게 될 경우 일련의 테스트 구조들의 패드들이 레이아웃되어 있는 일련의 테스트 구조들을 예시하는 도면이다.
도 91은 패드에 공급되는 전자 전류를 최대화하기 위해, 패드의 크기 및 형상을 매치(match) 시키도록 비-원형 방식으로 형상화된 전자 스폿의 예시를 보여주는 도면이다.
도 92는 충전을 많이 필요로 하는 테스트 구조들이 패드 상의 전자 비임 체류 시간을 증가시키도록 전자 비임의 스캐닝 방향을 따라 긴 패드들을 지니게 될 경우 테스트 구조들에 공급되어야 하는 충전량에 따라 크기가 결정되는 패드 형상들의 예시를 보여주는 도면이다.
도 93은 어떠한 패드들도 충전해 있지 않은 긴 스트레치가 있는 경우에 전자 비임이 신속하게 이동하지만, 상기 테스트 구조들의 패드들의 더 많은 충전을 허용하도록 밀집된 영역들에서 일정한 속도 미만으로 전자 비임이 이동하는 시나리오를 보여주는 도면이다.
도 94는 상대적으로 많은 테스트 구조들이 웨이퍼 상에의 전자 비임의 일회 통과로 스캐닝되는 것을 허용하는, 패드들의 양측 상에 레이아웃된 테스트 구조들을 보여주는 도면이다.
도 95는 고체형 패드들의 레이아웃이 반도체 프로세스의 설계 규칙들과 양립할 수 있게 되도록 어떠한 방식으로 고체형 패드들이 미세한 라인들 또는 대체 형상으로 분할될 수 있는지를 보여주는 도면이다.
도 96은 본 발명의 몇몇 실시 예들과 함께 사용하기 위한 "네트 그레이(net grey)" 패드들을 보여주는 도면이다.
도 97은 본 발명의 몇몇 실시형태들/실시 예들에 따른 VC DUT의 한 실시 예를 개념적인 방식으로 예시하는 도면이다.
도 98은 본 발명의 몇몇 실시형태들/실시 예들에 따른 VC DUT의 다른 한 실시 예를 개념적인 방식으로 예시하는 도면이다.
도 99는 본 발명의 몇몇 실시형태들/실시 예들에 따른 VC DUT의 다른 한 실시 예를 개념적인 방식으로 예시하는 도면이다.
1 includes logic cells (L), filler cells (F), and tap cells (T) arranged in rows, with routing parts between the rows and decap cells (dC) adjacent to the rows. A diagram showing a representative cross-section of a typical cell layout in a conceptual manner.
FIG. 2 is a view showing the same layout as FIG. 1 but in which dummy filling areas are shown in the first layer.
FIG. 3 is a view showing the same layout as FIGS. 1 and 2 but with dummy filling area(s) shown in the second layer.
FIG. 4 is a diagram showing a typical layout according to the invention in which the filler cells, tap cells, decap cells, and dummy filling areas of the layout of FIG. 3 are replaced with self-contained test structures.
Figure 5 is a diagram showing in a conceptual manner a preferred form of a generic cell layout (for use in accordance with the present invention).
FIG. 6 is a diagram showing a typical layout according to the present invention in which the filler cells, decap cells, tap cells, and dummy filling areas of the layout of FIG. 5 are replaced with self-contained test structures.
7 is a diagram showing an exemplary process flow for opportunistic test structure insertion in accordance with some embodiments of the present invention.
Figure 8 is a diagram showing an exemplary process flow for obtaining useful results using expediently inserted test structures (according to Figure 7 or Figure 10).
9 is a concept of a portion of a typical wafer or die showing conveniently inserted test pads and/or structures arranged to create blank track(s) and/or skip zone(s) allowing rapid electron beam scanning. It is a drawing that shows in an intuitive way.
10 is a diagram showing a modification of a typical process flow for opportunistic test structure insertion in accordance with some embodiments of the present invention.
11 is a diagram showing a first exemplary test-accepting tap cell.
12 is a diagram showing a first exemplary test-accepting filler cell.
13 is a diagram showing another typical test-accepting filler cell.
14 is a diagram showing another typical test-accepting tap cell.
15 is a diagram showing another typical test-accepting filler cell.
16 is a diagram showing another typical test-accepting tap cell.
17 is a diagram showing another typical test-accepting filler cell.
18 is a diagram showing another typical test-accepting filler cell.
19 is a diagram showing another typical test-accepting tap cell.
20 is a diagram showing another typical test-accepting filler cell.
21 is a diagram showing another typical test-accepting filler cell.
22 is a diagram showing another typical test-accepting filler cell.
23 is a diagram showing another typical test-accepting tap cell.
24 is a diagram showing another typical test-accepting filler cell.
25 is a diagram showing another typical test-accepting tap cell.
26 is a diagram showing another typical test-accepting filler cell.
27 is a diagram showing another typical test-accepting tap cell.
28 is a diagram showing another typical test-accepting filler cell.
29 is a diagram showing another typical test-accepting tap cell.
30 is a diagram showing another typical test-accepting tap cell.
31 is a diagram showing another typical test-accepting filler cell.
32 is a diagram showing another typical test-accepting filler cell.
33A to 85 are diagrams showing typical cells of a typical normal cell library, in particular
33A and 33B are views respectively showing adjacent left and right portions of a first exemplary normal cell;
34a and 34b are views respectively showing adjacent left and right parts of another typical normal cell;
35A and 35B are views respectively showing adjacent left and right parts of another typical normal cell;
36A and 36B are views respectively showing adjacent left and right portions of another typical normal cell;
37A and 37B are views respectively showing adjacent left and right parts of another typical normal cell;
38A and 38B are views respectively showing adjacent left and right portions of another typical normal cell;
39A and 39B are views respectively showing adjacent left and right parts of another typical normal cell;
40A and 40B are views respectively showing adjacent left and right portions of another typical normal cell;
41A and 41B are views respectively showing adjacent left and right portions of another typical normal cell;
42A and 42B are views respectively showing adjacent left and right portions of another typical normal cell;
43A and 43B are diagrams respectively showing adjacent left and right portions of another typical normal cell;
44A and 44B are views respectively showing adjacent left and right portions of another typical normal cell;
45 is a diagram showing another typical normal cell;
46 is a diagram showing another typical normal cell;
47 is a diagram showing another typical normal cell;
48 is a diagram showing another typical normal cell;
49 is a diagram showing another typical normal cell;
50 is a diagram showing another typical normal cell;
51 is a diagram showing another typical normal cell;
52 is a diagram showing another typical normal cell;
53 is a diagram showing another typical normal cell;
54 is a diagram showing another typical normal cell;
55 is a diagram showing another typical normal cell;
56 is a diagram showing another typical normal cell;
57 is a diagram showing another typical normal cell;
58 is a diagram showing another typical normal cell;
59 is a diagram showing another typical normal cell;
60 is a diagram showing another typical normal cell;
61 is a diagram showing another typical normal cell;
62 is a diagram showing another typical normal cell;
63 is a diagram showing another typical normal cell;
64 is a diagram showing another typical normal cell;
65 is a diagram showing another typical normal cell;
66 is a diagram showing another typical normal cell;
67 is a diagram showing another typical normal cell;
68 is a diagram showing another typical normal cell;
69 is a diagram showing another typical normal cell;
70 is a diagram showing another typical normal cell;
71 is a diagram showing another typical normal cell;
72 is a diagram showing another typical normal cell;
73 is a diagram showing another typical normal cell;
74 is a diagram showing another typical normal cell;
75 is a diagram showing another typical normal cell;
76 is a diagram showing another typical normal cell;
77 is a diagram showing another typical normal cell.
78 is a diagram showing another typical normal cell;
79 is a diagram showing another typical normal cell.
80 is a diagram showing another typical normal cell;
81 is a diagram showing another typical normal cell;
82 is a diagram showing another typical normal cell;
83A and 83B are views respectively illustrating adjacent left and right portions of another typical normal cell;
84 is a diagram showing another typical normal cell;
85 is a diagram showing another typical normal cell.
86 is a diagram showing prior art “step and scan” and “swathing” techniques.
87 is a diagram showing a prior art beam scanning/shaping (shaping) device.
FIG. 88 is a diagram showing examples of beam shapes that can be implemented using the columns of FIG. 87 .
FIG. 89 is a diagram showing a typical semiconductor wafer that is typically circular and divided into identical dies, and additionally shows a typical case in which test structures are all located at scribe regions of the die.
90 illustrates a series of test structures in which the pads of a series of test structures are laid out when relative movement of the wafer to the spot of the electron beam causes the spot of the electron beam to scan over the pads of the series of test structures. it is a drawing
91 is a diagram showing an example of an electron spot shaped in a non-circular manner to match the size and shape of the pad to maximize the electron current supplied to the pad.
92 shows a pad sized according to the amount of charge that needs to be supplied to the test structures when high charge test structures will have long pads along the scanning direction of the electron beam to increase the electron beam dwell time on the pad. It is a drawing showing examples of shapes.
93 shows that the electron beam moves quickly when there is a long stretch where none of the pads are charging, but at less than a constant speed in dense areas to allow for more charging of the pads of the test structures. This is a diagram showing the scenario.
94 is a diagram showing test structures laid out on either side of the pads, allowing a relatively large number of test structures to be scanned in a single pass of the electron beam over the wafer.
95 is a diagram showing how the solid pads can be divided into fine lines or alternate shapes so that the layout of the solid pads is compatible with the design rules of the semiconductor process.
96 is a diagram showing “net gray” pads for use with some embodiments of the present invention.
97 is a diagram illustrating in a conceptual manner one embodiment of a VC DUT according to some embodiments/examples of the present invention.
98 is a diagram illustrating in a conceptual manner another embodiment of a VC DUT according to some embodiments/examples of the present invention.
99 is a diagram illustrating in a conceptual manner another embodiment of a VC DUT according to some embodiments/examples of the present invention.

도 1은 행들로 배치되어 있는 논리 셀들(L), 탭 셀들(T) 및 충진재 셀들(F)을 포함하며, 상기 행들 사이에는 라우팅 채널들이 있으며 상기 행들에 인접해서는 디캡 셀들(dC)이 있는 선행기술의 일반 셀 레이아웃의 대표적인 단면을 개념적인 방식으로 보여주는 도면이다. 도시된 바와 같이, 이러한 대표적인 단면 내에서의 디캡, 탭 및 충진재 셀들의 전반적인 분포는 불규칙적이며 어떤 명백한 패턴 또는 대칭을 따르지 않고 있다. (통상의 기술자라면 바로 알 수 있겠지만 본원 명세서에 기재되어 있는 설명들은 개념적인 것들이어서 실제의 레이아웃 실물들을 표현한다기보다는 오히려 단지 본 발명의 원리들을 예시하려고 한 것뿐이다. 실제로, 그러한 통상의 기술자라면 이해하겠지만 탭 셀들은 단지 하나의 크기에만 관여하고 규칙적이거나 거의 규칙적인 간격으로 나타나는 것이 전형적이다. 마찬가지로, 그러한 통상의 기술자라면 또한 이해하겠지만 디캡 셀들은 상기 일반 셀 행들 내에 들어맞고 상기 일반 셀 행들 내에 배치될 수 있고 흔히 상기 일반 셀 행들 내에 들어맞고 상기 일반 셀 행들 내에 배치되도록 크기가 결정되어 있다.)1 includes logic cells (L), tap cells (T) and filler cells (F) arranged in rows, with routing channels between the rows and decap cells (dC) adjacent to the rows. A diagram showing a representative cross-section of the general cell layout of the technology in a conceptual manner. As shown, the overall distribution of decaps, tabs and filler cells within this representative cross-section is irregular and does not follow any apparent pattern or symmetry. (As a person skilled in the art will know immediately, the descriptions described in this specification are conceptual and are only intended to illustrate the principles of the present invention rather than to represent actual layout objects. In fact, such a person skilled in the art will understand However, it is typical that tap cells concern only one size and appear at regular or near regular intervals Similarly, decap cells will fit within the normal cell rows and be placed within the normal cell rows, as will also be appreciated by those skilled in the art. and is often sized to fit within and be placed within the normal cell rows.)

도 2는 도 1과 동일하지만 제1 층에 더미 충진 부위들이 나타나 있는 선행기술의 레이아웃을 개념적인 방식으로 보여주는 도면이다. 이러한 더미 충진 부위들은 대각선으로 해싱된 부위들로서 도시되어 있으며, 도시된 바와 같이 규칙적인 형상(예컨대, 직사각형)을 이루고 있을 수도 있고 불규칙적인 형상을 이루고 있을 수도 있다. 본 발명에 따른 가장 유용한 더미 충진 부위들은 제3의 금속 층들(예컨대, M3, M4, M5, M6) 상에 나타나는 것이 전형적이지만, 활성 폴리 층(들), 또는 국부 상호접속부와 같은 하부 금속 및/또는 이전 층들 상에 나타날 수도 있다.(통상의 기술자라면 이해하겠지만, 도 2에 도시된 더미 충진 도시는 개념적인 것인데, 그 이유는 더미 충진 부위들이 하나 또는 몇몇 일반 셀들보다 부위 면에서 훨씬 더 크게 되는 것이 전형적이기 때문이다.)FIG. 2 shows in a conceptual manner a prior art layout identical to FIG. 1 but showing dummy filling areas in the first layer; These dummy filling parts are shown as diagonally hashed parts, and may have a regular shape (eg, a rectangle) or an irregular shape as shown. The most useful dummy filling sites according to the present invention typically appear on third metal layers (e.g., M3, M4, M5, M6), but active poly layer(s), or underlying metal and/or Or it may appear on previous layers. (As the skilled person will understand, the dummy fill illustration shown in FIG. 2 is conceptual, since the dummy fill areas are much larger in area than one or several normal cells. Because it is typical.)

도 3은 도 1 및 도 2와 동일하지만 제2 층에 더미 충진 부위(들)가 나타나 있는 레이아웃을 개념적인 방식으로 보여주는 도면이다. 이러한 제2 층 더미 충진 부위는 스케일 패턴 해싱으로 나타나 있다.FIG. 3 is a diagram illustrating in a conceptual manner the same layout as FIGS. 1 and 2 but with dummy filling area(s) shown in the second layer. This second layer dummy filling area is represented by scale pattern hashing.

도 4는 본 발명의 몇몇 실시형태들을 예시하는, 도 3의 레이아웃을 기초로 하여 이루어진 전형적인 레이아웃을 개념적인 방식으로 보여주는 도면이다. 도 4에서 전형화된 바와 같이, 충진재 셀들(F) 및 탭 셀들(T)은 테스트 구조들(TS4, TS5, TS6, TS7, TS8, TS9, TS10)로 대체되었으며, 디캡 셀들(dC)은 테스트-허용 디캡 셀들(dC-T)로 대체되었고, 그리고 더미 충진 영역들은 테스트 구조들(TS1, TS2, TS3)로 대체되었다.Figure 4 is a diagram showing in a conceptual manner an exemplary layout based on the layout of Figure 3, illustrating some embodiments of the present invention. As typified in FIG. 4, the filler cells F and the tap cells T are replaced with the test structures TS4, TS5, TS6, TS7, TS8, TS9, and TS10, and the decap cells dC are the test- It has been replaced with acceptable decap cells (dC-T), and dummy filling regions have been replaced with test structures (TS1, TS2, TS3).

도 5는 본 발명에 따라 사용하기에 적합한 일반 셀 레이아웃의 바람직한 형태를 개념적인 방식으로 보여주는 도면이다. 본 도면에는 셀 행들이 인접해 있고 라우팅 부위들이 오버 더 셀(over-the-cell) 배선들을 이루고 있는 더 최근 스타일이 도시되어 있다. 비록 도시되어 있지는 않지만, 여기서 이해하여야 할 점은 라우팅 부위들이 규칙적인 형상을 이루고 있지 않아도 되고 행들과 나란한 방향으로 배향되지 않아도 된다는 점이다.Figure 5 is a diagram showing in a conceptual manner a preferred form of a generic cell layout suitable for use in accordance with the present invention. A more recent style is shown in this figure in which cell rows are contiguous and routing areas form over-the-cell wires. Although not shown, it should be understood here that the routing areas do not have to be regular in shape and do not have to be oriented parallel to the rows.

도 6은 도 5 레이아웃의 충진재 셀들(F), 탭 셀들(T), 디캡 셀들(dC), 및 더미 충진(대각선으로 해싱된) 영역들이 자체 수납형 테스트 구조들(TS, dC-T, 및 점선 영역들 각각)로 대체되는 본 발명에 따른 전형적인 레이아웃을 보여주는 도면이다.6 shows the self-contained test structures TS, dC-T, and dummy filling (diagonally hashed) regions of the layout of FIG. It is a diagram showing a typical layout according to the present invention in which each of the dotted line areas) is replaced.

통상의 기술자라면 알 수 있겠지만, 본 발명에 따라 편의적으로 예를 들어 설명될 특정 테스트 구조들의 선택을 위한 다수의 옵션이 존재한다.As will be appreciated by those skilled in the art, there are a number of options for the selection of specific test structures which will be exemplified for convenience in accordance with the present invention.

본 발명에 따른 제품 IC들은 다중-패터닝 구조들을 포함하는, 명시야(明視野; bright field) 및/또는 전자 비임(또는 다른 하전(荷電; charging))에 의한 시스템적인 결함들에 가장 민감한 제품 레이아웃 패턴들의 인라인 시스템 결함 검사에 적합한 테스트 구조를 포함할 수 있다. 이 테스트 구조들은 카나리(canary) 구조들(다시 말하면, 프로세스-레이아웃 여유도(marginality)들을 탐색하는데 사용되는 하위-설계(sub-design) 구조들)을 포함하는 것이 바람직하다.Product ICs according to the present invention are product layouts that are most susceptible to systemic defects caused by bright field and/or electron beams (or other charging), including multi-patterned structures. It may include a test structure suitable for in-line system defect inspection of the patterns. These test structures preferably include canary structures (ie, sub-design structures used to explore process-layout marginalities).

본 발명에 따른 제품 IC들은 또한 (카나리 구조들을 포함하는) 명시야 및 전자 비임 도구들에 의한, 단일 라인 개방들과 같은 가장 확률이 높은 결함들 및 개방 위치들을 통해 가장 확률이 높게 나타나는 결함들에 대한 제품 같은 패턴들의 인라인 랜덤 결함 검사에 적합한 테스트 구조들을 포함할 수 있다.Product ICs according to the present invention are also resistant to the most probable defects, such as single line opens, and the most probable defects appearing through open locations, by lightfield (including canary structures) and electron beam tools. It may include test structures suitable for inline random defect inspection of product-like patterns for the product.

본 발명에 따른 제품 IC들은 또한 폴리(poly) CD, 몰(MOL) CD, 비아 보텀(via bottom) CD, 금속 CD 및 높이, 유전체 높이(dielectric heights) 등등에 대한 오버레이/오정렬의 제품 고유 패턴들을 추출하도록 하는 구조들과 같은 인라인 계측에 적합한 테스트 구조들을 포함할 수 있으며, (예컨대, 오버레이, 라인 CD 및 프로파일에 대하여) 전기적인 방식으로 그리고/또는 주사 전자 현미경 방식으로 테스트하는 것이 가능할 수 있다.Product ICs according to the present invention also have product specific patterns of overlay/misalignment for poly CD, MOL CD, via bottom CD, metal CD and height, dielectric heights, etc. It may include test structures suitable for in-line metrology, such as structures to extract, and may be capable of testing electrically and/or scanning electron microscopy (eg, for overlays, line CDs and profiles).

본 발명에 따른 제품 IC들은 또한, 확률이 높은 시스템적인 결함들에 대한 물리적 고장 분석(Physical Failure Analysis; PFA) 구조들을 포함할 수 있으며, 이 경우에 그러한 PFA들은 프로빙(probing)을 위한 패드들 및 (카나리 구조들을 포함하는) 제품 고유 레이아웃 패턴들을 포함할 수 있다.Product ICs according to the present invention may also include Physical Failure Analysis (PFA) structures for probable systemic failures, in which case such PFAs may include pads for probing and Can include product specific layout patterns (including canary structures).

그리고 본 발명에 따른 제품 IC들은 또한, 위에서 언급한 이용 가능한 테스트 구조들, 또는 다른 이용 가능한 테스트 구조들의 임의 조합을 포함할 수 있다.And product ICs according to the present invention may also include the available test structures noted above, or any combination of other available test structures.

테스트-허용 디캡 셀들에 대하여는, 바람직한 테스트 구조들이 단일 라인 개방 검사를 위한 M1 구조들이다.For test-tolerant decap cells, preferred test structures are M1 structures for single line open check.

본 발명의 몇몇 실시 예들에 따른 테스트 구조들의 설계에 대한 중요한 목적들은 (1) 테스트 구조들이 활성 기하학적 구조(active geometry)(다시 말하면, 일반 셀들 또는 상호접속)의 인쇄적성(印刷適性; printability)에 영향을 주지 않아야 한다는 것, 및/또는 (2) 테스트 구조들이 활성 셀 속성들(인쇄적성 및 전기 특성)을 나타내어야 한다는 것이다.Important objectives for the design of test structures according to some embodiments of the present invention are (1) that the test structures conform to the printability of the active geometry (ie, normal cells or interconnects); and/or (2) the test structures must exhibit active cell properties (printability and electrical properties).

도 11 - 도 32는 이하에서 구체적으로 설명되겠지만 본 발명의 몇몇 실시 예들에서 사용하기에 적합한 대표적인 VC DUT 집합을 보여주는 도면들이다.11-32 are diagrams showing a set of representative VC DUTs suitable for use in some embodiments of the present invention, as described in detail below.

도 11을 지금부터 참조하면, 도 11은 제1의 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 인접한 금속/국부 상호접속에 대한 병합된 비아 구성 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다. (통상의 기술자라면 알 수 있겠지만, 전자 비임 검사가 플로팅 다각형들에 대해 어둡거나 밝은 조건을 만들어내도록 구성될 수 있다. 후자의 구성이 전형적으로는 더 안정된 것이고, 결과적으로는 본 개시내용에서의 예들에 대해 가정되어 있지만, 통상의 기술자라면 알 수 있겠지만 본 발명이 어느 구성이라도 유용하게 된다.)Referring now to FIG. 11, FIG. 11 is a diagram showing a first exemplary test-accepting tap cell. These cells contain E-shaped voltage contrast targets/pads for electron beam (or other charged particle) inline testing to detect the following failure modes: merged via component shorts to adjacent metal/local interconnects. It consists of In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad. (As will be appreciated by those of ordinary skill in the art, electron beam inspection can be configured to produce dark or light conditions for floating polygons. The latter configuration is typically more stable, and as a result examples in the present disclosure It is assumed for , but as will be appreciated by those skilled in the art, the present invention is useful in any configuration.)

도 12를 지금부터 참조하면, 도 12는 제1의 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 금속에 대한 병합된 비아 구성 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 12, FIG. 12 is a diagram showing a first exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure modes: merged via configuration short to underlying metal. . In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad.

도 13을 지금부터 참조하면, 도 13은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 금속에 대한 병합된 비아 구성 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 13, FIG. 13 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure modes: merged via configuration short to underlying metal. . In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad.

도 14를 지금부터 참조하면, 도 14는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 병합된 비아 구성 개방을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 접지된 금속 = 밝은 패드이지만, 고장 응답 = 접지된 하부 금속 플로팅 패드에 대한 고장 접속 = 어두운 패드이다.Referring now to FIG. 14, FIG. 14 is a diagram showing another exemplary test-accepting tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: merged via configuration open. In the configuration shown, pass response = grounded metal = light pad, but fault response = grounded bottom metal -> fault connection to floating pad = dark pad.

도 15를 지금부터 참조하면, 도 15는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 병합된 비아 구성 개방을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 접지된 금속 = 밝은 패드이지만, 고장 응답 = 접지된 하부 금속 플로팅 패드에 대한 고장 접속 = 어두운 패드이다.Referring now to FIG. 15, FIG. 15 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: merged via configuration open. In the configuration shown, pass response = grounded metal = light pad, but fault response = grounded bottom metal -> fault connection to floating pad = dark pad.

도 16을 지금부터 참조하면, 도 16은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 인접한 금속/국부 상호접속에 대한 비아 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 16, FIG. 16 is a diagram showing another exemplary test-accepting tap cell. These cells include E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: via shorts to adjacent metal/local interconnects. have. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad.

도 17을 지금부터 참조하면, 도 17은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 금속에 대한 비아 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 17, FIG. 17 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: via short to underlying metal. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad.

도 18을 지금부터 참조하면, 도 18은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 금속에 대한 비아 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 금속 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 18, FIG. 18 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: via short to underlying metal. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom metal -> short to grounded pad = bright pad.

도 19를 지금부터 참조하면, 도 19는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 층에 대한 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 19, FIG. 19 is a diagram showing another exemplary test-accepting tap cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact shorts to the underlying layer. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom layer -> short to grounded pad = bright pad.

도 20을 지금부터 참조하면, 도 20은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 층에 대한 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 20, FIG. 20 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact shorts to the underlying layer. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom layer -> short to grounded pad = bright pad.

도 21을 지금부터 참조하면, 도 21은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 층에 대한 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 21, FIG. 21 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact shorts to the underlying layer. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom layer -> short to grounded pad = bright pad.

도 22를 지금부터 참조하면, 도 22는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 하부 층에 대한 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 하부 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 22, FIG. 22 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact shorts to the underlying layer. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded bottom layer -> short to grounded pad = bright pad.

도 23을 지금부터 참조하면, 도 23은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 동일 컬러 금속 단부 대 금속 측부 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 금속 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 23, FIG. 23 is a diagram showing another exemplary test-accepting tap cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: same color metal end to metal side shorts. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded metal layer -> short to grounded pad = bright pad.

도 24를 지금부터 참조하면, 도 24는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 동일 컬러 금속 단부 대 금속 측부 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 금속 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 24, FIG. 24 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: same color metal end to metal side shorts. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded metal layer -> short to grounded pad = bright pad.

도 25를 지금부터 참조하면, 도 25는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 금속 개방을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 접지된 금속 = 밝은 패드이지만, 고장 응답 = 접지된 금속 플로팅 패드에 대한 접속 고장 = 어두운 패드이다.Referring now to FIG. 25, FIG. 25 is a diagram showing another exemplary test-accepting tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: metal open. In the configuration shown, pass response = grounded metal = light pad, but fault response = grounded metal -> connection to floating pad fault = dark pad.

도 26을 지금부터 참조하면, 도 26은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 금속 개방을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 접지된 금속 = 밝은 패드이지만, 고장 응답 = 접지된 금속 플로팅 패드에 대한 접속 고장 = 어두운 패드이다.Referring now to FIG. 26, FIG. 26 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: metal open. In the configuration shown, pass response = grounded metal = light pad, but fault response = grounded metal -> connection to floating pad fault = dark pad.

도 27을 지금부터 참조하면, 도 27은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 금속 모서리에 대한 금속 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 금속 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 27, FIG. 27 is a diagram showing another exemplary test-accepting tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: metal short to metal edge. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded metal layer -> short to grounded pad = bright pad.

도 28을 지금부터 참조하면, 도 28은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 금속 모서리에 대한 금속 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 금속 = 어두운 패드이지만, 고장 응답 = 접지된 금속 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 28, FIG. 28 is a diagram showing another exemplary test-accepting filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: metal short to metal edge. In the configuration shown, pass response = floating metal = dark pad, but fault response = grounded metal layer -> short to grounded pad = bright pad.

도 29를 지금부터 참조하면, 도 29는 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 동일 컬러 접점 단부 대 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 접점들 = 어두운 패드이지만, 고장 응답 = 접지된 접점 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 29, FIG. 29 is a diagram showing another exemplary test-accepting tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for electron beam (or other charged particle) inline testing to detect the following failure mode: same color contact end to contact short. In the configuration shown, pass response = floating contacts = dark pad, but fault response = grounded contact layer short to grounded pad = bright pad.

도 30을 지금부터 참조하면, 도 30은 다른 한 전형적인 테스트-허용 탭 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 상이한 컬러 접점 대 접점 단부 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 접점들 = 어두운 패드이지만, 고장 응답 = 접지된 접점 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 30, FIG. 30 is a diagram showing another exemplary test-accepting tap cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: different color contact to contact end shorts. In the configuration shown, pass response = floating contacts = dark pad, but fault response = grounded contact layer short to grounded pad = bright pad.

도 31을 지금부터 참조하면, 도 31은 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 접점 대 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 접점들 = 어두운 패드이지만, 고장 응답 = 접지된 접점 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 31, FIG. 31 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact-to-contact shorts. In the configuration shown, pass response = floating contacts = dark pad, but fault response = grounded contact layer short to grounded pad = bright pad.

도 32를 지금부터 참조하면, 도 32는 다른 한 전형적인 테스트-허용 충진재 셀을 보여주는 도면이다. 이러한 셀은 E-형상을 이루는 전압 콘트라스트 타깃/패드를 포함하며, 이하의 고장 모드, 즉 접점 대 접점 단락을 검출하도록 전자 비임(또는 다른 하전 입자) 인라인 테스팅용으로 구성되어 있다. 도시된 구성에서는, 통과 응답 = 플로팅 접점들 = 어두운 패드이지만, 고장 응답 = 접지된 접점 층 접지된 패드에 대한 단락 = 밝은 패드이다.Referring now to FIG. 32, FIG. 32 is a diagram showing another exemplary test-accepting filler cell. These cells contain E-shaped voltage contrast targets/pads and are configured for electron beam (or other charged particle) inline testing to detect the following failure modes: contact-to-contact shorts. In the configuration shown, pass response = floating contacts = dark pad, but fault response = grounded contact layer short to grounded pad = bright pad.

도 33a - 도 85는 일반 셀 라이브러리로부터의 전형적인 셀들을 보여주는 도면들이다. 이러한 셀들은 위의 도 11 - 도 32의 테스트-허용 충진 셀들과 양립 가능하다. 이러한 전형적인 일반 셀들은 첨부도면 중, 도 33a - 도 85에 구체적으로 도시되어 있다. 각각의 도시된 셀의 기능은 이하에서 설명될 것이다. 도 33a는 금속-1/제1 마스크(11); 금속-1/제2 마스크(12); 비아-0(13); 비아-1(14); 금속-2(15); 폴리-접점(16); 활성(17); 활성-접점(18); 폴리(19); 폴리-컷(20); 및 활성-컷(21); 으로 도시되어 있는 층들을 지니는 첨부도면들에 대한 층의 범례(legend)를 제공하는 도면이다. 당업자라면 알 수 있겠지만, 이러한 셀들은 본 기술에 공지되어 있는(예를 들면 (본원 명세서에서 인용에 의해 보완되는) S. Saika 명의의 미국 특허 제8302057 B2호 "Standard cell library and semiconductor integrated circuit"; (본원 명세서에서 또한 인용에 의해 보완되는) J. J. Lee와 그의 동료 명의의 미국 특허출원공개공보 제20130036397 A1호 "Standard Cell Placement Technique For Double Patterning Technology"; (본원 명세서에서 또한 인용에 의해 보완되는) D. D. Sherlekar 명의의 미국 특허출원공개공보 제20120249182 A1호 "Power Routing in Standard Cell Designs"; (본원 명세서에서 또한 인용에 의해 보완되는) H. H. Nguyen과 그의 동료 명의의 미국 특허 제6938226호 "7-tracks standard cell library"; (본원 명세서에서 또한 인용에 의해 보완되는) P. Penzes와 그의 동료 명의의 미국 특허 제8079008호 "High-speed low-leakage-power standard cell library"; (본원 명세서에서 또한 인용에 의해 보완되는) H.-Y. Kim과 그의 동료 명의의 미국 특허 제8174052호 "Standard cell libraries and integrated circuit including standard cells"; 및 (본원 명세서에서 또한 인용에 의해 보완되는) O. M. K. Law와 그의 동료 명의의 미국 특허 제8173491호 "Standard cell architecture and methods with variable design rules";에 기재되어 있는) 방식들 및 구성들로 인스턴스화되어 사용되는 것으로 의도된 것이다. 더욱이, 통상의 기술자라면 알 수 있겠지만, 각각의 셀 경계의 우측 및 좌측 에지들을 넘어 도시되어 있는 더미 폴리 스트라이프들은 DRC 체킹용으로 사용되고, 그러므로 상기 셀들 자체의 일부로 간주하여야 한다. 본 발명의 라이브러리를 사용하여 구성되는 제품 IC들은 시판되고 있는 14nm 제조 프로세스들을 사용하여 제조되는 것이 바람직하다.33A-85 are diagrams showing typical cells from a generic cell library. These cells are compatible with the test-accepting fill cells of FIGS. 11-32 above. These typical normal cells are specifically shown in FIGS. 33A-85 of the accompanying drawings. The function of each illustrated cell will be explained below. 33A shows a metal-1/first mask 11; a metal-1/second mask 12; Via-0 (13); Via-1 (14); metal-2 (15); poly-contact 16; active (17); active-contact 18; poly(19); poly-cut (20); and active-cut (21); It is a diagram providing a layer legend for the accompanying drawings having the layers indicated by . As will be appreciated by those skilled in the art, such cells are known in the art (e.g., U.S. Patent No. 8302057 B2 to S. Saika, entitled "Standard cell library and semiconductor integrated circuit"; incorporated herein by reference); US Patent Application Publication No. 20130036397 A1 "Standard Cell Placement Technique For Double Patterning Technology" in the name of J. J. Lee and colleagues (also incorporated herein by reference); D. D. US Patent Application Publication No. 20120249182 A1 "Power Routing in Standard Cell Designs" in the name of Sherlekar; US Patent No. 6938226 "7-tracks standard cell" in the name of H. H. Nguyen et al. U.S. Patent No. 8079008 "High-speed low-leakage-power standard cell library" to P. Penzes et al. (also incorporated herein by reference); (also incorporated herein by reference) U.S. Patent No. 8174052 "Standard cell libraries and integrated circuit including standard cells" to H.-Y. Kim et al. and O. M. K. Law et al. It is intended to be instantiated and used with methods and configurations described in Patent No. 8173491 "Standard cell architecture and methods with variable design rules". Moreover, as will be appreciated by those skilled in the art, the dummy poly stripes shown over the right and left edges of each cell boundary are used for DRC checking and should therefore be considered part of the cells themselves. Product ICs constructed using the library of the present invention are preferably manufactured using commercially available 14nm manufacturing processes.

도 33a 및 도 33b를 참조하면, 도 33a 및 도 33b는 제1의 전형적인 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 3의 세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 33A and 33B , FIGS. 33A and 33B are views respectively showing adjacent left and right portions of a first typical normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with a set of driving forces of 3 and an inverting output.

도 34a 및 도 34b를 참조하면, 도 34a 및 도 34b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 2의 세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 34A and 34B , FIGS. 34A and 34B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with a set of driving force of 2 and an inverting output.

도 35a 및 도 35b를 참조하면, 도 35a 및 도 35b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 1의 세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 35A and 35B , FIGS. 35A and 35B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with set driving force 1 and an inverting output.

도 36a 및 도 36b를 참조하면, 도 36a 및 도 36b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 3의 세트를 지니는 스캔-허용 d-플립-플롭을 구현한다.Referring to FIGS. 36A and 36B , FIGS. 36A and 36B are views respectively showing adjacent left and right portions of another normal cell. This cell implements a scan-tolerant d-flip-flop with a set of driving forces of 3.

도 37a 및 도 37b를 참조하면, 도 37a 및 도 37b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 2의 세트를 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다. Referring to FIGS. 37A and 37B , FIGS. 37A and 37B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with a set of driving forces of 2.

도 38a 및 도 38b를 참조하면, 도 38a 및 도 38b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 1의 세트를 지니는 스캔-허용 d-플립-플롭을 구현한다.Referring to FIGS. 38A and 38B , FIGS. 38A and 38B are views respectively showing adjacent left and right portions of another normal cell. This cell implements a scan-tolerant d-flip-flop with a set of driving forces of 1.

도 39a 및 도 39b를 참조하면, 도 39a 및 도 39b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이는 구동력 3의 리세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 39A and 39B , FIGS. 39A and 39B are views respectively showing adjacent left and right portions of another normal cell. It implements the logic function of a scan-tolerant d-flip-flop with reset and invert outputs of driving force 3.

도 40a 및 도 40b를 참조하면, 도 40a 및 도 40b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 2의 리세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭을 구현한다.Referring to FIGS. 40A and 40B , FIGS. 40A and 40B are views respectively showing adjacent left and right portions of another normal cell. This cell implements a scan-tolerant d-flip-flop with reset and invert outputs of driving force 2.

도 41a 및 도 41b를 참조하면, 도 41a 및 도 41b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 1의 리세트 및 반전 출력을 지니는 스캔-허용 d-플립-플롭을 구현한다.Referring to FIGS. 41A and 41B , FIGS. 41A and 41B are views respectively showing adjacent left and right portions of another normal cell. This cell implements a scan-tolerant d-flip-flop with reset and invert outputs of driving force 1.

도 42a 및 도 42b를 참조하면, 도 42a 및 도 42b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 3의 리세트를 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 42A and 42B , FIGS. 42A and 42B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with a reset of driving force 3.

도 43a 및 도 43b를 참조하면, 도 43a 및 도 43b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 2의 리세트를 지니는 스캔-허용 d-플립-플롭을 구현한다.Referring to FIGS. 43A and 43B , FIGS. 43A and 43B are views respectively showing adjacent left and right portions of another normal cell. This cell implements a scan-tolerant d-flip-flop with reset of driving force 2.

도 44a 및 도 44b를 참조하면, 도 44a 및 도 44b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 1의 리세트를 지니는 스캔-허용 d-플립-플롭의 논리 함수를 구현한다.Referring to FIGS. 44A and 44B , FIGS. 44A and 44B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a scan-tolerant d-flip-flop with reset of driving force 1.

도 45를 참조하면, 도 45는 다른 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 세트 및 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 45, FIG. 45 is a diagram showing another normal cell. This cell implements the logic function of a latch with set and reset of driving force 3.

도 46을 참조하면, 도 46은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 세트 및 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 46, FIG. 46 is a diagram showing another normal cell. This cell implements the logic function of a latch with set and reset of driving force 2.

도 47을 참조하면, 도 47은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 세트 및 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 47, FIG. 47 is a diagram showing another normal cell. This cell implements the logic function of a latch with set and reset of driving force 1.

도 48을 참조하면, 도 48은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 48, FIG. 48 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving forces of 3.

도 49를 참조하면, 도 49는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 49, FIG. 49 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving forces of 2.

도 50을 참조하면, 도 50은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 50, FIG. 50 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving forces of 1.

도 51을 참조하면, 도 51은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 51, FIG. 51 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 3.

도 52를 참조하면, 도 52는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 52, FIG. 52 is a diagram showing another normal cell. This cell implements the logic function of a latch with reset of driving force 2.

도 53을 참조하면, 도 53은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 리세트를 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 53, FIG. 53 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 1.

도 54를 참조하면, 도 54는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 4의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 54, FIG. 54 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 4.

도 55를 참조하면, 도 55는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 55, FIG. 55 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 3.

도 56을 참조하면, 도 56은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 56, FIG. 56 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 2.

도 57을 참조하면, 도 57은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 57, FIG. 57 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 1.

도 58을 참조하면, 도 58은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 58, FIG. 58 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 3.

도 59를 참조하면, 도 59는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 59, FIG. 59 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 2.

도 60을 참조하면, 도 60은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 60, FIG. 60 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverted output of driving force 1.

도 61을 참조하면, 도 61은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 세트, 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 61, FIG. 61 is a diagram showing another normal cell. This cell implements the logic function of a latch with set, reset and invert clocks of drive force 3.

도 62를 참조하면, 도 62는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 세트, 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 62, FIG. 62 is a diagram showing another normal cell. This cell implements the logic function of a latch with set, reset and invert clocks of drive force 2.

도 63을 참조하면, 도 63은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 세트, 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다. Referring to FIG. 63, FIG. 63 is a diagram showing another normal cell. This cell implements the logic function of a latch with set, reset and invert clocks of drive force 1.

도 64를 참조하면, 도 64는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 64, FIG. 64 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving force 3 and an inverting clock.

도 65를 참조하면, 도 65는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 65, FIG. 65 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving force 2 and an inverting clock.

도 66을 참조하면, 도 66은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 66, FIG. 66 is a diagram showing another normal cell. This cell implements the logic function of a latch with a set of driving force 1 and an inverting clock.

도 67을 참조하면, 도 67은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 67, FIG. 67 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset and invert clock of drive force 3.

도 68을 참조하면, 도 68은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 68, FIG. 68 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset and invert clock of drive force 2.

도 69를 참조하면, 도 69는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 리세트 및 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 69, FIG. 69 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 1 and an inverting clock.

도 70을 참조하면, 도 70은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 리세트, 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 70 , FIG. 70 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 3, an inverting clock and an inverting output.

도 71을 참조하면, 도 71은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 리세트, 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 71 , FIG. 71 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 2, an inverting clock and an inverting output.

도 72를 참조하면, 도 72는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 리세트, 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 72 , FIG. 72 is a diagram showing another normal cell. This cell implements the logic function of a latch with a reset of driving force 1, an inverting clock and an inverting output.

도 73을 참조하면, 도 73은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 4의 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 73, FIG. 73 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock and an inverting output of driving force 4.

도 74를 참조하면, 도 74는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 74 , FIG. 74 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock and an inverting output of drive force 3.

도 75를 참조하면, 도 75는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 75, FIG. 75 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock and an inverting output of driving force 2.

도 76을 참조하면, 도 76은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 반전 클록 및 반전 출력을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 76, FIG. 76 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock and an inverting output of driving force 1.

도 77을 참조하면, 도 77은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 3의 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 77, FIG. 77 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock of driving force 3.

도 78을 참조하면, 도 78은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 78 , FIG. 78 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock of driving force 2.

도 79를 참조하면, 도 79는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 반전 클록을 지니는 래치의 논리 함수를 구현한다.Referring to FIG. 79, FIG. 79 is a diagram showing another normal cell. This cell implements the logic function of a latch with an inverting clock of driving force 1.

도 80을 참조하면, 도 80은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 4의 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIG. 80, FIG. 80 is a diagram showing another normal cell. This cell implements the logic function of a 2-input, 3-state multiplexer of driving force 4.

도 81을 참조하면, 도 81은 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIG. 81, FIG. 81 is a diagram showing another normal cell. This cell implements the logic function of a 2-input, 3-state multiplexer of driving force 2.

도 82를 참조하면, 도 82는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIG. 82, FIG. 82 is a diagram showing another normal cell. This cell implements the logic function of a 2-input, 3-state multiplexer of driving force 1.

도 83a 및 도 83b를 참조하면, 도 83a 및 도 83b는 다른 한 일반 셀의 인접한 좌측 및 우측 부분들을 각각 보여주는 도면들이다. 이러한 셀은 구동력 4의 반전 출력을 지니는 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIGS. 83A and 83B , FIGS. 83A and 83B are views respectively showing adjacent left and right portions of another normal cell. This cell implements the logic function of a two-input, three-state multiplexer with an inverting output of driving force 4.

도 84를 참조하면, 도 84는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 2의 반전 출력을 지니는 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIG. 84, FIG. 84 is a diagram showing another normal cell. This cell implements the logic function of a two-input, three-state multiplexer with an inverting output of driving force 2.

도 85를 참조하면, 도 85는 다른 한 일반 셀을 보여주는 도면이다. 이러한 셀은 구동력 1의 반전 출력을 지니는 2-입력, 3-상태 멀티플렉서의 논리 함수를 구현한다.Referring to FIG. 85, FIG. 85 is a diagram showing another normal cell. This cell implements the logic function of a two-input, three-state multiplexer with an inverting output of driving force 1.

통상의 기술자라면 이해하겠지만, 도 33a - 도 85에서 보인 전형적인 플립-플롭, 래치, 및 멀티플렉서 설계들은 경합(競合) 설계들에 비해 상당한 개선(예컨대, 적어도 하나의 폴리 스트라이프(poly stripe)의 감소)을 달성한다.As will be appreciated by those of ordinary skill in the art, the typical flip-flop, latch, and multiplexer designs shown in FIGS. 33A-85 are significant improvements over competing designs (e.g., reduction of at least one poly stripe). to achieve

도 86은 선행기술의 "스텝 앤드 스캔(step and scan)" 및 "스와싱(swathing)" 기법들을 보여주는 도면이다.86 is a diagram showing prior art “step and scan” and “swathing” techniques.

도 87은 선행기술의 비임 스캐닝/세이핑(정형)(scanning/shaping) 장치를 보여주는 도면이다.87 is a diagram showing a prior art beam scanning/shaping (shaping) device.

도 88은 도 87의 열(column)을 사용하여 구현될 수 있는 비임 형상들의 예들을 보여주는 도면이다.FIG. 88 is a diagram showing examples of beam shapes that can be implemented using the columns of FIG. 87 .

도 89는 원형인 것이 전형적이며 동일한 다이들로 나뉘어 있는 전형적인 반도체 웨이퍼를 보여주고, 부가적으로는 테스트 구조들 모두가 다이의 스크라이브 부위들에 위치해 있는 전형적인 경우를 보여주는 도면이다.FIG. 89 shows a typical semiconductor wafer that is typically circular and divided into identical dies, and additionally shows a typical case in which test structures are all located at the scribe regions of the die.

도 90은 전자 비임의 스폿에 대한 웨이퍼의 상대적인 이동에 의해 전자 비임의 스폿이 열을 이루고 있는 일련의 테스트 구조들의 패드들 상을 스캐닝하게 될 경우 열을 이루고 있는 일련의 테스트 구조들의 패드들이 레이아웃되어 있는 일련의 테스트 구조들을 예시하는 도면이다.90 shows the layout of the pads of a series of test structures constituting a row when the spot of an electron beam is scanned on the pads of a series of test structures constituting a row by the relative movement of the wafer with respect to the spot of the electron beam. A diagram illustrating a series of test structures in

도 91은 패드에 공급되는 전자 전류를 최대화하기 위해, 패드의 크기 및 형상을 매치(match) 시키도록 비-원형 방식으로 형상화된 전자 스폿의 예시를 보여주는 도면이다.91 is a diagram showing an example of an electron spot shaped in a non-circular manner to match the size and shape of the pad to maximize the electron current supplied to the pad.

도 92는 충전을 많이 필요로 하는 테스트 구조들이 패드 상의 전자 비임 체류 시간을 증가시키도록 전자 비임의 스캐닝 방향을 따라 긴 패드들을 지니게 될 경우 테스트 구조들에 공급되어야 하는 충전량에 따라 크기가 결정되는 패드 형상들의 다른 한 예시를 보여주는 도면이다.92 shows a pad sized according to the amount of charge that needs to be supplied to the test structures when high charge test structures will have long pads along the scanning direction of the electron beam to increase the electron beam dwell time on the pad. It is a drawing showing another example of shapes.

도 93은 어떠한 패드들도 충전해 있지 않은 긴 스트레치가 있는 경우에 전자 비임이 신속하게 이동하지만, 상기 테스트 구조들의 패드들의 더 많은 충전을 허용하도록 밀집된 영역들에서 일정한 속도 미만으로 전자 비임이 이동하는 시나리오를 보여주는 도면이다.93 shows that the electron beam moves quickly when there is a long stretch where none of the pads are charging, but at less than a constant speed in dense areas to allow for more charging of the pads of the test structures. This is a diagram showing the scenario.

도 94는 상대적으로 많은 테스트 구조들이 웨이퍼 상에의 전자 비임의 일회 통과로 스캐닝되는 것을 허용하는, 패드들의 양측 상에 레이아웃된 테스트 구조들을 보여주는 도면이다.94 is a diagram showing test structures laid out on either side of the pads, allowing a relatively large number of test structures to be scanned in a single pass of the electron beam over the wafer.

도 95는 고체형 패드들의 레이아웃이 반도체 프로세스의 설계 규칙들과 양립할 수 있게 되도록 어떠한 방식으로 고체형 패드들이 미세한 라인들 또는 대체 형상으로 분할될 수 있는지를 보여주는 도면이다. 도 96을 지금부터 참조하면, 도 96은 단일 스폿 측정에서 판독을 위한 비-원형 입사 전자 비임들을 수용하도록 크기 및 형상을 지니는 VC DUT와 함께, 단지 대체 라인들만이 상기 DUT를 연결해 주는 것으로 설계된 패드 그룹을 보여주는 도면으로서, 나머지 패드 라인들은 이들의 극성이 기능적 DUT의 극성과 반대 이도록 플로팅(floating) 또는 접지에 연결되는 것을 보여주는 도면이다.95 is a diagram showing how the solid pads can be divided into fine lines or alternate shapes so that the layout of the solid pads is compatible with the design rules of the semiconductor process. Referring now to FIG. 96, FIG. 96 shows a VC DUT sized and shaped to accommodate non-circular incident electron beams for readout in a single spot measurement, with a pad designed with only alternate lines connecting the DUT. As a diagram showing a group, the remaining pad lines are connected to floating or ground so that their polarity is opposite to that of the functional DUT.

기능적 DUT에 대하여는, 패드 라인들이 명(bright)/암(dark)이 번갈아 나타나게 되는 반면에, 비-기능적 DUT (다시 말하면, 고장이 난 DUT)에 대하여는, 패드들이 모두 밝은 패드들이거나 어두운 패드들이다. 여기서의 이점은 결함이 없는 모든 DUT들에 대한 "네트(net)" 그레이 레벨이 사실상 항상 동일하며, 이미지 컴퓨터가 결함이 있는 모든 DUT들의 검출에 대해 동일한 문턱값들을 사용할 수 있다는 점이다. 이는 상기 이미지 컴퓨터의 소프트웨어 알고리즘 및 하드웨어를 단순화시켜 준다.For functional DUTs, the pad lines alternate bright/dark, whereas for non-functional DUTs (ie, faulty DUTs) the pads are either all light pads or dark pads. . The advantage here is that the "net" gray level for all DUTs that are not defective is virtually always the same, and the image computer can use the same thresholds for detection of all DUTs that are defective. This simplifies the software algorithms and hardware of the image computer.

도 97을 지금부터 참조하면, 도 97은 본 발명의 몇몇 실시형태들에 따른 VC DUT의 한 실시 예를 개념적인 방식으로 예시하는 도면이다. 패드들은 대형 스폿 크기 전자 비임 도구를 사용하여, 단일 픽셀 측정값(다시 말하면, 단일 아날로그 판독값) 또는 동일 위치에서의 N 개의 아날로그 값(다시 말하면, N-샘플 디지털 평균이 SNR을 개선하는데 사용될 수 있음)으로 판독된다.Referring now to FIG. 97 , FIG. 97 is a diagram illustrating in a conceptual manner one embodiment of a VC DUT in accordance with some embodiments of the present invention. The pads are either a single pixel measurement (i.e. a single analog readout) or N analog values (i.e. an N-sample digital average) at the same location using a large spot size electron beam tool can be used to improve SNR. present) is read.

상기 비임 및 패드는 다소 동일한 풋프린트(footprint)를 지니도록 설계된다. 이 경우에, X/Y 애스펙트 비는 ∼1이다. 비임은 상기 패드와의 매치(match)를 위해 사각형 형상을 이루지만, 유사한 크기를 갖는 원형일 수 있을 것이다. 그림문자(pictograph)가 4개의 패드를 보여주고 있지만, 본 발명은 동일하게 하나 또는 다수의 패드에 적용된다.The beam and pad are designed to have a more or less identical footprint. In this case, the X/Y aspect ratio is -1. The beam is square shaped to match the pad, but could be circular with similar dimensions. Although the pictograph shows four pads, the invention equally applies to one or multiple pads.

도 98을 지금부터 참조하면, 도 98은 본 발명의 몇몇 실시형태들에 따른 VC DUT의 다른 한 실시 예를 개념적인 방식으로 예시하는 도면이다. 패드들은 대형 스폿 크기 전자 비임 도구를 사용하여, 단일 픽셀 측정값(다시 말하면, 단일 아날로그 판독값) 또는 동일 위치에서의 N 개의 아날로그 값(다시 말하면, N-샘플 디지털 평균이 SNR을 개선하는데 사용될 수 있음)으로 판독된다. 대체로, 패드 및 비임은 웨이퍼 상에서 유사한 풋프린트를 지닌다. 그러나 반도체 레이아웃 설계 규칙들을 충족시키면서 비-대칭 비임(X/Y 애스펙트 비 > 3)을 수용하기 위해, 상기 패드가 폭이 좁은 수평 라인들의 어레이로 분할된다. 그림문자(pictograph)가 하나의 패드를 보여주고 있지만, 본 발명은 동일하게 하나 또는 다수의 패드에 적용된다.Referring now to FIG. 98 , FIG. 98 is a diagram illustrating in a conceptual manner another embodiment of a VC DUT in accordance with some embodiments of the present invention. The pads are either a single pixel measurement (i.e. a single analog readout) or N analog values (i.e. an N-sample digital average) at the same location using a large spot size electron beam tool can be used to improve SNR. present) is read. In general, pads and beams have similar footprints on a wafer. However, to accommodate non-symmetric beams (X/Y aspect ratio > 3) while meeting semiconductor layout design rules, the pad is divided into an array of narrow horizontal lines. Although the pictograph shows one pad, the invention applies equally to one or multiple pads.

도 99를 지금부터 참조하면, 도 99는 본 발명의 몇몇 실시형태들에 따른 VC DUT의 다른 한 실시 예를 개념적인 방식으로 예시한 도면이다. 패드들은 라인-형상 비임에 최적화된다. 패드들 및 비임의 X/Y 애스펙트 비는 3보다 크다. 패드들은 바-코드 스캐너처럼 판독되고, 각각의 패드의 극성이 10개 미만의 픽셀로 판독된다. 그림문자(pictograph)가 4개의 패드를 보여주고 있지만, 본 발명은 동일하게 하나 또는 다수의 패드에 적용된다.Referring now to FIG. 99, FIG. 99 is a diagram illustrating in a conceptual manner another embodiment of a VC DUT in accordance with some embodiments of the present invention. The pads are optimized for line-shaped beams. The X/Y aspect ratio of the pads and beams is greater than 3. The pads are read like a bar-code scanner, and the polarity of each pad is read with fewer than 10 pixels. Although the pictograph shows four pads, the invention equally applies to one or multiple pads.

Claims (20)

IC 제조 방법으로서,
상기 IC 제조 방법은, 적어도
제품 IC 웨이퍼에 초기 제조 단계들을 수행하게 하는 단계;
상기 제품 IC 웨이퍼 상에 설치된 복수 개의 테스트 구조들로부터 지속적으로 스캐닝하지 않고 전자 비임 여기 측정값들을 획득하는 단계 - 상기 복수 개의 테스트 구조들 각각과 관련이 있는 전자 비임 패드로부터 10개 미만의 픽셀을 선택적으로 샘플링함으로써 상기 전자 비임 패드가 선택적으로 타깃팅되며, 상기 전자 비임 패드는 복수 개의 전기 접속된 긴 금속 세그먼트들을 지니는 논리 기기를 포함함 -; 및
상기 테스트 구조들로부터 획득된 측정값들을 적어도 부분적으로 기반으로 하여, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계;
를 포함하는, IC 제조 방법.
As an IC manufacturing method,
The IC manufacturing method is at least
subjecting a product IC wafer to initial fabrication steps;
obtaining electron beam excitation measurements without continuously scanning from a plurality of test structures installed on the product IC wafer - selectively selecting less than 10 pixels from an electron beam pad associated with each of the plurality of test structures. the electron beam pad is selectively targeted by sampling with , the electron beam pad comprising a logic device having a plurality of electrically connected elongated metal segments; and
selectively causing additional fabrication steps to be performed on the product IC wafer based at least in part on measurements obtained from the test structures;
Including, IC manufacturing method.
제1항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 비대칭 애스펙트 비를 지니는 전자 비임 타깃 패드들을 선택적으로 타깃팅하는 단계를 포함하는, IC 제조 방법.2. The method of claim 1, wherein obtaining electron beam excitation measurements comprises selectively targeting electron beam target pads having an asymmetric aspect ratio. 제1항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 타깃팅된 상기 각각의 전자 비임 패드로부터 단지 단일의 픽셀 측정값만을 획득하는 단계를 포함하는, IC 제조 방법.2. The method of claim 1, wherein obtaining electron beam excitation measurements comprises obtaining only a single pixel measurement from each electron beam pad that is targeted. 제1항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 초기 제조 단계들 중 하나 이상을 재처리해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.2. The method of claim 1, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether one or more of the initial fabrication steps are to be reprocessed. 제1항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 추가적인 제조 단계들을 수행해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.2. The method of claim 1, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether to perform the additional fabrication steps. IC 제조 방법으로서,
상기 IC 제조 방법은, 적어도
제품 IC 웨이퍼에 초기 제조 단계들을 수행하게 하는 단계;
상기 제품 IC 웨이퍼 상에 설치된 복수 개의 테스트 구조들로부터 전자 비임 여기 측정값들을 획득하는 단계 - 긴 장축을 지니는 전자 비임 스폿을 사용하여, 상기 복수 개의 테스트 구조들 각각과 관련이 있는 전자 비임 패드가 선택적으로 타깃팅되며, 상기 전자 비임 패드는 복수 개의 전기 접속된 긴 금속 세그먼트들을 지니는 논리 기기를 포함함 -; 및
상기 테스트 구조들로부터 획득된 측정값들을 적어도 부분적으로 기반으로 하여 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계;
를 포함하는, IC 제조 방법.
As an IC manufacturing method,
The IC manufacturing method is at least
subjecting a product IC wafer to initial fabrication steps;
obtaining electron beam excitation measurements from a plurality of test structures installed on the product IC wafer - using an electron beam spot having a long axis, an electron beam pad associated with each of the plurality of test structures is selectively targeted to , wherein the electron beam pad comprises a logic device having a plurality of electrically connected elongated metal segments; and
selectively causing additional fabrication steps to be performed on the product IC wafer based at least in part on measurements obtained from the test structures;
Including, IC manufacturing method.
제6항에 있어서, 상기 각각의 전자 비임 스폿을 타깃팅된 상기 전자 비임 패드들 각각의 크기 및 형상과 매치(match) 시키도록 세이핑(shaping)함으로써 스캐닝 효율을 최대화하는, IC 제조 방법.7. The method of claim 6, wherein scanning efficiency is maximized by shaping each electron beam spot to match the size and shape of each of the targeted electron beam pads. 제6항에 있어서, 타깃팅된 상기 전자 비임 패드들 각각은 상기 전자 비임 스폿의 긴 장축과 매치 되는, 해당 전자 비임의 스캔 방향의 제1 치수를 지니고, 상기 타깃팅된 전자 비임 패드들 중 적어도 일부 타깃팅된 전자 비임 패드들은 상기 제1 치수에 수직인 제2 치수로 변화되는, IC 제조 방법.7. The method of claim 6, wherein each of the targeted electron beam pads has a first dimension in a scanning direction of the corresponding electron beam that matches a major axis of the electron beam spot, and targeting at least some of the targeted electron beam pads and the electron beam pads are varied in a second dimension perpendicular to the first dimension. 제6항에 있어서, 타깃팅된 상기 전자 비임 패드들 각각은 선형 스캔 라인을 따라 배치되어 있으며, 상기 전자 비임 스폿의 긴 장축은 상기 스캔 라인에 수직으로 배향되어 있는, IC 제조 방법.7. The method of claim 6, wherein each of the targeted electron beam pads is disposed along a linear scan line, and wherein a long axis of the electron beam spot is oriented perpendicular to the scan line. 제6항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 타깃팅된 상기 각각의 전자 비임 패드로부터 10개 미만의 픽셀 측정값을 획득하는 단계를 포함하는, IC 제조 방법.7. The method of claim 6, wherein obtaining electron beam excitation measurements comprises obtaining fewer than 10 pixel measurements from each electron beam pad that is targeted. 제10항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 타깃팅된 상기 각각의 전자 비임 패드로부터 단지 단일 픽셀 측정값만을 획득하는 단계를 포함하는, IC 제조 방법.11. The method of claim 10, wherein obtaining electron beam excitation measurements comprises obtaining only a single pixel measurement from each electron beam pad that is targeted. 제6항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 초기 제조 단계들 중 하나 이상을 재처리해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.7. The method of claim 6, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether one or more of the initial fabrication steps are to be reprocessed. 제6항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 추가적인 제조 단계들을 수행해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.7. The method of claim 6, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether to perform the additional fabrication steps. IC 제조 방법으로서,
상기 IC 제조 방법은, 적어도
제품 IC 웨이퍼에 초기 제조 단계들을 수행하게 하는 단계;
상기 제품 IC 웨이퍼 상에 설치된 복수 개의 테스트 구조들로부터 전자 비임 여기 측정값들을 획득하는 단계 - 상기 복수 개의 테스트 구조들 각각과 관련이 있는 전자 비임 패드가 선형 스캔 방향을 따라 선택적으로 타깃팅되며, 상기 전자 비임 패드는 복수 개의 전기 접속된 긴 금속 세그먼트들을 지니는 논리 기기를 포함함 -; 및
상기 테스트 구조들로부터 획득된 측정값들을 적어도 부분적으로 기반으로 하여, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계;
를 포함하는, IC 제조 방법.
As an IC manufacturing method,
The IC manufacturing method is at least
subjecting a product IC wafer to initial fabrication steps;
obtaining electron beam excitation measurements from a plurality of test structures installed on the product IC wafer, wherein an electron beam pad associated with each of the plurality of test structures is selectively targeted along a linear scan direction; the beam pad includes a logic device having a plurality of electrically connected elongated metal segments; and
selectively causing additional fabrication steps to be performed on the product IC wafer based at least in part on measurements obtained from the test structures;
Including, IC manufacturing method.
제14항에 있어서, 타깃팅된 상기 전자 비임 패드들 각각은 크기 및 형상 면에서 동일한 적어도 2개의 상기 긴 금속 세그먼트를 지니는, IC 제조 방법.15. The method of claim 14, wherein each of the targeted electron beam pads has at least two of the elongated metal segments that are identical in size and shape. 제14항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 타깃팅된 상기 각각의 전자 비임 패드로부터 10개 미만의 픽셀 측정값을 획득하는 단계를 포함하는, IC 제조 방법.15. The method of claim 14, wherein obtaining electron beam excitation measurements comprises obtaining fewer than 10 pixel measurements from each electron beam pad that is targeted. 제16항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 타깃팅된 상기 각각의 전자 비임 패드로부터 단지 단일 픽셀 측정값만을 획득하는 단계를 포함하는, IC 제조 방법.17. The method of claim 16, wherein obtaining electron beam excitation measurements comprises obtaining only a single pixel measurement from each electron beam pad that is targeted. 제14항에 있어서, 상기 전자 비임 여기 측정값들을 획득하는 단계는 상기 선형 스캔 방향에 수직으로 배향된 긴 장축을 지니는 전자 비임 스폿을 사용하여 선택적으로 타깃팅하는 단계를 포함하는, IC 제조 방법.15. The method of claim 14, wherein obtaining electron beam excitation measurements comprises selectively targeting with an electron beam spot having a long axis oriented perpendicular to the linear scan direction. 제14항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 초기 제조 단계들 중 하나 이상을 재처리해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.15. The method of claim 14, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether one or more of the initial fabrication steps are to be reprocessed. 제14항에 있어서, 상기 제품 IC 웨이퍼에 추가적인 제조 단계들을 선택적으로 수행하게 하는 단계는 상기 추가적인 제조 단계들을 수행해야 할지를 결정하는 단계를 포함하는, IC 제조 방법.15. The method of claim 14, wherein selectively causing additional fabrication steps to be performed on the product IC wafer comprises determining whether to perform the additional fabrication steps.
KR1020177000797A 2014-06-12 2015-06-12 Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same KR102474252B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462011161P 2014-06-12 2014-06-12
US201414303578A 2014-06-12 2014-06-12
US62/011,161 2014-06-12
US14/303,578 2014-06-12
PCT/US2015/035647 WO2015192069A1 (en) 2014-06-12 2015-06-12 Opportunistic placement of ic test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same

Publications (2)

Publication Number Publication Date
KR20170018027A KR20170018027A (en) 2017-02-15
KR102474252B1 true KR102474252B1 (en) 2022-12-05

Family

ID=54834446

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177000797A KR102474252B1 (en) 2014-06-12 2015-06-12 Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same

Country Status (5)

Country Link
JP (1) JP6702955B2 (en)
KR (1) KR102474252B1 (en)
CN (1) CN106575649A (en)
TW (1) TW201611145A (en)
WO (1) WO2015192069A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US9627370B1 (en) 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US10283496B2 (en) 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
CN107516655B (en) * 2017-07-21 2019-08-13 上海华虹宏力半导体制造有限公司 Scribe line tests structure and test method
JP7060814B2 (en) * 2018-01-19 2022-04-27 株式会社ソシオネクスト Semiconductor integrated circuit equipment
US11143690B2 (en) * 2019-10-02 2021-10-12 Nanya Technology Corporation Testing structure and testing method
CN111401420B (en) * 2020-03-06 2023-04-18 普迪飞半导体技术(上海)有限公司 Abnormal data clustering method and device for wafer test, electronic equipment and medium
CN113742795A (en) * 2020-05-27 2021-12-03 台湾积体电路制造股份有限公司 Method for authenticating security level of semiconductor memory in integrated circuit

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208575A (en) * 1999-01-08 2000-07-28 Schlumberger Technol Inc Method and system for detecting defect based on features of profile
JP2004501505A (en) * 2000-04-18 2004-01-15 ケーエルエー−テンカー テクノロジィース コーポレイション Improved test structure, inspection method and use method
JP2007080987A (en) * 2005-09-13 2007-03-29 Ebara Corp Semiconductor device and its inspecting method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02185054A (en) * 1989-01-11 1990-07-19 Nec Corp Substrate inspection device using electron beam
JP3409909B2 (en) * 1994-03-11 2003-05-26 株式会社東芝 Wafer pattern defect detection method and apparatus
JPH09265931A (en) * 1996-03-29 1997-10-07 Toshiba Corp Image acquisition device and its method
EP0853243A3 (en) * 1997-01-13 1999-07-28 Schlumberger Technologies, Inc. Method and apparatus for detecting defects in wafers
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
JP3874996B2 (en) * 2000-05-30 2007-01-31 ファブソリューション株式会社 Device inspection method and apparatus
US6897444B1 (en) * 2003-03-10 2005-05-24 Kla-Tencor Technologies Corporation Multi-pixel electron emission die-to-die inspection
US7730434B2 (en) * 2003-08-25 2010-06-01 Tau-Metrix, Inc. Contactless technique for evaluating a fabrication of a wafer
US7223616B2 (en) * 2004-06-04 2007-05-29 Lsi Corporation Test structures in unused areas of semiconductor integrated circuits and methods for designing the same
JP2007103598A (en) * 2005-10-03 2007-04-19 Ebara Corp Semiconductor device and its inspection method
US7952375B2 (en) * 2006-06-06 2011-05-31 Formfactor, Inc. AC coupled parameteric test probe

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208575A (en) * 1999-01-08 2000-07-28 Schlumberger Technol Inc Method and system for detecting defect based on features of profile
JP2004501505A (en) * 2000-04-18 2004-01-15 ケーエルエー−テンカー テクノロジィース コーポレイション Improved test structure, inspection method and use method
JP2007080987A (en) * 2005-09-13 2007-03-29 Ebara Corp Semiconductor device and its inspecting method

Also Published As

Publication number Publication date
JP2017525160A (en) 2017-08-31
JP6702955B2 (en) 2020-06-03
TW201611145A (en) 2016-03-16
KR20170018027A (en) 2017-02-15
CN106575649A (en) 2017-04-19
WO2015192069A1 (en) 2015-12-17

Similar Documents

Publication Publication Date Title
KR102474252B1 (en) Opportunistic placement of IC test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product IC chips containing same
US20150270181A1 (en) Opportunistic placement of ic test strucutres and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same
US6780660B2 (en) System for testing electronic devices
US7760929B2 (en) Grouping systematic defects with feedback from electrical inspection
US7749778B2 (en) Addressable hierarchical metal wire test methodology
US8289508B2 (en) Defect detection recipe definition
TWI754151B (en) Wafer-level testing method and test structure thereof
US20070015297A1 (en) Failure analysis vehicle for yield enhancement with self test at speed burnin capability for reliability testing
CN101785009A (en) Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
KR20100038391A (en) Method and apparatus for monitoring vias in a semiconductor fab
US9291669B2 (en) Semiconductor device, test structure of the semiconductor device, and method of testing the semiconductor device
US7397556B2 (en) Method, apparatus, and computer program product for optimizing inspection recipes using programmed defects
US10978438B1 (en) IC with test structures and E-beam pads embedded within a contiguous standard cell area
US7395518B2 (en) Back end of line clone test vehicle
US6618830B1 (en) System and method for pruning a bridging diagnostic list
Mittal et al. Test chip design for optimal cell-aware diagnosability
KR100546354B1 (en) Semiconductor device whose analysis point can be found easily
US10777472B1 (en) IC with test structures embedded within a contiguous standard cell area
Koenemann Design/process learning from electrical test
US11449984B2 (en) Method and system for diagnosing a semiconductor wafer
Abercrombie et al. Design/Process Learning from Production Test

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant