JP6702955B2 - Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement - Google Patents

Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement Download PDF

Info

Publication number
JP6702955B2
JP6702955B2 JP2017518035A JP2017518035A JP6702955B2 JP 6702955 B2 JP6702955 B2 JP 6702955B2 JP 2017518035 A JP2017518035 A JP 2017518035A JP 2017518035 A JP2017518035 A JP 2017518035A JP 6702955 B2 JP6702955 B2 JP 6702955B2
Authority
JP
Japan
Prior art keywords
cell
pad
test
fabrication process
obtaining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017518035A
Other languages
Japanese (ja)
Other versions
JP2017525160A (en
Inventor
デ,インドラニル
シプリカス,デニス・ジェイ
ラム,スティーヴン
ヘイ,ジョナサン
ロヴナー,ヴャチェスラフ・ブイ
ヘス,クリストファー
ブロゼク,トマシュ・ダブリュ
ストロルワス,アンジェイ・ジェイ
ケルヴィン ドゥーン,
ケルヴィン ドゥーン,
カイバリアン,ジョン・ケイ
リー,シェリー・エフ
マイケルス,カイモン・ダブリュ
ストロワス,マルシン・エイ
オサリヴァン,コナー
ジャイン,メフル
Original Assignee
ピイディエフ・ソリューションズ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピイディエフ・ソリューションズ・インコーポレーテッド filed Critical ピイディエフ・ソリューションズ・インコーポレーテッド
Publication of JP2017525160A publication Critical patent/JP2017525160A/en
Application granted granted Critical
Publication of JP6702955B2 publication Critical patent/JP6702955B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

関連出願の相互参照Cross-reference of related applications

本出願は、2014年6月12日出願の米国特許出願第14303578号からの優先権を主張するものであり、上記米国特許出願第14303578号は、2014年2月25日出願の米国特許出願第14/190040号の一部継続出願であり、上記米国特許出願第14/190040号は、2013年9月27日出願の米国特許出願第14/038799号の一部継続出願である。本出願はまた、2014年6月12日出願の米国仮特許出願第62/011161号からの優先権を主張するものである。上記出願は全て、参照により本出願に援用される。 This application claims priority from US Patent Application No. 14303578 filed on June 12, 2014, which is assigned to US Patent Application No. 14303578 filed on February 25, 2014. No. 14/190040, which is a continuation-in-part application of US Pat. No. 14/190040, which is a continuation-in-part application of US patent application Ser. This application also claims priority from US Provisional Patent Application No. 62/011161 filed June 12, 2014. All of the above applications are incorporated herein by reference.

本発明は、半導体集積回路の分野、並びに上記回路を製造及び試験するための方法に関する。 The present invention relates to the field of semiconductor integrated circuits and methods for manufacturing and testing such circuits.

製品ウェハ上の、「試験構造体(test structures)」(これは本明細書では、回路の機能付与のために必要ではないものの、製作プロセス、又は結果として得られる部分的に若しくは完全に製作済みのウェハ/チップの監視又は評価のために設計、構成又は使用される、いずれのパターン形成として定義される)の配置は、この10年で一般的なものとなっている。従来、このような試験構造体は、アクティブダイの間のスクライブライン領域に配置される。例えば非特許文献1を参照。 “Test structures” on a product wafer (this is not necessary here for the functionality of the circuit, but is not part of the fabrication process or the resulting partially or fully fabricated (Defined as any patterning, designed, configured, or used for wafer/chip monitoring or evaluation) of any of the above has become commonplace in the last decade. Conventionally, such test structures are placed in the scribe line area between the active dies. See, for example, Non-Patent Document 1.

F.Duanらによる特許文献1(“Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Designing the Same”)は、試験及び製品ウェハのプローブパッドの下への、相互接続されたアクティブ試験セルの配置について記載している。 F. Duan et al., U.S. Pat. No. 5,697,097 ("Test Structures in Unused Areas of Semiconductor Integrated Circuits and Methods for Cells"), describes a test and wafer to pad test, and a product to test and wafer to pad test, and a product to wafer test. is doing.

S.Jansenらによる特許文献2(“Semiconductor integrated test structures for electron beam inspection of semiconductor wafers”)は、製品ICの、予め指定された大型の領域内への、試験構造体の配置について記載している。 S. U.S. Pat. No. 5,837,83 to Jansen et al. ("Semiconductor integrated test structures for electron beam inspection of semiconductor wafers") is located within a pre-designed large area of structure of a product IC.

製品ウェハ上に試験構造体を配置する、これらの及びその他の公知の技術は、有用な結果をもたらすものの、依然として理想的とはいえない。具体的には、製品ウェハのスクライブラインにおいて利用可能な領域は厳しく限定されており、特定のタイプの試験構造体しか収容できない。更に、上記スクライブライン内の方法及び上記プローブパッド下の方法はいずれも、試験構造体が最も重要なアクティブ回路構成領域から遠い位置に配置されてしまい、従ってアクティブ回路構成の処理環境が正確に表現されない場合があるという難点がある。特許文献2はこの問題を潜在的には緩和させることができるものの、必要となる大型の指定された試験領域(特許文献2、図5の領域44〜45を参照)のコストはあまりに許容できないものとなり、貴重なアクティブダイ領域が消費される。 While these and other known techniques for placing test structures on a product wafer have yielded useful results, they are still less than ideal. Specifically, the area available in the scribe line of a product wafer is severely limited and can only accommodate certain types of test structures. Furthermore, both the method within the scribe line and the method under the probe pad result in the test structure being located far from the most important active circuit configuration area, thus accurately representing the processing environment of the active circuit configuration. There is a drawback that it may not be done. Although US Pat. No. 6,037,037 can potentially alleviate this problem, the cost of the required large designated test area (see US Pat. No. 5,968,698, areas 44-45 in FIG. 5) is too unacceptable. And consumes valuable active die area.

米国登録特許第7223616B2号US registered patent No. 7223616B2 米国登録特許第7679083B2号US registered patent No. 7679,083B2

Hess, Christopher, et al., “Scribe characterization vehicle test chip for ultra fast product wafer yield monitoring,” IEEE International Conference on Microelectronic Test Structures, 2006Hess, Christopher, et al. , "Scribe characterisation vehicle test chip for ultra fast product monitoring," IEEE International Conference on Microelectronics Tactics.

本発明は、アクティブダイ領域を殆ど又は全く犠牲にせずに、製品IC上の試験構造体占有範囲を改善するための、複数の技術を開示する。 The present invention discloses several techniques for improving test structure occupancy on a product IC with little or no sacrifice in active die area.

本発明の一態様によると、「フィラーセル(filler cell)」(これは、配線混雑を防止/緩和する、及び/又はセル密度を均一化するために、アクティブ回路構成領域内に配置される、非機能性セルとして定義される)を、追加の領域又は相互接続を必要としない自己完結型試験構造体に置換する。現行の標準セルレイアウトは一般に、このようなフィラーセルを用いて、配線混雑を緩和している。例えばCong, J., et al.“Optimizing routability in large-scale mixed-size placement,” ASP-DAC, 2013;及びMenezes, C., et al.“Design of regular layouts to improve predictability,” Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits and Systems, 2006を参照。C.Mayorによる国際公開第2009/090516A1号(「監視セル及び監視セル配置方法(Monitor Cell and Monitor Cell Placement Method)」)は、フィラーセルを「監視セル(monitor cell)」(図5、ステップ540を参照)に置換するというアイデアを提案しているものの、提案されている監視セルは、フィラーセルのスペースにフィットさせるには大き過ぎ、また更に重要なことには、スキャンチェインへの統合のために追加の相互接続を必要とする。本発明と関連して使用するために好適な、試験対応フィラー(フィル)セルの例は、上記米国仮特許出願、及びこの出願の図11〜32に開示されている。 According to one aspect of the invention, a "filler cell" (which is located within the active circuit configuration area to prevent/mitigate wiring congestion and/or equalize cell density, (Defined as non-functional cells) with self-contained test structures that do not require additional areas or interconnects. Current standard cell layouts typically use such filler cells to reduce wiring congestion. For example, Cong, J. et al. , Et al. "Optimizing routing in large-scale mixed-size placement," ASP-DAC, 2013; and Menezes, C.; , Et al. See "Design of regular layouts to improve predictability," Proceedings of the 6th IEEE International Caribbean Conference on Devices, Circuits 6th. C. International Publication No. 2009/090516A1 (“Monitor Cell and Monitor Cell Placement Method”) by Mayor refers to a filler cell as a “monitor cell” (see FIG. 5, step 540). ), but the proposed monitor cell is too large to fit in the space of the filler cell, and more importantly added for integration into the scan chain. Need interconnection. Examples of test-ready filler cells suitable for use in connection with the present invention are disclosed in the above-referenced US provisional patent application and Figures 11-32 of this application.

本発明の別の態様によると、デキャップ(decap:デカップリング容量(decoupling capacitance))セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなデキャップセルの使用は、当該技術分野において公知である。例えばX. Meng, et al.,“Novel Decoupling Capacitor Designs for sub-90nm CMOS Technology,” Proceedings of the 7th IEEE International Symposium on Quality Electronic Design, 2006を参照。 According to another aspect of the invention, a decap: decoupling capacity cell is modified to incorporate one or more self-contained test structures. The use of such decap cells is known in the art. For example, X. Meng, et al. , "Novel Decoupling Capacitor Designs for sub-90 nm CMOS Technology," Proceedings of the 7th IEEE International Symposium on Quality Err.

本発明の別の態様では、ウェルタップ(タップ)セルを改造して、1つ又は複数の自己完結型試験構造体を組み込む。このようなタップセルの使用は、当該技術分野において公知である。例えば、参照により本出願に援用される米国特許第6388315号(“Tap connections for circuits with leakage suppression capability”)、Jungeblut, T., et al., 2010,“A modular design flow for very large design space exploration,”図4(“ add well tap cells”)を参照。このような試験対応タップセルの例は、上記米国仮特許出願に開示されている。 In another aspect of the invention, a well tap (tap) cell is modified to incorporate one or more self-contained test structures. The use of such tap cells is well known in the art. For example, U.S. Pat. No. 6,388,315 ("Tap connections for circuits with leakage support capability"), incorporated herein by reference, Jungeburt, T. et al. , Et al. , 2010, "A modular design flow for very large design space exploration," see Figure 4 ("add well tap cells"). An example of such a test-ready tap cell is disclosed in the above-mentioned US provisional patent application.

本発明の更に別の態様によると、「ダミーフィル(dummy fill)」領域(参照により本出願に援用される米国登録特許第7137092B2号参照)に試験構造体パターンを配置する。 According to yet another aspect of the invention, a test structure pattern is placed in a "dummy fill" region (see U.S. Pat. No. 7,137,092 B2, which is incorporated herein by reference).

いずれも参照により本出願に援用される、米国特許第7217579号(“Voltage contrast test structure”)及び特許文献2は、半導体ウェハのスクライブライン領域における、電圧コントラスト試験構造体の使用を開示している。本発明の別の態様は、更なる試験構造体の挿入のためのスクライブライン領域の使用を伴う。このようなスクライブライン領域は、実際の性能の問題又は既存のDRC(設計ルールチェック(design rule checking)」フローとの適合性に関する要件を理由として、製品ウェハのアクティブダイ領域内において使用が推奨されないか又は禁止される場合がある試験構造体を実装するために、有利に使用できる。このような推奨されない/禁止された試験構造体の例としては、1つ若しくは複数の意図的な層間不整合を伴う構造体、設計ルール未満の若しくはカナリア(canary)構造体、又は密度若しくはパターン形成がアクティブダイ領域における要件と適合できない構造体が挙げられる。例えば、eビーム適合性カナリア試験構造体の例に関しては、参照により本出願に援用される、放棄された米国特許出願第2009‐0102501A1号(“Test structures for e-beam testing of systematic and random defects in integrated circuits”)を参照。この発明の特定の実施形態では、本発明の製品ICウェハのダイ間スクライブライン領域の全体又は大半に、アクティブダイ領域内での使用が推奨されないか又は禁止されている電圧コントラスト試験構造体が配置される。 U.S. Pat. No. 7,217,579 ("Voltage contrast test structure") and U.S. Pat. No. 5,096,049, both of which are incorporated herein by reference, disclose the use of voltage contrast test structures in the scribe line region of a semiconductor wafer. .. Another aspect of the invention involves the use of scribe line regions for insertion of additional test structures. Such scribe line areas are not recommended for use within the active die area of a product wafer due to actual performance issues or requirements for compatibility with existing DRC (design rule checking) flows. It may be used to advantage to implement test structures that may or may be prohibited. Examples of such deprecated/forbidden test structures include one or more intentional interlayer inconsistencies. , Structures below design rules or canary structures, or structures whose density or patterning cannot meet the requirements in the active die area, eg with respect to e-beam compatible canary test structures. Reference is made to the invention of abandoned U.S. Patent Application No. 2009-0102501A ("Test structures for e-beam testing of systematic and random defects in integrated inventions", incorporated herein by reference). In form, all or most of the die-to-die scribe line area of the product IC wafer of the present invention is provided with a voltage contrast test structure that is deprecated or prohibited for use in the active die area.

本発明の別の態様は、試験パッド(上で定義されている「試験構造体(test structure)」の1タイプ)の、上述のダミーフィル、フィラーセル、デキャップセル及び/若しくはタップセル位置への(並びに/又はこれらのセル内への)日和見的挿入を伴う。このような試験パッドは好ましくは、荷電粒子(例えばeビーム)標的を備え、これは好ましくは、所与の技術のノードにおける最小の分解可能な特徴部分サイズの1倍〜10倍の範囲内とするために、比較的小さな寸法にサイズ設定されるが、上記試験パッドはまた、マイクロ又はナノプローブ探査可能な接触パッドも備えてよい。このような試験パッドは、関連する試験構造体の上に位置決めしてよく、関連する試験構造体に隣接して位置決めしてよく、同一層上の隣接していない試験構造体に接続してよく、又は1つ若しくは複数の下層上の関連する試験構造体に接続してよい。 Another aspect of the invention is to attach a test pad (one type of "test structure" as defined above) to the dummy fill, filler cell, decap cell and/or tap cell locations described above. With (and/or within) these opportunistic insertions. Such a test pad preferably comprises a charged particle (eg e-beam) target, which is preferably in the range of 1 to 10 times the smallest resolvable feature size at a node of a given technology. Although sized to a relatively small size, the test pad may also include a micro or nanoprobe probeable contact pad. Such test pads may be positioned above the associated test structure, adjacent to the associated test structure, and connected to non-adjacent test structures on the same layer. , Or an associated test structure on one or more underlying layers.

本発明の他の態様は、従来のスクライブライン及びパッド下試験構造体を有して又は有さずに、上述のタイプの日和見的に挿入された試験構造体のうちの1つ、2つ、3つ又は4つを有するIC及びICレイアウトに関する。本発明のまた更なる態様は:上述のようなICレイアウトを形成するためのCAD法;本発明による日和見的に挿入された試験構造体から得られた情報を少なくとも部分的に利用する製作プロセス;及び上記プロセスによって製造されたICに関する。 Another aspect of the invention is one, two, of opportunistically inserted test structures of the type described above, with or without conventional scribe lines and underpad test structures. It relates to an IC having three or four and an IC layout. Yet further aspects of the invention are: CAD methods for forming IC layouts as described above; fabrication processes that at least partially utilize information obtained from opportunistically inserted test structures according to the invention; And an IC manufactured by the above process.

従って、限定を意図したものではないが一般に、本発明の特定の態様は、例えば:少なくとも10行、20行、30行又はそれを超える、少なくとも50個、75個、100個又はそれを超える当接したセルを包含する、製品ICに関する。上記製品ICは:各上記行が、複数の論理セルを含むこと;並びに上記行のうちの少なくとも半分、3/4又は3/4超が、フィラー、デキャップ若しくはタップセル位置に(及び/又はこれらのセル内に)試験構造体を含むことを特徴とする。上記製品ICは更に、上記行に少なくとも部分的に重なるダミーフィル領域に実装された、(試験パッドを含むがこれに限定されない)複数のダミーフィル試験構造体を内包する。上記ダミーフィル試験構造体は、いずれのパターン形成層、特に1つ又は2つ以上の金属層上に存在してよい。 Thus, in general, but not intended to be limiting, certain aspects of the invention include, for example: at least 10, 20, 30 or more, at least 50, 75, 100 or more of the present invention. It relates to a product IC including a contacted cell. The product IC includes: each of the rows including a plurality of logic cells; and at least half, 3/4 or more than 3/4 of the rows in a filler, decap or tap cell position (and/or these It is characterized by including a test structure (in a cell). The product IC further includes a plurality of dummy fill test structures (including, but not limited to, test pads) mounted in dummy fill regions that at least partially overlap the rows. The dummy fill test structure may be present on any patterning layer, especially one or more metal layers.

各試験構造体は好ましくは自己完結型であり、従ってオンチップ接続のために配線領域を使用する必要がない。換言すると、本発明のこの自己完結型態様により、フィラー/デキャップ/タップセルを本発明の試験セル/構造体に置換しても、利用可能な配線領域には影響しない。いくつかの実施形態では、上記自己完結型試験構造体は、多数の隣接するフィラー、デキャップ又はタップセルのフットプリントに形成してよく、従って更に大型の、及び/又は不規則形状の自己完結型試験構造体が実現可能である。上記製品ICは、eビーム試験用に構成された試験構造体、SEM点検用に構成された試験構造体、明視野点検用に構成された試験構造体、(マイクロプローブ、ナノプローブ若しくはプローブカードによる)プローブ接触用に構成された試験構造体、又はこれらのうちの2つ、3つ若しくは4つのいずれの組み合わせを内包してよい。 Each test structure is preferably self-contained so that no wiring area needs to be used for on-chip connections. In other words, with this self-contained aspect of the invention, replacement of the filler/decap/tap cell with the test cell/structure of the invention does not affect the available wiring area. In some embodiments, the self-contained test structure may be formed in the footprint of multiple adjacent fillers, decaps or tap cells, and thus larger and/or irregularly shaped self-contained test structures. A structure is feasible. The product IC is a test structure configured for e-beam testing, a test structure configured for SEM inspection, a test structure configured for brightfield inspection, (using a microprobe, nanoprobe or probe card). ) It may include a test structure configured for probe contact, or any combination of two, three or four of these.

また、限定を意図したものではないが一般に、本発明の他の態様は、例えば、配線領域と共に、少なくとも20行、30行、40行又はそれを超える隣接する行の、少なくとも100個、150個、200個又はそれを超える隣接するセルを内包する連続領域を内包する、製品ICに関する。上記製品ICは:各行が、論理セルの過半数(又は60%、70%若しくは80%等の超過半数)を含むこと;並びに上記連続領域が、少なくとも25個(又は50個、100個、150個若しくはそれを超える)の、不規則に分散された自己完結型試験構造体も内包し、各上記自己完結型試験構造体が、上記列のうちの1つの、論理セル又はフィラーセル又はタップセルに好適であったはずの位置に位置決めされることを特徴とする。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、デキャップセルに内包される。上記製品ICはまた、複数の自己完結型ダミーフィル試験構造体も含んでよく、各上記自己完結型ダミーフィル試験構造体は、上記連続領域に少なくとも部分的に重なるものの、(共用電源ネットへの接続を除いて)上記論理セルのいずれにも接続されない。いくつかの実施形態では、上記ダミーフィル試験構造体は、2つ以上の相互接続層を占有してよい。いくつかの実施形態では、上記試験構造体のうちの少なくともいくつかは、カナリア(即ち設計ルール未満の)試験構造体であり、上記ダミーフィル試験構造体のうちのいくつかは、ランダム欠陥試験構造体である。他の実施形態では、試験構造体は、システマティック故障モードを試験又は評価するために構成された、DRコンプライアンント構造体を備えてよい。また、これらの組み合わせを備えた実施形態も同様に考えられる。 Also, although not intended to be limiting, in general, other aspects of the invention include, for example, at least 100, 150, at least 20, 30, 40 or more contiguous rows with wiring areas. , A product IC containing a continuous area containing 200 or more adjacent cells. The product IC includes: each row contains a majority (or an excess of 60%, 70%, 80%, etc.) of logic cells; and the continuous area is at least 25 (or 50, 100, 150). (Or more) randomly distributed self-contained test structures, each said self-contained test structure being suitable for a logic cell or filler cell or tap cell of one of said columns It is characterized in that it is positioned at the position which should have been. In some embodiments, at least some of the test structures are contained within a decap cell. The product IC may also include a plurality of self-contained dummy fill test structures, each self-contained dummy fill test structure at least partially overlapping the continuous region, but It is not connected to any of the above logic cells (except for connections). In some embodiments, the dummy fill test structure may occupy more than one interconnect layer. In some embodiments, at least some of the test structures are canary (ie, sub-design rule) test structures and some of the dummy fill test structures are random defect test structures. It is the body. In other embodiments, the test structure may comprise a DR compliant structure configured to test or evaluate systematic failure modes. Further, an embodiment provided with these combinations is also conceivable.

また、限定を意図したものではないが一般に、本発明の他の態様は、例えば少なくとも以下のステップ:ICウェハを初期製作ステップに供するステップ;上記ウェハの連続論理部分内に日和見的に分散された、少なくとも5個(又は10個、20個、40個若しくはそれを超える)の自己完結型試験構造体からの測定を得るステップ;並びに上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の及び/又は修正された製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の実施形態では、測定を得るステップは、荷電粒子によって(例えばeビームによって)上記試験構造体を励起するステップ、明視野点検によって上記試験構造体を点検するステップ、SEM点検によって上記試験構造体を点検するステップ、又は電気的測定のためのプローブ探査によって上記試験構造体に接触するステップを伴ってよい。特定の実施形態では、上記ウェハを、追加の製作ステップ又は物理的故障分析に選択的に供するステップは、上記初期製作ステップのうちの1つ若しくは複数を再実行するかどうかを決定するステップ、又は上記追加の製作ステップを実施するかどうか、若しくは上記ウェハを破棄するかどうかを決定するステップを伴ってよい。 In general, but not intended to be limiting, other aspects of the invention include, for example, at least the following steps: subjecting the IC wafer to an initial fabrication step; opportunistically distributed within the continuous logic portion of the wafer. , Obtaining at least 5 (or 10, 20, 40 or more) self-contained test structures; and based at least in part on the measurements obtained from the test structures. , An IC fabrication process including selectively subjecting the wafer to additional and/or modified fabrication steps. In certain embodiments, the steps of obtaining a measurement include exciting the test structure with charged particles (eg, with an e-beam), inspecting the test structure with a brightfield inspection, SEM inspection with the test structure. Or contacting the test structure by probe probing for electrical measurements. In certain embodiments, selectively subjecting the wafer to an additional fabrication step or physical failure analysis determines whether to rerun one or more of the initial fabrication steps, or This may involve determining whether to perform the additional fabrication steps or discard the wafer.

また、限定を意図したものではないが一般に、本発明の特定の実施形態によると、製品ICウェハを作製するためのプロセスは、例えば少なくとも以下のステップ:初期製品ウェハレイアウトを得るステップ;コンピュータを用いて上記初期製品ウェハレイアウトを分析し、試験構造体挿入のための日和見的領域(例えばダミーフィル、フィラーセル、タップセル、デキャップセル)を識別するステップ;上記コンピュータを用いて、試験構造体挿入のための上記日和見的領域を横断して、少なくとも1つの分散型DOEを一体として構成する複数の試験構造体を挿入することによって、上記初期製品ウェハレイアウトを修正するステップ;1つ又は複数の上記分散型DOEを利用するために必要な情報ではなく、上記修正された製品ウェハレイアウトを製作するために必要な情報を、コンピュータ可読レイアウトデータレコードに保存するステップ;及び上記レイアウトデータレコードから製作者に情報を提供することにより、上記修正された製品ウェハレイアウトに基づくウェハの製作を可能とするステップを含む。本発明のこの態様及び他の態様によると、このようなレイアウト修正は、設計フロー中(即ち設計終了前)、又は後続の1つ又は複数のマスクデータ処理(mask data processing:MDP)ステップ中、又はこれら両方の間に進行させることができる。本発明の関連する態様によると、IC製品チップを作製するための方法は例えば、少なくとも以下のステップ:少なくとも1つの分散型DOEを一体として構成する複数の埋入型試験構造体を有する複数の製品ICダイを備える、第1の製品ICウェハを受承するステップ:上記1つ又は複数の分散型DOEのうちの少なくとも1つを識別して利用できるようにするデータを受信するステップ;上記1つ又は複数の分散型DOEのうちの上記少なくとも1つを利用して、上記第1の製品ICウェハの製作に関する情報を得るステップ;及び上記第1の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。上記方法は更に、少なくとも以下の追加のステップ:上記第1の製品ICウェハと同一の、第2の製品ウェハを受承するステップ;第2の製品ICウェハ上の、1つ又は複数の上記分散型DOEの少なくとも1つを利用して、上記第2の製品ICウェハの製作に関する情報を得るステップ;及び上記第2の製品ICウェハを、複数のIC製品チップへと加工するステップを含んでよい。本発明のこれらの態様によると、上記1つ若しくは複数のDOE及び/又は1つ若しくは複数の試験構造体からのデータを、ウェハ配置の決定、再加工の決定、プロセス制御、歩留まりの学習、又は欠陥の診断において利用してよい。 Also, although not intended to be limiting, in general, according to certain embodiments of the present invention, a process for making a product IC wafer may include, for example, at least the following steps: obtaining an initial product wafer layout; using a computer. And analyzing the initial product wafer layout to identify opportunistic regions (eg, dummy fills, filler cells, tap cells, decap cells) for test structure insertion; Modifying the initial product wafer layout by inserting a plurality of test structures integrally forming at least one distributed DOE across the opportunistic region for; Storing in a computer-readable layout data record the information necessary to fabricate the modified product wafer layout, but not the information required to utilize the mold DOE; and the information from the layout data record to the manufacturer. To enable fabrication of a wafer based on the modified product wafer layout. According to this and other aspects of the invention, such layout modification may be performed during the design flow (i.e., before the end of the design), or during one or more subsequent mask data processing (MDP) steps. Alternatively, it can proceed between both. In accordance with related aspects of the invention, a method for making an IC product chip may include, for example, at least the following steps: a plurality of products having a plurality of implantable test structures integrally forming at least one distributed DOE. Receiving a first product IC wafer comprising an IC die: Receiving data that identifies and makes available at least one of the one or more distributed DOEs; Or utilizing said at least one of a plurality of distributed DOEs to obtain information regarding fabrication of said first product IC wafer; and dicing said first product IC wafer into a plurality of IC product chips. Processing may be included. The method further comprises at least the following additional steps: receiving a second product wafer that is the same as the first product IC wafer; one or more of the distributions on a second product IC wafer. Obtaining information about fabrication of the second product IC wafer using at least one of the mold DOEs; and processing the second product IC wafer into a plurality of IC product chips. .. In accordance with these aspects of the invention, data from the one or more DOEs and/or one or more test structures is used to determine wafer placement decisions, rework decisions, process controls, yield learning, or It may be used in the diagnosis of defects.

また、限定を意図したものではないが一般に、本発明の別の態様によると、製品ICウェハは、少なくとも:多数のeビーム励起性試験構造体(又はパッド/標的)が中に分散された、機能性製品回路構成の領域;及びeビームスキャナに、いずれの試験構造体(又はパッド/標的)を励起する機会も逸することなく、(走査方向に測定された)その走査長さ全体の少なくとも10%、15%又は20%をそれぞれスキップさせる、複数のeビームスキップ領域を備えてよい。上記製品ICウェハは好ましくは、少なくとも、それぞれ上記機能性製品回路構成の領域の幅全体に亘って広がる1つ又は複数の空のeビーム走査トラックを更に含んでよい。 Also, although not intended to be limiting, in general, in accordance with another aspect of the invention, a product IC wafer has at least: a number of e-beam excitable test structures (or pads/targets) dispersed therein. Area of the functional product circuitry; and at least its entire scan length (measured in the scan direction) without missing any opportunity for the e-beam scanner to excite any test structure (or pad/target). Multiple e-beam skip regions may be provided to skip 10%, 15% or 20% respectively. The product IC wafer may preferably further include at least one or more empty e-beam scan tracks each extending across the width of the area of the functional product circuitry.

また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも:少なくとも3×3(又は5×5、10×10、20×20若しくは50×50等)の製品ダイのアレイであって、スクライブライン領域が上記製品ダイを隔てている、アレイを内包する製品ウェハに関する。上記ウェハは:各上記製品ダイが、製品機能性をサポートする、複数(多数)の動作可能な(組み合わせ及び/又は順序)論理セルを含むこと;各製品ダイが、上記論理セルが点在する複数の試験対応タップセルを含み、上記試験対応タップセルは、(eビーム試験パッドを有する又は有しない)自己完結型電圧コントラスト試験構造体を備えること;並びに各スクライブライン領域が、(対応するeビーム試験パッドを有する又は有しない)複数の電圧コントラスト試験構造体を内包することを特徴とする。上記製品ダイは更に:(eビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応デキャップセル;(対応するeビーム試験パッドを有する若しくは有しない)自己完結型電圧コントラスト試験構造体をそれぞれ備える、複数の試験対応フィラーセル;及び/又は(対応するeビーム試験パッドを有する若しくは有しない)上記製品ダイのダミーフィル領域に実装された、複数の自己完結型電圧コントラスト試験構造体を含んでよい。特定の実施形態では、上記スクライブライン領域には、略全体的に、(eビーム標的パッドを含む)電圧コントラスト試験構造体が配置され、上記スクライブライン領域に内包された上記試験構造体のうちのいくつか又は過半数は、意図的な層の不整合を内包し、及び/又はプロセス設計ルールの意図的な違反を内包する。 Also, although not intended to be limiting, in general, another aspect of the invention is, for example, of at least: at least 3×3 (or 5×5, 10×10, 20×20 or 50×50, etc.) product dies. An array of product wafers containing the array, wherein a scribe line region separates the product dies. The wafer includes: each product die including a plurality (many) of operable (combined and/or ordered) logic cells that support product functionality; each product die is interspersed with the logic cells. A plurality of test-enabled tap cells, the test-enabled tap cells comprising a self-contained voltage contrast test structure (with or without an e-beam test pad); and each scribe line region having a (corresponding e-beam test pad). Including a plurality of voltage contrast test structures (with or without pads). The product die further comprises: a plurality of test-capable decap cells, each with a self-contained voltage contrast test structure (with or without an e-beam test pad); (with or without a corresponding e-beam test pad) A plurality of test-enabled filler cells, each with a self-contained voltage contrast test structure; and/or a plurality of self-mounted (with or without corresponding e-beam test pads) mounted in a dummy fill region of the product die. A complete voltage contrast test structure may be included. In a particular embodiment, the scribe line region is substantially entirely disposed with a voltage contrast test structure (including an e-beam target pad) of the test structure contained within the scribe line region. Some or the majority contain intentional layer mismatches and/or contain intentional violations of process design rules.

また、限定を意図したものではないが一般に、本発明の別の態様は、例えば少なくとも以下のステップ:製品ICウェハを初期製作ステップに供するステップ;少なくとも40個(好ましくは少なくとも100個)の自己完結型試験構造体から、eビーム励起測定を得るステップであって、上記試験構造体のうちの少なくとも20個は、上記ウェハの連続論理部分(即ち機能性製品論理を内包する上記ウェハの部分)内に不規則に分散され、また上記試験構造体のうちの少なくとも20個は、上記ウェハのスクライブライン領域内に配置されている、ステップ;及び上記試験構造体から得られた測定に少なくとも部分的に基づいて、上記ウェハを、追加の製作ステップに選択的に供するステップを含む、IC製作プロセスに関する。特定の好ましい実施形態では、上記測定を得るステップは、(例えば単一のピクセル値又は10未満のピクセル値をサンプリングすることによって)上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記スクライブライン領域に配置されたeビーム標的パッドを標的とするステップを含む。特定の好ましい実施形態では、上記測定を得るステップは、上記ウェハのいずれの実質的な部分を連続的に走査することなく、上記ウェハの上記連続論理領域内に配置されたeビーム標的パッドを選択的に標的とするステップを含む。いくつかの実施形態では、上記選択的に供するステップは、上記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含んでよい。またいくつかの実施形態では、上記選択的に供するステップは、上記追加の製作ステップを実施するかどうかを決定するステップを含んでよい。 Also, although not intended to be limiting, in general, another aspect of the invention is, for example, at least the following steps: subjecting a product IC wafer to an initial fabrication step; at least 40 (preferably at least 100) self-sufficient. Obtaining e-beam excited measurements from a mold test structure, wherein at least 20 of the test structures are within a continuous logic portion of the wafer (ie, the portion of the wafer that contains functional product logic). Randomly distributed on the wafer, and at least 20 of the test structures are located within the scribe line region of the wafer; and at least partially for measurements taken from the test structures. Accordingly, the present invention relates to an IC fabrication process including selectively subjecting the wafer to additional fabrication steps. In certain preferred embodiments, the step of obtaining the measurement is performed without continuously scanning any substantial portion of the wafer (eg, by sampling a single pixel value or less than 10 pixel values). , Targeting an e-beam target pad located in the scribe line region of the wafer. In certain preferred embodiments, the step of obtaining the measurement selects e-beam target pads located within the continuous logic region of the wafer without continuously scanning any substantial portion of the wafer. Targeting step. In some embodiments, the selectively providing step may include determining whether to re-execute one or more of the initial fabrication steps. Also, in some embodiments, the selectively providing step may include determining whether to perform the additional fabrication steps.

本発明の特定の実施形態は、電気的にプローブ探査可能な試験構造体を含んでよく、上記試験構造体は、上記米国仮特許出願に記載されているタイプのものを含むがそれに限定されず、製品ウェハのスクライブライン領域内に配置される。上記電気的にプローブ探査可能な試験構造体は、それ自体のプローブパッドを含んでよく、又は単一のパッドをプローブパッド及びeビーム標的パッドの両方として機能させることができるように、近接した電圧コントラスト試験構造体と1つ若しくは複数のパッドを共有してよい。 Certain embodiments of the present invention may include electrically probeable test structures, including but not limited to those of the type described in the above US provisional patent applications. , Located within the scribe line area of the product wafer. The electrically probeable test structure may include its own probe pad, or a voltage close to it so that a single pad can function as both a probe pad and an e-beam target pad. One or more pads may be shared with the contrast test structure.

以下の議論において、(以下の図11〜85の)本発明のセルは、「セル(cell)」とも「手段(means)」とも呼ばれる。明瞭性及び明確性のために、例えば「FIG82セル(FIG82 cell)」という呼称は、「図82に示すトポロジ設計を有するセル」を意味し、その一方で「FIG82手段(FIG82 means)」という同様の呼称は、米国特許法第112条第6項の下で、「図82セルの論理機能、即ち「駆動強度1における2入力3状態マルチプレクサ」を実装し、かつ図82に示す構造又は同等の構造を有する、セル」を包含するものと解釈される。 In the following discussion, the cell of the present invention (of FIGS. 11-85 below) is also referred to as “cell” or “means”. For the sake of clarity and clarity, for example, the designation "FIG82 cell" means "a cell having the topology design shown in FIG. 82", while similar to "FIG82 means". Under US Pat. It is understood to include "cells having a structure".

限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも500個(又は1000個、1500個等)のセルの連続論理領域内に、(i)FIG33A‐Bセル;FIG34A‐Bセル;FIG35A‐Bセル;FIG36A‐Bセル;FIG37A‐Bセル;FIG38A‐Bセル;FIG39A‐Bセル;FIG40A‐Bセル;FIG41A‐Bセル;FIG42A‐Bセル;FIG43A‐Bセル;FIG44A‐Bセル;FIG45セル;FIG46セル;FIG47セル;FIG48セル;FIG49セル;FIG50セル;FIG51セル;FIG52セル;FIG53セル;FIG54セル;FIG55セル;FIG56セル;FIG57セル;FIG58セル;FIG59セル;FIG60セル;FIG61セル;FIG62セル;FIG63セル;FIG64セル;FIG65セル;FIG66セル;FIG67セル;FIG68セル;FIG69セル;FIG70セル;FIG71セル;FIG72セル;FIG73セル;FIG74セル;FIG75セル;FIG76セル;FIG77セル;FIG78セル;FIG79セル;FIG80セル;FIG81セル;FIG82セル;FIG83A‐Bセル;FIG84セル;及びFIG85セルからなるセットから選択された、少なくともある選択された個数(例えば3個、4個、5個、6個、7個等)の別個の機能性セルと、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11セル;FIG12セル;FIG13セル;FIG14セル;FIG15セル;FIG16セル;FIG17セル;FIG18セル;FIG19セル;FIG20セル;FIG21セル;FIG22セル;FIG23セル;FIG24セル;FIG25セル;FIG26セル;FIG27セル;FIG28セル;FIG29セル;FIG30セル;FIG31セル;及びFIG32セルからなるセットから選択された、少なくとも10個の試験対応セルとを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個のセルをインスタンス化及び製作することによって、上記ICを作製するための方法に関する。 In general, but not by way of limitation, a further aspect of the invention is to provide (i) FIG33A-B cells; FIG34A-B within a contiguous logical region of at least 500 (or 1000, 1500, etc.) cells. Cell; FIG35A-B cell; FIG36A-B cell; FIG37A-B cell; FIG38A-B cell; FIG39A-B cell; FIG40A-B cell; FIG41A-B cell; FIG42A-B cell; FIG43A-B cell; FIG44A-B cell FIG. 45 cell; FIG. 46 cell; FIG. 47 cell; FIG. 48 cell; FIG. 49 cell; FIG. 50 cell; FIG. 51 cell; FIG. 52 cell; FIG. 53 cell; FIG. 54 cell; FIG. 55 cell; FIG. 56 cell; FIG. 57 cell; FIG. 58 cell; FIG. 59 cell; FIG. 60 cell; FIG. 61 cell; FIG. 62 cell; FIG. 63 cell; FIG. 64 cell; FIG. 65 cell; FIG. 66 cell; FIG. 67 cell; FIG. 68 cell; FIG. 69 cell; FIG. 70 cell; FIG. 71 cell; FIG. 72 cell; FIG. 73 cell; FIG. 74 cell; FIG. 75 cell; FIG. 76 cell; FIG78 cell; FIG79 cell; FIG80 cell; FIG81 cell; FIG82 cell; FIG83A-B cell; FIG84 cell; and FIG85 cell, at least some selected number (for example, three, four, five; , 6, 7, etc.) distinct functional cells and (ii) at least one (or 2, 3, 4, etc.) distinct types, and: FIG11 cells; FIG12 cells; FIG. 13 cell; FIG. 14 cell; FIG. 15 cell; FIG. 16 cell; FIG. 17 cell; FIG. 18 cell; FIG. 19 cell; FIG. 20 cell; FIG. 21 cell; FIG. 22 cell; FIG. 23 cell; FIG. 24 cell; FIG. 25 cell; FIG. 26 cell; FIG. 27 cell; FIG. 28 cell; FIG. 30 shows a product integrated circuit including at least 10 test-ready cells selected from the set of FIG30 cells; FIG31 cells; and FIG32 cells. Another aspect of the invention relates to a method for making the IC, for example by instantiating and making at least some selected number of distinct cells selected from the above set.

また、限定を意図したものではないが一般に、本発明の更なる態様は、少なくとも200個(又は500個、1000個等)の手段の連続論理領域内に、(i)FIG33A‐B手段;FIG34A‐B手段;FIG35A‐B手段;FIG36A‐B手段;FIG37A‐B手段;FIG38A‐B手段;FIG39A‐B手段;FIG40A‐B手段;FIG41A‐B手段;FIG42A‐B手段;FIG43A‐B手段;FIG44A‐B手段;FIG45手段;FIG46手段;FIG47手段;FIG48手段;FIG49手段;FIG50手段;FIG51手段;FIG52手段;FIG53手段;FIG54手段;FIG55手段;FIG56手段;FIG57手段;FIG58手段;FIG59手段;FIG60手段;FIG61手段;FIG62手段;FIG63手段;FIG64手段;FIG65手段;FIG66手段;FIG67手段;FIG68手段;FIG69手段;FIG70手段;FIG71手段;FIG72手段;FIG73手段;FIG74手段;FIG75手段;FIG76手段;FIG77手段;FIG78手段;FIG79手段;FIG80手段;FIG81手段;FIG82手段;FIG83A‐B手段;FIG84手段;及びFIG85手段からなるセットから選択された、少なくともある選択された個数(2個、3個、4個、5個等)の別個の「手段」(即ち対応する手段又は米国特許法第112条第6項による「その等価物」)と、(ii)少なくとも1つ(又は2つ、3つ、4つ等)の別個のタイプを含み、かつ:FIG11手段;FIG12手段;FIG13手段;FIG14手段;FIG15手段;FIG16手段;FIG17手段;FIG18手段;FIG19手段;FIG20手段;FIG21手段;FIG22手段;FIG23手段;FIG24手段;FIG25手段;FIG26手段;FIG27手段;FIG28手段;FIG29手段;FIG30手段;FIG31手段;及びFIG32手段からなるセットから選択された、少なくとも10個の試験対応「手段」とを内包する、製品集積回路に関する。本発明の別の態様は、例えば上述のセットから選択された、少なくともある選択された個数の別個の手段をインスタンス化及び製作することによって、上記ICを作製するための方法に関する。本発明の更なる態様は、少なくともある選択された個数(1個、2個、3個、4個)の上で定義したタイプのICと、1つ又は複数の充電式電源といった他の任意の構成部品とを含む、電子システム(据え置き型又は携帯型)に関する。また、本発明のまた更なる態様は、例えば少なくともある選択された個数の、上で言及した「セル」及び/又は「手段」をインスタンス化することによって、上記ICを作製するための方法に関する。 Also, although not intended to be limiting, in general, a further aspect of the invention is to provide (i) FIG33A-B means; FIG34A within at least 200 (or 500, 1000, etc.) means of contiguous logical regions. -B means; FIG35A-B means; FIG36A-B means; FIG37A-B means; FIG38A-B means; FIG39A-B means; FIG40A-B means; FIG41A-B means; FIG42A-B means; FIG43A-B means; FIG. B means; FIG45 means; FIG46 means; FIG47 means; FIG48 means; FIG49 means; FIG50 means; FIG51 means; FIG52 means; FIG53 means; FIG54 means; FIG55 means; FIG56 means; FIG57 means; FIG58 means; FIG59 means; FIG. 61 means; FIG. 62 means; FIG. 63 means; FIG. 64 means; FIG. 65 means; FIG. 66 means; FIG. 67 means; FIG. 68 means; FIG. 69 means; FIG. 70 means; FIG. 71 means; FIG. 72 means; FIG. 73 means; FIG. 74 means; FIG. 75 means; FIG. 76 means; FIG. 78 means; FIG. 78 means; FIG. 79 means; FIG. 80 means; FIG. 81 means; FIG. 82 means; FIG. 83A-B means; FIG. 84 means; and FIG. 85 means, at least some selected number (two, three, Four, five, etc.) separate "means" (ie corresponding means or "the equivalent" thereof according to 35 U.S.C. 112,6), and (ii) at least one (or two, three) FIG. 11 means; FIG12 means; FIG12 means; FIG12 means; FIG12 means; FIG12 means; FIG12 means; FIG12 means; FIG. 23 means; FIG. 24 means; FIG. 25 means; FIG. 26 means; FIG. 27 means; FIG. 28 means; FIG. 29 means; FIG. 30 means; FIG. 31 means; and FIG. 32 means, at least 10 test response “means” selected from the set consisting of The present invention relates to a product integrated circuit. Another aspect of the invention relates to a method for making an IC as described above, for example by instantiating and making at least a selected number of distinct means selected from the above set. A further aspect of the invention is that at least some selected number (1, 2, 3, 4) of the above defined type of IC and any other optional one or more rechargeable power supplies. And an electronic system (stationary or portable) including components. Also, yet a further aspect of the invention relates to a method for making said IC, eg by instantiating at least some selected number of the “cells” and/or “means” mentioned above.

例示的な論理及び試験対応セル(図11〜85)は、テーパードデバイスの使用を回避することによって、このようなデバイスに関連するパラメトリック変動性の問題及び機能的歩留まり損失の問題を回避しているが、当業者であれば、これらのセルの同等の代替バージョンがテーパードデバイスを採用してよいこと、及び上記代替的なテーパードバージョンが本発明の範囲内であることを、容易に理解するであろう。 The exemplary logic and test enabled cells (FIGS. 11-85) avoid the use of tapered devices, thereby avoiding the parametric variability and functional yield loss problems associated with such devices. However, one of ordinary skill in the art will readily understand that equivalent alternative versions of these cells may employ tapered devices and that such alternative tapered versions are within the scope of the present invention. Let's do it.

本発明の別の態様は、荷電粒子カラム(電子又はイオン)を用いたツールの使用に関し、上記ツールの主要な機能は、半導体ウェハの表面上の欠陥を発見すること(即ち点検器として機能すること)である。(本説明は用語「eビーム(e−beam)」を使用するが、本説明は全ての荷電ビームに当てはまることを理解されたい。) Another aspect of the invention relates to the use of a tool with a charged particle column (electrons or ions), the main function of which is to find defects on the surface of a semiconductor wafer (ie to act as an inspector). That is). (This description uses the term "e-beam", but it should be understood that this description applies to all charged beams.)

本発明の一態様に従い、本発明者らは、ウェハ表面上のピクセルをサンプリングするVC点検器を説明する。この走査方法は、以前に設計されたあらゆる点検器とは原理的に異なる。一実施形態では、ピクセルは、特定の指定されたX−Y座標を有し、そのピクセル値(即ち電子ビーム信号)を用いて、欠陥が存在しているかいないかが決定される。これは、従来技術の典型的な2D点検ではなく、0D点検と考えることができる。 In accordance with one aspect of the invention, we describe a VC checker that samples pixels on the wafer surface. This scanning method differs in principle from all previously designed checkers. In one embodiment, a pixel has a particular designated XY coordinate, and its pixel value (ie, electron beam signal) is used to determine if a defect is present or absent. This can be considered as a 0D inspection, rather than the typical 2D inspection of the prior art.

一実施形態では、上記ピクセルは、電圧コントラスト欠陥を発見する目的のために明確に形成された、電子試験構造体内の「パッド(pad)」に対応する。ビームは上記パッドを、指定された時間に亘って照明する。各試験構造体は、1つ又は複数のパッドを有してよい(点検器はパッド1つあたり1ピクセルを読み出す)。上記試験パッドは、パターンが主に「試験チップ(test chip)」として設計されている半導体ウェハ上に存在してよく、又は「製品ウェハ(product wafer)」に埋め込まれてもよい。 In one embodiment, the pixels correspond to "pads" in the electronic test structure that are specifically formed for the purpose of finding voltage contrast defects. The beam illuminates the pad for a specified time. Each test structure may have one or more pads (the checker reads one pixel per pad). The test pads may be present on a semiconductor wafer whose pattern is primarily designed as a “test chip” or may be embedded in a “product wafer”.

一実施形態では、各ピクセルは、半導体製品レイアウトのある特定の具体的位置に対応する。製品上のこれらの位置における信号異常が、1つ又は複数の特定のタイプの欠陥の指標となるため、これらのピクセルが選択される。 In one embodiment, each pixel corresponds to a particular location in the semiconductor product layout. These pixels are selected because signal anomalies at these locations on the product are indicative of one or more particular types of defects.

一実施形態では、ステージは、「ステップ・アンド・スキャン(step and scan)」点検と同様に静止状態で保持される。ある所与の視野に対応するピクセル値が感知されると、上記ステージは、次のピクセルのセットを読み出すことができる別の位置へと移動する。 In one embodiment, the stage is held stationary, similar to a "step and scan" inspection. When the pixel value corresponding to a given field of view is sensed, the stage moves to another location where the next set of pixels can be read out.

一実施形態では、ステージは、ピクセルの走査中に移動し、これに従ってeビームを屈折させてステージの移動を補償することによって、点検が行われる。 In one embodiment, the stage is inspected by moving during scanning of the pixels and refracting the e-beam accordingly to compensate for stage movement.

一実施形態では、各位置におけるピクセル読み出しの期間は、各ピクセルに関して動的であり、即ち各点において点検されている試験構造体又は製品回路に応じて、ビームをその位置に保持する期間は好適に変化する。 In one embodiment, the duration of pixel readout at each location is dynamic for each pixel, that is, the duration of holding the beam at that location is preferred, depending on the test structure or product circuit being inspected at each point. Changes to.

一実施形態では、ウェハ上のビームのサイズは固定されておらず、読み出される各位置に対して動的に変化する。このタイプのビーム成形は、eビーム描画装置において使用されるものと同様である。構造体毎のスポットのサイズ設定により、ビームを各構造体に対して最適化できる。この最適化は典型的には、点検の信号対ノイズ比を最大化できる。本発明の別の態様は、試験パッドを有する電圧コントラスト被測定デバイス(voltage−contrast device−under−test:「VC DUT」)の設計に関し、完全な構造体を、極わずかなピクセル(10未満)のみで試験する。上記VC DUTは、そのサイズ及び形状が非円形入射eビームを受け入れながら同時にSNRを最大化する、試験パッドを有してよい。上記ビームはまた、正方形状のパッドに適合するように、同様に正方形状であってよい。上記パッドは、3を超える非対称アスペクト比(X/Y長さ比)を有するビーム(例えばX寸法100nm及びY寸法300〜600nmのDUTは、アスペクト比3:1、4:1、5:1を有することになる)を捕捉するよう構成してよい。 In one embodiment, the beam size on the wafer is not fixed and changes dynamically for each position read. This type of beam shaping is similar to that used in e-beam writer. The beam size can be optimized for each structure by setting the spot size for each structure. This optimization can typically maximize the signal-to-noise ratio of the inspection. Another aspect of the invention relates to the design of a voltage-contrast device-under-test (“VC DUT”) having a test pad, which is a complete structure with very few pixels (less than 10). Only test. The VC DUT may have a test pad whose size and shape simultaneously accepts a non-circular incident e-beam while simultaneously maximizing SNR. The beam may also be square shaped to fit square shaped pads as well. The pad has a beam with an asymmetric aspect ratio (X/Y length ratio) of greater than 3 (eg, a DUT with an X dimension of 100 nm and a Y dimension of 300-600 nm has an aspect ratio of 3:1, 4:1, 5:1). May be configured to capture).

本発明のこれらの及びその他の態様、特徴及び利点を、以下の一連の図面において例示する。 These and other aspects, features and advantages of the present invention are illustrated in the following series of drawings.

図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、フィラーセル(F)及びタップセル(T)と、上記列の間の配線領域と、近傍のデキャップセル(dC)とを含む。FIG. 1 is a conceptual diagram of an exemplary section of a standard cell layout, which layout includes logic cells (L), filler cells (F) and tap cells (T) arranged in a plurality of rows and the columns described above. It includes a wiring region between them and a decap cell (dC) in the vicinity. 図2は、第1の層内にダミーフィル領域が示されている、図1と同一のレイアウトを示す。FIG. 2 shows the same layout as FIG. 1 with the dummy fill regions shown in the first layer. 図3は、第2の層内に1つ又は複数のダミーフィル領域が示されている、図1、2と同一のレイアウトを示す。FIG. 3 shows the same layout as FIGS. 1 and 2, with one or more dummy fill regions shown in the second layer. 図4は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図3のフィラーセル、タップセル、デキャップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。FIG. 4 shows an exemplary layout according to the present invention, in which the filler cells, tap cells, decap cells and dummy fill regions of FIG. 3 have been replaced with self-contained test structures. 図5は、(本発明に従って使用するための)標準セルレイアウトのある好ましい形態の概念図である。FIG. 5 is a conceptual diagram of one preferred form of a standard cell layout (for use in accordance with the present invention). 図6は、本発明による例示的なレイアウトを示し、上記レイアウトでは、図5のフィラーセル、デキャップセル、タップセル及びダミーフィル領域が、自己完結型試験構造体に置換されている。FIG. 6 shows an exemplary layout according to the present invention, in which the filler cells, decap cells, tap cells and dummy fill regions of FIG. 5 have been replaced with self-contained test structures. 図7は、本発明の特定の実施形態による、日和見的試験構造体挿入のための例示的プロセスフローを示す。FIG. 7 illustrates an exemplary process flow for opportunistic test structure insertion, according to certain embodiments of the invention. 図8は、(図7又は10によって)上記日和見的に挿入された試験構造体を利用して有用な結果を生成するための、例示的プロセスフローを示す。FIG. 8 shows an exemplary process flow for utilizing the opportunistically inserted test structure (by FIG. 7 or 10) to produce useful results. 図9は、例示的なウェハ又はダイの一部分の概念図であり、これは、日和見的に挿入された試験パッド及び/又は構造体が、より迅速なeビーム走査を可能とする1つ若しくは複数の空トラック及び/又は1つ若しくは複数のスキップ領域を生成するように配設される様を示す。FIG. 9 is a conceptual diagram of a portion of an exemplary wafer or die, where one or more opportunistically inserted test pads and/or structures allow for faster e-beam scanning. Of empty tracks and/or arranged to create one or more skip regions. 図10は、本発明の特定の実施形態による、日和見的試験構造体挿入のための代替的な例示的プロセスフローを示す。FIG. 10 illustrates an alternative exemplary process flow for opportunistic test structure insertion, according to certain embodiments of the invention. 図11は、第1の例示的な試験対応タップセルを示す。FIG. 11 shows a first exemplary test enabled tap cell. 図12は、第1の例示的な試験対応フィラーセルを示す。FIG. 12 shows a first exemplary test-ready filler cell. 図13は、別の例示的な試験対応フィラーセルを示す。FIG. 13 shows another exemplary test-enabled filler cell. 図14は、別の例示的な試験対応タップセルを示す。FIG. 14 illustrates another exemplary test enabled tap cell. 図15は、別の例示的な試験対応フィラーセルを示す。FIG. 15 shows another exemplary test-enabled filler cell. 図16は、別の例示的な試験対応タップセルを示す。FIG. 16 illustrates another exemplary test enabled tap cell. 図17は、別の例示的な試験対応フィラーセルを示す。FIG. 17 shows another exemplary test-enabled filler cell. 図18は、別の例示的な試験対応フィラーセルを示す。FIG. 18 shows another exemplary test-enabled filler cell. 図19は、別の例示的な試験対応タップセルを示す。FIG. 19 shows another exemplary test enabled tap cell. 図20は、別の例示的な試験対応フィラーセルを示す。FIG. 20 shows another exemplary test-enabled filler cell. 図21は、別の例示的な試験対応フィラーセルを示す。FIG. 21 shows another exemplary test-enabled filler cell. 図22は、別の例示的な試験対応フィラーセルを示す。FIG. 22 shows another exemplary test-enabled filler cell. 図23は、別の例示的な試験対応タップセルを示す。FIG. 23 illustrates another exemplary test enabled tap cell. 図24は、別の例示的な試験対応フィラーセルを示す。FIG. 24 shows another exemplary test-enabled filler cell. 図25は、別の例示的な試験対応タップセルを示す。FIG. 25 shows another exemplary test enabled tap cell. 図26は、別の例示的な試験対応フィラーセルを示す。FIG. 26 shows another exemplary test-enabled filler cell. 図27は、別の例示的な試験対応タップセルを示す。FIG. 27 shows another exemplary test enabled tap cell. 図28は、別の例示的な試験対応フィラーセルを示す。FIG. 28 shows another exemplary test-enabled filler cell. 図29は、別の例示的な試験対応タップセルを示す。FIG. 29 illustrates another exemplary test enabled tap cell. 図30は、別の例示的な試験対応タップセルを示す。FIG. 30 shows another exemplary test enabled tap cell. 図31は、別の例示的な試験対応フィラーセルを示す。FIG. 31 shows another exemplary test-enabled filler cell. 図32は、別の例示的な試験対応フィラーセルを示す。FIG. 32 shows another exemplary test-enabled filler cell. 図33A〜85は、例示的な標準セルライブラリからの例示的なセルを示す。図33A、Bはそれぞれ、第1の例示的な標準セルの当接している左側及び右側部分を示す。33A-85 show exemplary cells from an exemplary standard cell library. 33A, B respectively show abutting left and right portions of the first exemplary standard cell. 図34A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。34A, B respectively show abutting left and right portions of another exemplary standard cell. 図35A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。35A, B respectively show abutting left and right portions of another exemplary standard cell. 図36A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。36A, B respectively show abutting left and right portions of another exemplary standard cell. 図37A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。37A, B respectively show abutting left and right portions of another exemplary standard cell. 図38A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。38A and 38B respectively show abutting left and right portions of another exemplary standard cell. 図39A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。39A, B respectively show abutting left and right portions of another exemplary standard cell. 図40A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。40A, B respectively show abutting left and right portions of another exemplary standard cell. 図41A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。41A, B respectively show abutting left and right portions of another exemplary standard cell. 図42A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。42A, B respectively show abutting left and right portions of another exemplary standard cell. 図43A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。43A, B respectively show abutting left and right portions of another exemplary standard cell. 図44A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。44A, B respectively show abutting left and right portions of another exemplary standard cell. 図45は、別の例示的な標準セルを示す。FIG. 45 shows another exemplary standard cell. 図46は、別の例示的な標準セルを示す。FIG. 46 shows another exemplary standard cell. 図47は、別の例示的な標準セルを示す。FIG. 47 shows another exemplary standard cell. 図48は、別の例示的な標準セルを示す。FIG. 48 shows another exemplary standard cell. 図49は、別の例示的な標準セルを示す。FIG. 49 shows another exemplary standard cell. 図50は、別の例示的な標準セルを示す。FIG. 50 shows another exemplary standard cell. 図51は、別の例示的な標準セルを示す。FIG. 51 shows another exemplary standard cell. 図52は、別の例示的な標準セルを示す。FIG. 52 shows another exemplary standard cell. 図53は、別の例示的な標準セルを示す。FIG. 53 shows another exemplary standard cell. 図54は、別の例示的な標準セルを示す。FIG. 54 shows another exemplary standard cell. 図55は、別の例示的な標準セルを示す。FIG. 55 shows another exemplary standard cell. 図56は、別の例示的な標準セルを示す。FIG. 56 shows another exemplary standard cell. 図57は、別の例示的な標準セルを示す。FIG. 57 shows another exemplary standard cell. 図58は、別の例示的な標準セルを示す。FIG. 58 shows another exemplary standard cell. 図59は、別の例示的な標準セルを示す。FIG. 59 shows another exemplary standard cell. 図60は、別の例示的な標準セルを示す。FIG. 60 shows another exemplary standard cell. 図61は、別の例示的な標準セルを示す。FIG. 61 shows another exemplary standard cell. 図62は、別の例示的な標準セルを示す。FIG. 62 shows another exemplary standard cell. 図63は、別の例示的な標準セルを示す。FIG. 63 shows another exemplary standard cell. 図64は、別の例示的な標準セルを示す。FIG. 64 shows another exemplary standard cell. 図65は、別の例示的な標準セルを示す。FIG. 65 shows another exemplary standard cell. 図66は、別の例示的な標準セルを示す。FIG. 66 shows another exemplary standard cell. 図67は、別の例示的な標準セルを示す。FIG. 67 shows another exemplary standard cell. 図68は、別の例示的な標準セルを示す。FIG. 68 shows another exemplary standard cell. 図69は、別の例示的な標準セルを示す。FIG. 69 shows another exemplary standard cell. 図70は、別の例示的な標準セルを示す。FIG. 70 shows another exemplary standard cell. 図71は、別の例示的な標準セルを示す。FIG. 71 shows another exemplary standard cell. 図72は、別の例示的な標準セルを示す。FIG. 72 shows another exemplary standard cell. 図73は、別の例示的な標準セルを示す。FIG. 73 shows another exemplary standard cell. 図74は、別の例示的な標準セルを示す。FIG. 74 shows another exemplary standard cell. 図75は、別の例示的な標準セルを示す。FIG. 75 shows another exemplary standard cell. 図76は、別の例示的な標準セルを示す。FIG. 76 shows another exemplary standard cell. 図77は、別の例示的な標準セルを示す。FIG. 77 shows another exemplary standard cell. 図78は、別の例示的な標準セルを示す。FIG. 78 shows another exemplary standard cell. 図79は、別の例示的な標準セルを示す。FIG. 79 shows another exemplary standard cell. 図80は、別の例示的な標準セルを示す。FIG. 80 shows another exemplary standard cell. 図81は、別の例示的な標準セルを示す。FIG. 81 shows another exemplary standard cell. 図82は、別の例示的な標準セルを示す。FIG. 82 shows another exemplary standard cell. 図83A、Bはそれぞれ、別の例示的な標準セルの当接している左側及び右側部分を示す。83A, B respectively show abutting left and right portions of another exemplary standard cell. 図84は、別の例示的な標準セルを示す。FIG. 84 shows another exemplary standard cell. 図85は、別の例示的な標準セルを示す。FIG. 85 shows another exemplary standard cell. 図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。FIG. 86 illustrates prior art “step and scan” and “swathing” techniques. 図87は、従来技術のビーム走査/成形装置を示す。FIG. 87 shows a prior art beam scanning/shaping device. 図88は、図87のカラムを用いて実現できるビーム形状の例を示す。88 shows an example of a beam shape that can be realized using the column of FIG. 図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。FIG. 89 shows an exemplary semiconductor wafer, which is typically circular and is divided into multiple identical dies, with all test structures located within the scribe line region of the die. The target case is shown. 図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。FIG. 90 shows a series of test structures in which the pads are laid out in a row, with the electron beam spot scanning the entire pad by relative movement of the wafer relative to the spot. 図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。FIG. 91 shows an electron beam spot shaped non-circularly to fit the size and shape of the pad in order to maximize the electron flow delivered to the pad. 図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。FIG. 92 shows a pad shape sized according to the amount of charge that needs to be delivered to the test structure, with the test structure requiring more charge having a longer pad along the scan direction of the beam. , Which increases the beam dwell time on the pad. 図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。FIG. 93 shows that the beam moves quickly but at a constant speed when there is a long section where there is no pad to be charged, and more pad is charged to the test structure in the area where the pad is arranged. We will show a scenario of moving more slowly so that 図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。FIG. 94 shows the test structure laid out on both sides of the pad so that a single pass of the beam on the wafer can be used to scan more test structures. 図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。FIG. 95 illustrates how solid pads can be divided into finer lines or alternative shapes so that the pad layout complies with semiconductor processing design rules. 図96は、本発明の特定の実施形態と共に使用するための、「正味グレー(net grey)」パッドを示す。FIG. 96 illustrates a “net gray” pad for use with certain embodiments of the invention. 図97は、本発明の特定の態様/実施形態によるVC DUTの一実施形態の概念図である。FIG. 97 is a conceptual diagram of one embodiment of a VC DUT in accordance with certain aspects/embodiments of the present invention. 図98は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。FIG. 98 is a conceptual diagram of another embodiment of a VC DUT according to a particular aspect/embodiment of the invention. 図99は、本発明の特定の態様/実施形態によるVC DUTの別の実施形態の概念図である。FIG. 99 is a conceptual diagram of another embodiment of a VC DUT according to a particular aspect/embodiment of the invention.

図1は、標準セルレイアウトの例示的セクションの概念図であり、上記レイアウトは、複数の行に配設された論理セル(L)、タップセル(T)及びフィラーセル(F)と、上記列の間の配線チャネルと、近傍のデキャップセル(dC)とを含む。図示されているように、この例示的セクション内におけるデキャップ、タップ及びフィラーセルの全体的な分散は不規則であり、いずれの明確なパターン又は対称性に従うものではない。(当業者であれば、この図示が概念的なものであり、実際のレイアウトそのものを表すのではなく、本発明の原理を説明することのみを意図したものであることを容易に認識するであろう。)事実、当業者であれば、タップセルが典型的には1つのサイズであり、規則的な、又は略規則的な間隔で存在することを理解するであろう。同様に、当業者であれば、デキャップセルは、標準セル行内にフィットするようにサイズ設定でき、標準セル行内に配置でき、またそのようにサイズ設定及び配置されることが多いことも認識するであろう。 FIG. 1 is a conceptual diagram of an exemplary section of a standard cell layout, the layout of which includes logic cells (L), tap cells (T) and filler cells (F) arranged in multiple rows and columns of the above. Includes wiring channels between and decap cells (dC) in the vicinity. As shown, the overall distribution of decaps, taps and filler cells within this exemplary section is irregular and does not follow any well-defined pattern or symmetry. (A person skilled in the art will readily recognize that this illustration is conceptual and is not intended to represent the actual layout itself, but only to illustrate the principles of the invention. In fact, one of ordinary skill in the art will appreciate that tap cells are typically one size and are present at regular or nearly regular intervals. Similarly, those of skill in the art will recognize that decap cells can be sized to fit within standard cell rows, can be located within standard cell rows, and are often sized and positioned as such. Will.

図2は、図1と同一の従来技術のレイアウトを示すが、第1の層内にダミーフィル領域が示されている。これらのダミーフィル領域は斜線付き領域として示されており、また図示されているように、規則的な(例えば長方形の)又は不規則な形状であってよい。本発明に従って最も有用なダミーフィル領域は、典型的には第3の、及びそれより上の金属層(例えばM3、M4、M5、M6)上に存在するが、アクティブな1つ又は複数のポリ層といった、より下の金属層及び/若しくは以前の層、又は局所的相互接続上に存在してもよい。(ダミーフィル領域は典型的には、1つ又は少数の標準セルよりも面積がはるかに大きくなるため、図2のダミーフィル領域の図示が概念的なものであることは、当業者には理解されるであろう。) FIG. 2 shows the same prior art layout as FIG. 1, but with a dummy fill region shown in the first layer. These dummy fill regions are shown as shaded regions and may have regular (eg rectangular) or irregular shapes, as shown. The most useful dummy fill regions in accordance with the present invention are typically on the third and above metal layers (eg, M3, M4, M5, M6), but one or more active poly layers. It may be on a lower metal layer, such as a layer and/or a previous layer, or on a local interconnect. (Those skilled in the art will understand that the illustration of the dummy fill region in FIG. 2 is conceptual, as the dummy fill region is typically much larger in area than one or a few standard cells. Will be done.)

図3は、図1、2と同一のレイアウトの概念図であるが、第2の層内に1つ又は複数のダミーフィル領域が示されている。この第2の層のダミーフィル領域は、鱗状パターンで示されている。 FIG. 3 is a conceptual view of the same layout as FIGS. 1 and 2, but one or more dummy fill regions are shown in the second layer. The dummy fill region of this second layer is shown in a scaly pattern.

図4は、図3のレイアウトをベースとした例示的なレイアウトの概念図であり、本発明の特定の態様を図示している。図4に例示されているように、フィラーセル(F)及びタップセル(T)は、試験構造体(TS4、TS5、TS6、TS7、TS8、TS9、TS10)に置換され、デキャップセル(dC)は試験対応デキャップセル(dC‐T)に置換され、ダミーフィル領域は試験構造体(TS1、TS2、TS3)に置換されている。 FIG. 4 is a conceptual diagram of an exemplary layout based on the layout of FIG. 3 and illustrates certain aspects of the present invention. As illustrated in FIG. 4, the filler cell (F) and the tap cell (T) are replaced with a test structure (TS4, TS5, TS6, TS7, TS8, TS9, TS10) and a decap cell (dC). Are replaced with test-capable decap cells (dC-T) and the dummy fill regions are replaced with test structures (TS1, TS2, TS3).

図5は、本発明に従って使用するために好適な標準セルレイアウトのある好ましい形態の概念図である。この図は、セルの複数の行が当接しており、配線領域がセル全体を覆っている、比較的現代的なスタイルを示す。図示されていないが、配線領域は規則的な形状である必要はなく、また行に対して平行な方向に配向される必要もない。 FIG. 5 is a conceptual diagram of a preferred form of a standard cell layout suitable for use in accordance with the present invention. The figure shows a relatively modern style in which multiple rows of cells abut and the wiring area covers the entire cell. Although not shown, the wiring regions do not have to have a regular shape and need not be oriented in a direction parallel to the rows.

図6は、図5のフィラーセル(F)、タップセル(T)、デキャップセル(dC)及びダミーフィル(斜線付き)領域が、自己完結型試験構造体(それぞれTS、dC‐T及び網掛け領域)に置換されている、本発明による例示的なレイアウトを示す。 FIG. 6 shows that the filler cell (F), tap cell (T), decap cell (dC) and dummy fill (shaded) area of FIG. 5 are self-contained test structures (TS, dC-T and shaded, respectively). 3 shows an exemplary layout according to the present invention, replaced by a region).

当業者であれば、特定の試験構造体を本発明に従って日和見的にインスタンス化するために、多数の選択肢が存在することを認識するであろう。 One of ordinary skill in the art will recognize that there are numerous options for opportunistically instantiating a particular test structure in accordance with the present invention.

本発明による製品ICは、マルチパターン形成構造体を含む、システマティック欠陥に最も影響される製品レイアウトパターンの、明視野及び/又はeビーム(若しくは他の荷電)によるインラインシステマティック欠陥点検のために適合された試験構造体を含む。上記試験構造体は好ましくは、カナリア構造体(即ちプロセスレイアウトの限界を探るために使用される、設計ルール未満の構造体)を含んでよい。 Product ICs according to the present invention are adapted for in-line systematic defect inspection by brightfield and/or e-beam (or other charging) of product layout patterns that are most susceptible to systematic defects, including multi-patterned structures. Including test structure. The test structure may preferably include a canary structure (ie, a sub-design rule structure used to probe process layout limits).

本発明による製品ICはまた、単一ライン状開口等の最も発生しやすい欠陥、及び最も発生しやすいビアホール開口位置に関する、製品様パターンの明視野及び/又はeビームツールによるインラインランダム欠陥点検のために適合された試験構造体(カナリア構造体を含む))も含んでよい。 The product IC according to the present invention is also for in-line random defect inspection with bright field of product-like patterns and/or e-beam tools regarding the most likely defects such as single line openings and the most likely via hole opening position. Test structures adapted to (including canary structures)) may also be included.

本発明による製品ICはまた、オーバレイ/ミスアライメント、ポリCD、MOL CD、ビアホール底部CD、金属CDといった製品固有パターン、及び高さ、誘電高さ等を抽出するための構造体といった、インライン計量のために適合された試験構造体も含んでよく、また(例えばオーバレイ、線状CD及びプロファイルに関して)電気的に並びに/又は走査電子顕微鏡によって試験可能であってよい。 The product IC according to the present invention also has in-line metrology features such as overlay/misalignment, poly CD, MOL CD, via hole bottom CD, metal CD, and product specific patterns and structures for extracting height, dielectric height, etc. Test structures adapted for use may also be included and may be testable electrically (eg for overlays, linear CDs and profiles) and/or by scanning electron microscopy.

本発明による製品ICはまた、発生する確率が高いシステマティック故障のための、物理的故障分析(Physical Failure Analysis:PFA)構造体も含んでよく、上記PFAは、製品固有レイアウトパターン(カナリア構造体を含む)、及びプローブ探査のためのパッドを含んでよい。 The product IC according to the present invention may also include a Physical Failure Analysis (PFA) structure for a systematic failure with a high probability of occurrence, wherein the PFA includes a product-specific layout pattern (canary structure). ), and pads for probe probing.

また本発明による製品ICは、上述の、又はその他の有用な試験構造体のいずれの組み合わせも含んでよい。 The product IC according to the present invention may also include any combination of the above or other useful test structures.

試験対応デキャップセルに関して、好ましい試験構造体は、単一線状開口点検のためのM1構造体である。 For test-ready decap cells, the preferred test structure is the M1 structure for single linear aperture inspection.

本発明の特定の実施形態による試験構造体の設計の重要な目標は:(1)試験構造体は、アクティブな領域(即ち標準セル又は相互接続)の印刷性に影響を及ぼしてはならないこと;並びに/又は(2)試験構造体は、アクティブセル特性(印刷性及び電気的特徴)の典型でなければならないことである。 The key goals of designing a test structure according to certain embodiments of the invention are: (1) the test structure must not affect the printability of the active areas (ie standard cells or interconnects); And/or (2) the test structure must be typical of active cell properties (printability and electrical characteristics).

以下に詳細に説明するように、図11〜32は、本発明の特定の実施形態における使用のために好適な、一連の例示的なVC DUTを示す。 As described in detail below, FIGS. 11-32 show a series of exemplary VC DUTs suitable for use in certain embodiments of the present invention.

第1の例示的な試験対応タップセルを示す図11を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成(Merged Via configuration)の、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。(eビーム点検を、フローティングしているポリゴンに関して暗色又は明色状態を生成するよう構成できることは、当業者には理解されるであろう。後者の構成が典型的には比較的安定しており、従って本開示の実施例に関してこの構成が想定されているが、本発明がいずれの構成においても有用であることは、当業者には理解されるであろう。) Reference is made to FIG. 11 showing a first exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Merged Via Hole Configuration (Merged Via). configuration) shorts to nearby metal/local interconnects. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. .. (It will be appreciated by those skilled in the art that the e-beam inspection can be configured to produce dark or light states for floating polygons. The latter configuration is typically relatively stable. (Thus, while this configuration is envisioned for the embodiments of the present disclosure, it will be understood by those of ordinary skill in the art that the present invention is useful in either configuration.)

第1の例示的な試験対応フィラーセルを示す図12を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 12, which shows a first exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Underlayer of coupled via hole configuration. Short circuit to metal. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図13を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 13 showing another exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Underlayer of coupled via hole configuration. Short circuit to metal. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. ..

別の例示的な試験対応タップセルを示す図14を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。 Reference is made to FIG. 14 showing another exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Apertures in coupled via hole configurations. In the configuration shown, pass response = grounded metal = light colored pad, while fail response = failure to connect to grounded lower layer metal → floating pad = dark colored pad It is a pad.

別の例示的な試験対応フィラーセルを示す図15を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:結合ビアホール構成の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された下層金属への接続の失敗→フローティングしているパッド=暗色のパッドである。 Reference is made to FIG. 15 showing another exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Apertures in coupled via hole configurations. In the configuration shown, pass response = grounded metal = light colored pad, while fail response = failure to connect to grounded lower layer metal → floating pad = dark colored pad It is a pad.

別の例示的な試験対応タップセルを示す図16を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、近傍の金属/局所的相互接続に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 16 showing another exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal near via hole. / Short to local interconnect. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図17を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 17 showing another exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: via hole, underlying metal Short circuit against. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図18を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:ビアホールの、下層の金属に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層金属に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 18 showing another exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: via hole, underlying metal Short circuit against. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded lower layer metal→grounded pad=light pad. ..

別の例示的な試験対応タップセルを示す図19を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 19 showing another exemplary test enabled tap cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to bottom layer. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded bottom layer→grounded pad=light pad.

別の例示的な試験対応フィラーセルを示す図20を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 20 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to bottom layer. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded bottom layer→grounded pad=light pad.

別の例示的な試験対応フィラーセルを示す図21を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 21 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to bottom layer. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded bottom layer→grounded pad=light pad.

別の例示的な試験対応フィラーセルを示す図22を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:下層に対する接点短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された下層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 22 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contact short to bottom layer. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded bottom layer→grounded pad=light pad.

別の例示的な試験対応タップセルを示す図23を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 23 showing another exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Metal with metal end Short circuit to the side. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded metal layer→grounded pad=light pad.

別の例示的な試験対応フィラーセルを示す図24を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色金属端部の金属側部に対する短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 24 showing another exemplary test-enabled filler cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Metal with metal end Short circuit to the side. In the configuration shown, pass response=floating metal=dark pad, while fail response=grounded metal layer→grounded pad=light pad.

別の例示的な試験対応タップセルを示す図25を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。 Reference is made to FIG. 25 showing another exemplary test enabled tap cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal aperture. In the configuration shown, pass response = grounded metal = light colored pad, while fail response = failed connection to grounded metal → floating pad = dark colored pad. Is.

別の例示的な試験対応フィラーセルを示す図26を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属の開口。図示されている構成では、合格反応=グランド接続された金属=明色のパッドであり、その一方で不合格反応=グランド接続された金属への接続の失敗→フローティングしているパッド=暗色のパッドである。 Reference is made to FIG. 26 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: metal aperture. In the configuration shown, pass response = grounded metal = light colored pad, while fail response = failed connection to grounded metal → floating pad = dark colored pad. Is.

別の例示的な試験対応タップセルを示す図27を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 27 showing another exemplary test enabled tap cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Metal shorts to metal corners. .. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded metal layer→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図28を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:金属コーナーに対する金属の短絡。図示されている構成では、合格反応=フローティングしている金属=暗色のパッドであり、その一方で不合格反応=グランド接続された金属層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 28 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Metal shorts to metal corners. .. In the configuration shown, pass response=floating metal=dark pad, while fail response=short to grounded metal layer→grounded pad=light pad. ..

別の例示的な試験対応タップセルを示す図29を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:同色の接点端部の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 29 showing another exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: Short circuit to contacts. In the configuration shown, pass response=floating contacts=dark pad, while fail response=short to grounded contact layer→grounded pad=light pad. ..

別の例示的な試験対応タップセルを示す図30を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:異なる色の接点の接点端部に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 30 showing another exemplary test enabled tap cell. This cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: contacts of different color contacts. Short circuit to the end. In the configuration shown, pass response=floating contacts=dark pad, while fail response=short to grounded contact layer→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図31を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 31 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: short-circuit to contact short-circuit. In the configuration shown, pass response=floating contacts=dark pad, while fail response=short to grounded contact layer→grounded pad=light pad. ..

別の例示的な試験対応フィラーセルを示す図32を参照する。このセルは、E字型電圧コントラスト標的/パッドを内包し、また以下の故障モードを検出するためのeビーム(又は他の荷電粒子)インライン試験のために構成される:接点の接点に対する短絡。図示されている構成では、合格反応=フローティングしている接点=暗色のパッドであり、その一方で不合格反応=グランド接続された接触層に対する短絡→グランド接続されたパッド=明色のパッドである。 Reference is made to FIG. 32 showing another exemplary test-enabled filler cell. The cell contains an E-shaped voltage contrast target/pad and is configured for e-beam (or other charged particle) in-line testing to detect the following failure modes: short-circuit to contact short-circuit. In the configuration shown, pass response=floating contacts=dark pad, while fail response=short to grounded contact layer→grounded pad=light pad. ..

図33A〜85は、標準セルライブラリからの例示的なセルを示す。これらのセルは、上述の図11〜32の試験対応フィラーセルと適合する。これらの例示的な標準セルを、添付の図33A〜85に詳細に示す。図示されている各セルの機能を以下に説明する。図33Aは、これらの図のための層の凡例を提供するものであり、層が以下のように図示されている:金属‐1/第1のマスク(11);金属‐1/第2のマスク(12);ビアホール‐0(13);ビアホール‐1(14);金属‐2(15);ポリ接点(16);アクティブ(17);アクティブ接点(18);ポリ(19);ポリカット(20);及びアクティブカット(21)。これらのセルが、(例えば:S. Saika,“Standard cell library and semiconductor integrated circuit”、米国登録特許第8302057B2号(参照により本出願に援用される);J. J. Lee, et al.,“Standard Cell Placement Technique For Double Patterning Technology”、米国特許出願第20130036397A1号(これもまた参照により本出願に援用される);D. D. Sherlekar,“Power Routing in Standard Cell Designs”、米国特許出願第20120249182A1号(これもまた参照により本出願に援用される);H. H. Nguyen, et al.,“7-tracks standard cell library”、米国特許第6938226号(これもまた参照により本出願に援用される);P. Penzes, et al.,“High-speed low‐leakage‐power standard cell library”、米国特許第8079008号(これもまた参照により本出願に援用される);H.‐Y. Kim, et al.,“Standard cell libraries and integrated circuit including standard cells”、米国特許第8174052号(これもまた参照により本出願に援用される);及びO. M. K. Law, et al.,“Standard cell architecture and methods with variable design rules”米国特許第8173491号(これもまた参照により本出願に援用される)に記載されているような)当該技術分野において公知の方法及び構成においてインスタンス化及び使用するために構成されていることは、当業者であれば理解するであろう。更に、当業者であれば認識するであろうように、各セル境界の右及び左縁部を超えて図示されているダミーポリストライプは、DRC検査に使用され、従ってセル自体の一部と考えてはならない。本発明のライブラリを用いて構成された製品ICは好ましくは、市販の14nm製作プロセスを用いて製作される。 33A-85 show exemplary cells from a standard cell library. These cells are compatible with the test-ready filler cells of Figures 11-32 above. These exemplary standard cells are detailed in the accompanying Figures 33A-85. The function of each cell shown is described below. FIG. 33A provides a legend of layers for these figures, the layers being illustrated as follows: metal-1/first mask (11); metal-1/second Mask (12); Via hole-0 (13); Via hole-1 (14); Metal-2 (15); Poly contact (16); Active (17); Active contact (18); Poly (19); Poly cut ( 20); and active cut (21). These cells are described in, for example: S. Saika, "Standard cell library and semiconductor integrated circuit," U.S. Pat. No. 8302057B2 (incorporated herein by reference); J. J. Lee, et al. Standard Cell Placement Technology for Double Patterning Technology", U.S. Patent Application No. 20130036397A1, which is also incorporated herein by reference; D. D. Sherlkar, "Dell Sherlekar, "Dr. HH Nguyen, et al., "7-tracks standard cell library," U.S. Pat. No. 6,938,226, which is also incorporated herein by reference. P. Penzes, et al., "High-speed low-leakage-power standard cell library," U.S. Pat. No. 8,079,008, which is also incorporated herein by reference. , Et al., "Standard cell libraries and integrated circuits including standard cells," U.S. Pat. No. 8,174,052 (also incorporated herein by reference); and OM K. Law, et al. Instantiation and use in methods and configurations known in the art, as described in Standard cell architecture and methods with variable design rules, US Pat. No. 8,173,491, which is also incorporated herein by reference. It will be understood by those skilled in the art that it is configured to do so. Moreover, as those skilled in the art will recognize, the dummy poly stripes shown beyond the right and left edges of each cell boundary are used for DRC testing and are therefore considered part of the cell itself. must not. Product ICs constructed using the library of the present invention are preferably fabricated using a commercially available 14 nm fabrication process.

第1の例示的な標準セルの当接している左側及び右側部分をそれぞれ示す、図33A、Bを参照する。このセルは、駆動強度3において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。 Reference is made to Figures 33A,B, which show abutting left and right portions of the first exemplary standard cell, respectively. This cell implements the logic function of a scan-ready d-flip-flop with the output set and inverted at a drive strength of 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図34A、Bを参照する。このセルは、駆動強度2において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。 34A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip-flop with the output set and inverted at a drive strength of 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図35A、Bを参照する。このセルは、駆動強度1において設定及び反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。 35A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-ready d-flip-flop with the output set and inverted at a drive strength of one.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図36A、Bを参照する。このセルは、駆動強度3に設定された走査対応d‐フリップフロップを実装する。 Reference is made to Figures 36A,B, which show the abutting left and right portions of another standard cell, respectively. This cell implements a scan-ready d-flip-flop set to a drive strength of 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図37A、Bを参照する。このセルは、駆動強度2に設定された走査対応d‐フリップフロップの論理機能を実装する。 Reference is made to FIGS. 37A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip-flop set to a drive strength of 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図38A、Bを参照する。このセルは、駆動強度1に設定された走査対応d‐フリップフロップを実装する。 38A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements a scan-ready d-flip-flop set to a drive strength of 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図39A、Bを参照する。これは、駆動強度3においてリセットされ反転された出力を有する走査対応d‐フリップフロップの論理機能を実装する。 39A, B, showing the abutting left and right portions of another standard cell, respectively. This implements the logic function of a scan-enabled d-flip-flop with a reset and inverted output at drive strength 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図40A、Bを参照する。このセルは、駆動強度2においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。 Reference is made to FIGS. 40A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements a scan-ready d-flip-flop with a reset and inverted output at a drive strength of 2.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図41A、Bを参照する。このセルは、駆動強度1においてリセットされ反転された出力を有する走査対応d‐フリップフロップを実装する。 41A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements a scan-ready d-flip-flop with a reset and inverted output at a drive strength of 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図42A、Bを参照する。このセルは、駆動強度3にリセットされた走査対応d‐フリップフロップの論理機能を実装する。 42A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-enabled d-flip-flop reset to a drive strength of 3.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図43A、Bを参照する。このセルは、駆動強度2にリセットされた走査対応d‐フリップフロップを実装する。 Reference is made to FIGS. 43A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements a scan-ready d-flip-flop reset to a drive strength of two.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図44A、Bを参照する。このセルは、駆動強度1にリセットされた走査対応d‐フリップフロップの論理機能を実装する。 Reference is made to Figures 44A,B, which show the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a scan-ready d-flip-flop reset to a drive strength of one.

別の標準セルを示す図45を参照する。このセルは、駆動強度3において設定及びリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 45 showing another standard cell. This cell implements the logic function of a latch set and reset at drive strength 3.

別の標準セルを示す図46を参照する。このセルは、駆動強度2において設定及びリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 46 showing another standard cell. This cell implements the logic function of a latch set and reset at a drive strength of two.

別の標準セルを示す図47を参照する。このセルは、駆動強度1において設定及びリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 47 showing another standard cell. This cell implements the logic function of a latch set and reset at a drive strength of one.

別の標準セルを示す図48を参照する。このセルは、駆動強度3において設定されたラッチの論理機能を実装する。 Reference is made to FIG. 48 showing another standard cell. This cell implements the logic function of the latch set at drive strength 3.

別の標準セルを示す図49を参照する。このセルは、駆動強度2において設定されたラッチの論理機能を実装する。 Reference is made to FIG. 49 showing another standard cell. This cell implements the logic function of a latch set at a drive strength of 2.

別の標準セルを示す図50を参照する。このセルは、駆動強度1において設定されたラッチの論理機能を実装する。 Reference is made to FIG. 50 showing another standard cell. This cell implements the logic function of the latch set at drive strength one.

別の標準セルを示す図51を参照する。このセルは、駆動強度3においてリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 51 showing another standard cell. This cell implements the logic function of a latch reset at drive strength 3.

別の標準セルを示す図52を参照する。このセルは、駆動強度2においてリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 52 showing another standard cell. This cell implements the logic function of a latch reset at drive strength 2.

別の標準セルを示す図53を参照する。このセルは、駆動強度1においてリセットされたラッチの論理機能を実装する。 Reference is made to FIG. 53 showing another standard cell. This cell implements the logic function of a latch reset at a drive strength of one.

別の標準セルを示す図54を参照する。このセルは、駆動強度4において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 54 showing another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 4.

別の標準セルを示す図55を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 55 showing another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 3.

別の標準セルを示す図56を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 56 showing another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength two.

別の標準セルを示す図57を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 57 showing another standard cell. This cell implements the logic function of a latch with an inverted output at a drive strength of one.

別の標準セルを示す図58を参照する。このセルは、駆動強度3において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 58 showing another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength 3.

別の標準セルを示す図59を参照する。このセルは、駆動強度2において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 59 showing another standard cell. This cell implements the logic function of a latch with an inverted output at drive strength two.

別の標準セルを示す図60を参照する。このセルは、駆動強度1において反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 60 showing another standard cell. This cell implements the logic function of a latch with an inverted output at a drive strength of one.

別の標準セルを示す図61を参照する。このセルは、駆動強度3において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 61 showing another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of 3.

別の標準セルを示す図62を参照する。このセルは、駆動強度2において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 62 showing another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of two.

別の標準セルを示す図63を参照する。このセルは、駆動強度1において設定、リセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 63 showing another standard cell. This cell implements the logic function of a latch with a clock set, reset and inverted at a drive strength of one.

別の標準セルを示す図64を参照する。このセルは、駆動強度3において設定及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 64 showing another standard cell. This cell implements the logic function of a latch with a clock set and inverted at a drive strength of 3.

別の標準セルを示す図65を参照する。このセルは、駆動強度2において設定及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 65 showing another standard cell. This cell implements the logic function of a latch with a clock set and inverted at a drive strength of two.

別の標準セルを示す図66を参照する。このセルは、駆動強度1において設定及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 66 showing another standard cell. This cell implements the logic function of a latch with the clock set and inverted at a drive strength of one.

別の標準セルを示す図67を参照する。このセルは、駆動強度3においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 67 showing another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at a drive strength of three.

別の標準セルを示す図68を参照する。このセルは、駆動強度2においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 68 showing another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at a drive strength of two.

別の標準セルを示す図69を参照する。このセルは、駆動強度1においてリセット及び反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 69 showing another standard cell. This cell implements the logic function of a latch with a clock reset and inverted at a drive strength of one.

別の標準セルを示す図70を参照する。このセルは、駆動強度3においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 70 showing another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength 3.

別の標準セルを示す図71を参照する。このセルは、駆動強度2においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 71 showing another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength 2.

別の標準セルを示す図72を参照する。このセルは、駆動強度1においてリセット、反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 72 showing another standard cell. This cell implements the logic function of a latch with reset, inverted clock and inverted output at drive strength one.

別の標準セルを示す図73を参照する。このセルは、駆動強度4において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 73 showing another standard cell. This cell implements the logic function of a latch with inverted clock and inverted output at drive strength 4.

別の標準セルを示す図74を参照する。このセルは、駆動強度3において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 74 showing another standard cell. This cell implements the logic function of a latch with inverted clock and inverted output at drive strength 3.

別の標準セルを示す図75を参照する。このセルは、駆動強度2において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 75 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at a drive strength of 2.

別の標準セルを示す図76を参照する。このセルは、駆動強度1において反転されたクロック及び反転された出力を有するラッチの論理機能を実装する。 Reference is made to FIG. 76 showing another standard cell. This cell implements the logic function of a latch with an inverted clock and an inverted output at a drive strength of one.

別の標準セルを示す図77を参照する。このセルは、駆動強度3において反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 77 showing another standard cell. This cell implements the logic function of a latch with a clock inverted at a drive strength of 3.

別の標準セルを示す図78を参照する。このセルは、駆動強度2において反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 78 showing another standard cell. This cell implements the logic function of a latch with a clock inverted at a drive strength of two.

別の標準セルを示す図79を参照する。このセルは、駆動強度1において反転されたクロックを有するラッチの論理機能を実装する。 Reference is made to FIG. 79 showing another standard cell. This cell implements the logic function of a latch with a clock inverted at a drive strength of one.

別の標準セルを示す図80を参照する。このセルは、駆動強度4において2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIG. 80 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 4.

別の標準セルを示す図81を参照する。このセルは、駆動強度2において2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIG. 81 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 2.

別の標準セルを示す図82を参照する。このセルは、駆動強度1において2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIG. 82 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer at a drive strength of 1.

別の標準セルの当接している左側及び右側部分をそれぞれ示す、図83A、Bを参照する。このセルは、駆動強度4において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIGS. 83A, B, showing the abutting left and right portions of another standard cell, respectively. This cell implements the logic function of a 2-input 3-state multiplexer with an output inverted at a drive strength of 4.

別の標準セルを示す図84を参照する。このセルは、駆動強度2において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIG. 84 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer with the output inverted at a drive strength of 2.

別の標準セルを示す図85を参照する。このセルは、駆動強度1において反転された出力を有する2入力3状態マルチプレクサの論理機能を実装する。 Reference is made to FIG. 85 showing another standard cell. This cell implements the logic function of a 2-input 3-state multiplexer with an inverted output at a drive strength of 1.

当業者であれば理解するであろうように、図33A〜85に図示されている例示的なフリップフロップ、ラッチ及びmuxの設計は、競合する設計と比較して大幅な改善(例えば少なくとも1つのポリストライプの削減)を達成している。 As will be appreciated by those skilled in the art, the exemplary flip-flop, latch and mux designs illustrated in FIGS. 33A-85 show significant improvements (eg, at least one (Reduction of poly stripes) has been achieved.

図86は、従来技術の「ステップ・アンド・スキャン(step and scan)」及び「スウォージング(swathing)」技術を示す。 FIG. 86 illustrates prior art “step and scan” and “swathing” techniques.

図87は、従来技術のビーム走査/成形装置を示す。 FIG. 87 shows a prior art beam scanning/shaping device.

図88は、図87のカラムを用いて実現できるビーム形状の例を示す。 88 shows an example of a beam shape that can be realized using the column of FIG.

図89は、典型的には円形であり、複数の同一のダイに分割される、例示的な半導体ウェハを示し、更に、全ての試験構造体がダイのスクライブライン領域内に配置されている例示的ケースを示す。 FIG. 89 shows an exemplary semiconductor wafer, which is typically circular and is divided into multiple identical dies, with all test structures located within the scribe line region of the die. Shows the target case.

図90は、パッドが一列にレイアウトされた一連の試験構造体を示し、電子ビームのスポットは、上記スポットに対するウェハの相対移動によってパッド全体を走査する。 FIG. 90 shows a series of test structures in which the pads are laid out in a row, with the electron beam spot scanning the entire pad by relative movement of the wafer relative to the spot.

図91は、パッドに送達される電子流を最大化するために、パッドのサイズ及び形状に適合するように非円形に成形された、電子ビームスポットを示す。 FIG. 91 shows an electron beam spot shaped non-circularly to fit the size and shape of the pad in order to maximize the electron flow delivered to the pad.

図92は、試験構造体に送達する必要がある荷電量に応じてサイズ設定されたパッド形状の別の図を示し、より多くの荷電を必要とする試験構造体は、ビームの走査方向に沿ってより長いパッドを有し、これにより、パッド上のビーム滞留時間を増大させる。 FIG. 92 shows another view of a pad shape sized according to the amount of charge that needs to be delivered to the test structure, with the test structure requiring more charge being along the scan direction of the beam. And have a longer pad, which increases the beam dwell time on the pad.

図93は、荷電させるパッドが存在しない長い区間が存在する場合にはビームが迅速に、ただし一定の速度で移動し、パッドが配置された領域においては、試験構造体のパッドにより多く荷電させることができるように、よりゆっくりと移動するシナリオを示す。 FIG. 93 shows that the beam moves quickly but at a constant speed when there is a long section where there is no pad to be charged, and more pad is charged to the test structure in the area where the pad is arranged. We will show a scenario of moving more slowly so that

図94は、パッドの両側にレイアウトされた試験構造体を示し、これにより、ウェハ上のビームの単回パスを用いて、より多くの試験構造体を走査できる。 FIG. 94 shows the test structure laid out on both sides of the pad so that a single pass of the beam on the wafer can be used to scan more test structures.

図95は、パッドのレイアウトが半導体加工の設計ルールに適合するように、中実のパッドをより細いライン又は代替的形状に分割できる方法を示す。ここで図96を参照する。図96は、単一スポット測定における読み出しのための非円形入射eビームを受け入れるためのサイズ及び形状を有するVC DUTを示し、パッドグループは、1つ置きのラインのみがDUTに接続され、パッドの残りのラインがフローティング又はグランドへと接続されることによって、これらの極性が機能しているDUTの極性と反対になるように設計されている。 FIG. 95 illustrates how a solid pad can be divided into finer lines or alternative shapes so that the pad layout complies with semiconductor processing design rules. Referring now to FIG. FIG. 96 shows a VC DUT sized and shaped to accept a non-circular incident e-beam for readout in a single spot measurement, where the pad groups have only every other line connected to the DUT. The remaining lines are either floating or connected to ground so that their polarity is designed to be the opposite of that of a functional DUT.

機能しているDUTに関して、パッドのラインは交互になった明色/暗色として現れることになり、その一方で機能していないDUT(即ち故障したDUT)に関しては、パッドは全て明色であるか、又は全て暗色である。ここでその利点は、全ての欠陥を有しないDUTに関する「正味の(net)」グレーレベルが事実上常に同一となり、画像コンピュータが全ての欠陥を有するDUTの検出のために同一の閾値を使用できる点である。これにより、画像コンピュータのソフトウェアアルゴリズム及びハードウェアが簡略化される。 For a functioning DUT, the lines of the pad will appear as alternating light/dark colors, while for a non-functioning DUT (ie a failed DUT), are the pads all light color? , Or all dark. Here, the advantage is that the "net" gray levels for all non-defective DUTs are virtually always the same, allowing the image computer to use the same threshold for detection of all defective DUTs. It is a point. This simplifies the software algorithms and hardware of the image computer.

これより本発明の特定の態様によるVC DUTの一実施形態の概念図である、図97を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。 Reference is now made to FIG. 97, which is a conceptual diagram of one embodiment of a VC DUT in accordance with certain aspects of the invention. The pad uses a large spot size e-beam tool to measure a single pixel (ie a single analog readout) or N analog values at the same location (ie a binary of N samples to improve SNR). Can be used for averaging).

ビーム及びパッドは、ある程度同一のフットプリントを有するように設計される。この場合、X/Yアスペクト比は〜1となる。ビームはパッドに適合するように正方形に成形されるが、同様のサイズの円形であってもよい。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。 The beam and pad are designed to have a somewhat identical footprint. In this case, the X/Y aspect ratio is ˜1. The beam is square shaped to fit the pad, but may be similarly sized circular. Although the figure shows four pads, the invention applies equally to one or more pads.

本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図98を参照する。パッドは、大型スポットサイズeビームツールを用いて、単一ピクセル測定(即ち単一のアナログ読み出し値)又は同一位置におけるN個のアナログ値(即ちSNRを改善するためにN個のサンプルの二値平均化を使用できる)によって読み出される。全体として、パッド及びビームはウェハ上で同様のフットプリントを有する。しかしながら、半導体レイアウト設計ルールを満たしながら非対称ビーム(X/Yアスペクト比>3)を受け入れるために、パッドは、幅が狭い複数の水平なラインのアレイに分割されている。図は1つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。 Reference is made to FIG. 98, which is a conceptual diagram of another embodiment of a VC DUT in accordance with certain aspects of the invention. The pad uses a large spot size e-beam tool to measure a single pixel (ie a single analog readout) or N analog values at the same location (ie a binary of N samples to improve SNR). Can be used for averaging). Overall, the pads and beams have similar footprints on the wafer. However, to accommodate asymmetric beams (X/Y aspect ratio>3) while meeting semiconductor layout design rules, pads are divided into arrays of narrow horizontal lines. Although the figure shows one pad, the invention applies to one or more pads as well.

本発明の特定の態様によるVC DUTの別の実施形態の概念図である、図99を参照する。パッドは線状ビームに対して最適化されている。パッド及びビームのX/Yアスペクト比は3超である。パッドは、バーコードスキャナのように読み取され、各パッドの極性が10ピクセル未満で読み取られる。図は4つのパッドを示しているが、本発明は1つ又は複数のパッドにも同様に適用される。 Reference is made to FIG. 99, which is a conceptual diagram of another embodiment of a VC DUT in accordance with certain aspects of the invention. The pad is optimized for a linear beam. The X/Y aspect ratio of the pad and beam is greater than 3. The pads are read like a barcode scanner, with each pad having a polarity of less than 10 pixels. Although the figure shows four pads, the invention applies equally to one or more pads.

Claims (20)

少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
前記ウェハ上に設けられた複数の試験構造体から連続走査を行わずにeビーム励起測定を得るステップであって前記各試験構造体に関連するeビームパッドであって、複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドから10未満のピクセルを選択的にサンプリングすることによって当該eビームパッドを選択的に標的とする、前記測定を得るステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements from a plurality of test structures on the wafer without performing continuous scanning, the e-beam pads associated with each of the test structures comprising a plurality of electrically Obtaining said measurement selectively targeting an e-beam pad by selectively sampling less than 10 pixels from the e-beam pad comprising a logic device with connected elongated metal segments ; and said test. An IC fabrication process comprising the step of selectively subjecting the wafer to additional fabrication steps based at least in part on measurements obtained from a structure.
前記測定を得るステップは、非対称アスペクト比を有するeビーム標的パッドを選択的に標的とするステップを含む、請求項1に記載のIC製作プロセス。 The IC fabrication process of claim 1, wherein obtaining the measurement comprises selectively targeting an e-beam target pad having an asymmetric aspect ratio. 前記測定を得るステップは、標的にされた前記各eビームパッドから単一のピクセルの測定のみを得るステップを伴う、請求項1に記載のIC製作プロセス。 The IC fabrication process of claim 1, wherein obtaining the measurement involves obtaining only a single pixel measurement from each of the targeted e-beam pads. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。 The IC fabrication process of claim 1, wherein the selectively providing step comprises the step of determining whether to rerun one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項1に記載のIC製作プロセス。 The IC fabrication process of claim 1, wherein the selectively providing step comprises determining whether to perform the additional fabrication step. 少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
前記ウェハ上に設けられた複数の試験構造体からeビーム励起測定を得るステップであって、細長主軸を有するeビームスポットを用いて、前記各試験構造体に関連するeビームパッドであって、複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドを選択的に標的とする、前記測定を得るステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements from a plurality of test structures provided on the wafer, the e-beam pads associated with each of the test structures using an e-beam spot having an elongated principal axis , Selectively targeting an e-beam pad that includes a logic device comprising a plurality of electrically connected elongated metal segments ; obtaining the measurement ; and at least partially in the measurement obtained from the test structure. An IC fabrication process comprising selectively subjecting the wafer to additional fabrication steps based on the above.
標的にされた前記各eビームパッドのサイズおよび形状に適合するように、前記eビームスポットを成形することにより、走査効率を最大化する、請求項6に記載のIC製作プロセス。 7. The IC fabrication process of claim 6, wherein each e-beam spot is shaped to match the size and shape of each targeted e-beam pad to maximize scanning efficiency. 標的にされた前記各eビームパッドは、前記eビームスポットの前記細長主軸に適合する、該eビームの走査方向の第1の寸法を有し、
標的にされた前記eビームパッドのうちの少なくともいくつかは、前記第1の寸法に対して垂直な第2の寸法が異なっている、請求項6に記載のIC製作プロセス。
Each of the targeted e-beam pads has a first dimension in the scan direction of the e-beam that matches the elongated major axis of the e-beam spot,
7. The IC fabrication process of claim 6, wherein at least some of the targeted e-beam pads differ in a second dimension perpendicular to the first dimension.
標的にされた前記各eビームパッドは、直線状の走査ラインに沿って位置決めされ、
前記eビームスポットの前記細長主軸は、前記走査ラインに対して垂直に配向される、請求項6に記載のIC製作プロセス。
Each of the targeted e-beam pads is positioned along a linear scan line,
7. The IC fabrication process of claim 6, wherein the elongate major axis of the e-beam spot is oriented perpendicular to the scan line.
前記測定を得るステップは、標的にされた前記各eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項6に記載のIC製作プロセス。 7. The IC fabrication process of claim 6, wherein obtaining the measurement involves obtaining less than 10 pixel measurements from each of the targeted e-beam pads. 前記測定を得るステップは、標的にされた前記各eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項10に記載のIC製作プロセス。 The IC fabrication process of claim 10, wherein obtaining the measurement involves obtaining only a single pixel measurement from each of the targeted e-beam pads. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。 7. The IC fabrication process of claim 6, wherein the selectively providing step comprises the step of determining whether to rerun one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項6に記載のIC製作プロセス。 7. The IC fabrication process of claim 6, wherein the selectively providing step comprises the step of determining whether to perform the additional fabrication step. 少なくとも以下のステップ:
製品ICウェハを初期製作ステップに供するステップ;
前記ウェハ上に設けられた複数の試験構造体からeビーム励起測定を得るステップであって、直線状走査方向に沿って、前記各試験構造体に関連するeビームパッドであって複数の電気的に接続された細長い金属セグメントを備えた論理デバイスを含むeビームパッドを選択的に標的とする、前記測定を得るステップ;及び
前記試験構造体から得られた測定に少なくとも部分的に基づいて、前記ウェハを、追加の製作ステップに選択的に供するステップ
を含む、IC製作プロセス。
At least the following steps:
Subjecting the product IC wafer to an initial fabrication step;
Obtaining e-beam excitation measurements from a plurality of test structures on the wafer, the e-beam pads associated with each of the test structures along a linear scan direction having a plurality of electrical properties. Selectively targeting an e-beam pad that includes a logic device with an elongated metal segment connected to , said obtaining a measurement ; and, based at least in part on a measurement obtained from said test structure, An IC fabrication process comprising selectively subjecting a wafer to additional fabrication steps.
標的にされた前記各eビームパッドは、サイズ及び形状が同一の少なくとも2つの前記細長金属セグメントを有する、請求項14に記載のIC製作プロセス。 Each e-beam pad was targeted, the size and shape have the same at least two of said have elongated metal segments, IC fabrication process of claim 14. 前記測定を得るステップは、標的にされた前記各eビームパッドから、10未満のピクセルの測定を得るステップを伴う、請求項14に記載のIC製作プロセス。 15. The IC fabrication process of claim 14, wherein obtaining the measurement involves obtaining less than 10 pixel measurements from each of the targeted e-beam pads. 前記測定を得るステップは、標的にされた前記各eビームパッドから、単一のピクセルの測定のみを得るステップを伴う、請求項16に記載のIC製作プロセス。 17. The IC fabrication process of claim 16, wherein obtaining the measurement involves obtaining only a single pixel measurement from each of the targeted e-beam pads. 前記測定を得るステップは、前記直線状走査方向に対して垂直に配向された細長主軸を有するeビームスポットを用いて、選択的に標的とするステップを伴う、請求項14に記載のIC製作プロセス。 15. The IC fabrication process of claim 14, wherein obtaining the measurement involves selectively targeting with an e-beam spot having an elongated principal axis oriented perpendicular to the linear scan direction. .. 前記選択的に供するステップは、前記初期製作ステップのうちの1つ又は複数を再実行するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。 The IC fabrication process of claim 14, wherein the selectively providing step comprises the step of determining whether to rerun one or more of the initial fabrication steps. 前記選択的に供するステップは、前記追加の製作ステップを実施するかどうかを決定するステップを含む、請求項14に記載のIC製作プロセス。 15. The IC fabrication process of claim 14, wherein the selectively providing step comprises the step of determining whether to perform the additional fabrication step.
JP2017518035A 2014-06-12 2015-06-12 Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement Active JP6702955B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462011161P 2014-06-12 2014-06-12
US201414303578A 2014-06-12 2014-06-12
US62/011,161 2014-06-12
US14/303,578 2014-06-12
PCT/US2015/035647 WO2015192069A1 (en) 2014-06-12 2015-06-12 Opportunistic placement of ic test structures and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same

Publications (2)

Publication Number Publication Date
JP2017525160A JP2017525160A (en) 2017-08-31
JP6702955B2 true JP6702955B2 (en) 2020-06-03

Family

ID=54834446

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017518035A Active JP6702955B2 (en) 2014-06-12 2015-06-12 Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement

Country Status (5)

Country Link
JP (1) JP6702955B2 (en)
KR (1) KR102474252B1 (en)
CN (1) CN106575649A (en)
TW (1) TW201611145A (en)
WO (1) WO2015192069A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US9805994B1 (en) 2015-02-03 2017-10-31 Pdf Solutions, Inc. Mesh-style NCEM pads, and process for making semiconductor dies, chips, and wafers using in-line measurements from such pads
US9799575B2 (en) 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US10593604B1 (en) 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US9653446B1 (en) 2016-04-04 2017-05-16 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, TS-short-configured, and AA-short-configured, NCEM-enabled fill cells
US9905553B1 (en) 2016-04-04 2018-02-27 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, AACNT-short-configured, GATECNT-short-configured, and metal-short-configured, NCEM-enabled fill cells
US9929063B1 (en) 2016-04-04 2018-03-27 Pdf Solutions, Inc. Process for making an integrated circuit that includes NCEM-Enabled, tip-to-side gap-configured fill cells, with NCEM pads formed from at least three conductive stripes positioned between adjacent gates
US10283496B2 (en) 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US9748153B1 (en) 2017-03-29 2017-08-29 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second does of standard cell compatible, NCEM-enabled fill cells, with the first DOE including side-to-side short configured fill cells, and the second DOE including tip-to-side short configure
US9773774B1 (en) 2017-03-30 2017-09-26 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including chamfer short configured fill cells, and the second DOE including corner short configured fill cells
US9768083B1 (en) 2017-06-27 2017-09-19 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including snake open configured fill cells
US9786649B1 (en) 2017-06-27 2017-10-10 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including via open configured fill cells, and the second DOE including stitch open configured fill cells
US10096530B1 (en) 2017-06-28 2018-10-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including merged-via open configured fill cells, and the second DOE including stitch open configured fill cells
US9865583B1 (en) 2017-06-28 2018-01-09 Pdf Solutions, Inc. Process for making and using a semiconductor wafer containing first and second DOEs of standard cell compatible, NCEM-enabled fill cells, with the first DOE including snake open configured fill cells, and the second DOE including stitch open configured fill cells
CN107516655B (en) * 2017-07-21 2019-08-13 上海华虹宏力半导体制造有限公司 Scribe line tests structure and test method
CN111587484A (en) * 2018-01-19 2020-08-25 株式会社索思未来 Semiconductor integrated circuit device having a plurality of semiconductor chips
US11143690B2 (en) * 2019-10-02 2021-10-12 Nanya Technology Corporation Testing structure and testing method
CN111401420B (en) * 2020-03-06 2023-04-18 普迪飞半导体技术(上海)有限公司 Abnormal data clustering method and device for wafer test, electronic equipment and medium
CN113742795B (en) 2020-05-27 2024-07-02 台湾积体电路制造股份有限公司 Method for authenticating a security level of a semiconductor memory in an integrated circuit

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02185054A (en) * 1989-01-11 1990-07-19 Nec Corp Substrate inspection device using electron beam
JP3409909B2 (en) * 1994-03-11 2003-05-26 株式会社東芝 Wafer pattern defect detection method and apparatus
JPH09265931A (en) * 1996-03-29 1997-10-07 Toshiba Corp Image acquisition device and its method
JP4657394B2 (en) * 1997-01-13 2011-03-23 シュルンベルジェ テクノロジーズ, インコーポレイテッド Method and apparatus for detecting defects in a wafer
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6771806B1 (en) * 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
AU2001225804A1 (en) * 2000-04-18 2001-10-30 Kla-Tencor Corporation Improved test structures and methods for inspecting and utilizing the same
JP3874996B2 (en) * 2000-05-30 2007-01-31 ファブソリューション株式会社 Device inspection method and apparatus
US6897444B1 (en) * 2003-03-10 2005-05-24 Kla-Tencor Technologies Corporation Multi-pixel electron emission die-to-die inspection
US7339388B2 (en) * 2003-08-25 2008-03-04 Tau-Metrix, Inc. Intra-clip power and test signal generation for use with test structures on wafers
US7223616B2 (en) * 2004-06-04 2007-05-29 Lsi Corporation Test structures in unused areas of semiconductor integrated circuits and methods for designing the same
JP2007080987A (en) * 2005-09-13 2007-03-29 Ebara Corp Semiconductor device and its inspecting method
JP2007103598A (en) * 2005-10-03 2007-04-19 Ebara Corp Semiconductor device and its inspection method
US7952375B2 (en) * 2006-06-06 2011-05-31 Formfactor, Inc. AC coupled parameteric test probe

Also Published As

Publication number Publication date
TW201611145A (en) 2016-03-16
WO2015192069A1 (en) 2015-12-17
CN106575649A (en) 2017-04-19
JP2017525160A (en) 2017-08-31
KR102474252B1 (en) 2022-12-05
KR20170018027A (en) 2017-02-15

Similar Documents

Publication Publication Date Title
JP6702955B2 (en) Opportunistic for IC test structures and/or e-beam target pads into areas that would be used for filler cells, tap cells, decap cells, scribe lines and/or dummy fills and product IC chips containing them. Placement
US20150270181A1 (en) Opportunistic placement of ic test strucutres and/or e-beam target pads in areas otherwise used for filler cells, tap cells, decap cells, scribe lines, and/or dummy fill, as well as product ic chips containing same
US7739065B1 (en) Inspection plan optimization based on layout attributes and process variance
US7560292B2 (en) Voltage contrast monitor for integrated circuit defects
TWI754151B (en) Wafer-level testing method and test structure thereof
CN101785009A (en) Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects
US8289508B2 (en) Defect detection recipe definition
US9291669B2 (en) Semiconductor device, test structure of the semiconductor device, and method of testing the semiconductor device
KR20100038391A (en) Method and apparatus for monitoring vias in a semiconductor fab
US20020089345A1 (en) Method and device for semiconductor wafer testing
US7397556B2 (en) Method, apparatus, and computer program product for optimizing inspection recipes using programmed defects
US11081477B1 (en) IC with test structures and e-beam pads embedded within a contiguous standard cell area
US7395518B2 (en) Back end of line clone test vehicle
Mittal et al. Test chip design for optimal cell-aware diagnosability
Koenemann Design/process learning from electrical test
US11899065B2 (en) System and method to weight defects with co-located modeled faults
KR20080096233A (en) Method of failure analysis on defective semiconductor device and failure analysis system
JP2013083537A (en) Defect analyzing method, defect analyzing device and defect analyzing program for semiconductor devices
Abercrombie et al. Design/Process Learning from Production Test
Bhushan et al. Electrical Tests and Characterization in Manufacturing

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7426

Effective date: 20170202

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191028

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200507

R150 Certificate of patent or registration of utility model

Ref document number: 6702955

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250