KR102467276B1 - Source and drain epitaxial layers - Google Patents

Source and drain epitaxial layers Download PDF

Info

Publication number
KR102467276B1
KR102467276B1 KR1020210154129A KR20210154129A KR102467276B1 KR 102467276 B1 KR102467276 B1 KR 102467276B1 KR 1020210154129 A KR1020210154129 A KR 1020210154129A KR 20210154129 A KR20210154129 A KR 20210154129A KR 102467276 B1 KR102467276 B1 KR 102467276B1
Authority
KR
South Korea
Prior art keywords
layer
source
drain epitaxial
epitaxial stack
melting point
Prior art date
Application number
KR1020210154129A
Other languages
Korean (ko)
Other versions
KR20210137418A (en
Inventor
웬-시엔 투
팡-리앙 루
치-위 리우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210137418A publication Critical patent/KR20210137418A/en
Application granted granted Critical
Publication of KR102467276B1 publication Critical patent/KR102467276B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

본 개시는 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택을 갖는 반도체 구조물에 관한 것이다. 예를 들어, 반도체 구조물은 핀 상에 배치된 게이트 구조물 및 게이트 구조물에 의해 커버되지 않은 핀의 일부에 형성된 리세스를 포함한다. 또한, 반도체 구조물은 리세스 내에 배치된 소스/드레인 에피택셜 스택을 포함하고, 소스/드레인 에피택셜 스택은 하부 층 및 하부 층보다 활성 된 도펀트 농도가 더 높은 상부 층을 갖는다.The present disclosure relates to a semiconductor structure having a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom layer. For example, the semiconductor structure includes a gate structure disposed on a fin and a recess formed in a portion of the fin not covered by the gate structure. The semiconductor structure also includes a source/drain epitaxial stack disposed within the recess, the source/drain epitaxial stack having a lower layer and an upper layer having a higher active dopant concentration than the lower layer.

Figure R1020210154129
Figure R1020210154129

Description

소스 및 드레인 에피택셜 층{SOURCE AND DRAIN EPITAXIAL LAYERS}Source and drain epitaxial layers {SOURCE AND DRAIN EPITAXIAL LAYERS}

반도체 물질의 도펀트는 반도체 격자 구조물의 일부인 경우에만 도너 또는 억셉터로 기능할 수 있다. 이러한 이유로, 반도체 물질(예를 들어, 실리콘)의 도펀트가 활성화될 필요가 있다. 활성화된 도펀트는 전자의 도너 또는 억셉터로서 작용할 수 있으며, 예를 들어, 반도체 물질에 대해 n 형 또는 p 형 도펀트로 행동한다. 도펀트가 반도체 물질에서 간극 공간을 차지하는 경우, 활성화된 것으로 간주되지 않으며 도펀트로서 효과가 없다(예를 들어, 도너 또는 억셉터로서 기능할 수 없음). 도펀트를 간극 공간으로부터 결정 사이트로 이동시키기 위해 도핑된 반도체 물질에 열 에너지가 제공될 수 있는데, 이 공정은 "활성화" 또는 "결정 활성화"로 지칭된다.A dopant of a semiconductor material can function as a donor or acceptor only when it is part of a semiconductor lattice structure. For this reason, dopants in the semiconductor material (eg silicon) need to be activated. An activated dopant can act as either a donor or acceptor of electrons, eg acting as an n-type or p-type dopant for semiconductor materials. When a dopant occupies interstitial space in a semiconductor material, it is not considered active and has no effect as a dopant (eg, cannot function as a donor or acceptor). Thermal energy may be provided to the doped semiconductor material to move the dopant from the interstitial spaces to the crystal sites, a process referred to as “activation” or “crystal activation”.

본 개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 일반적인 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았음을 유념한다. 사실, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 감소될 수 있다.
도 1은 일부 실시예들에 따라, 핀 상에 형성되고 레이저 어닐링 공정 동안 단결정 소스/드레인 스택을 갖는 트랜지스터 구조물의 예시적인 어레이의 부분 단면도이다.
도 2는 일부 실시예들에 따라, 레이저 어닐링 공정 동안 비정질 저융점 상부 층 및 단결정 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택을 갖는 트랜지스터 구조물의 부분 단면도이다.
도 3은 일부 실시예들에 따라, 레이저 어닐링 공정 이후의 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택에 대한 확산 저항 프로파일(spreading resistivity profile; SRP)과 중첩된 2차 이온 질량 분석(secondary ion mass spectroscopy; SIMS) 프로파일이다.
도 4는 일부 실시예들에 따라, 레이저 어닐링된 단결정 상부 층 및 단결정 하부 층을 갖는 소스/드레인 에피택셜 스택을 갖는 트랜지스터 구조물의 단면도이다.
도 5는 일부 실시예들에 따라, 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택을 형성하는 방법의 흐름도이다.
도 6은 일부 실시예들에 따라, x 방향 및 y 방향을 따른 트랜지스터 구조물의 부분 단면도이다.
도 7은 일부 실시예들에 따라, x 방향 및 y 방향을 따른 트랜지스터 구조물의 리세스된 핀 부분의 부분 단면도이다.
도 8은 일부 실시예들에 따라, 핀의 리세스된 부분에 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택을 형성한 이후의 x 방향 및 y 방향을 따른 트랜지스터 구조물의 부분 단면도이다.
도 9는 일부 실시예들에 따라, 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택 상에 콘택트를 형성한 이후의 x 방향 및 y 방향을 따른 트랜지스터 구조물의 부분 단면도이다.
도 10은 일부 실시예들에 따라, x 방향 및 y 방향을 따른 트랜지스터 구조물의 부분 단면도이다.
도 11은 일부 실시예들에 따라, x 방향 및 y 방향을 따른 트랜지스터 구조물의 리세스된 핀 부분의 부분 단면도이다.
Aspects of the present disclosure are best understood from the detailed description below when read in conjunction with the accompanying drawings. It is noted that, in accordance with common practice in the industry, various features are not drawn to scale. In fact, the dimensions of various features may be arbitrarily increased or decreased for clarity of explanation.
1 is a partial cross-sectional view of an exemplary array of transistor structures formed on a fin and having a single crystal source/drain stack during a laser annealing process, in accordance with some embodiments.
2 is a partial cross-sectional view of a transistor structure having a source/drain epitaxial stack having an amorphous low melting point top layer and a single crystalline high melting point bottom layer during a laser annealing process, in accordance with some embodiments.
3 is a secondary ion overlaid with a spreading resistivity profile (SRP) for a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom layer after a laser annealing process, in accordance with some embodiments. It is a secondary ion mass spectroscopy (SIMS) profile.
4 is a cross-sectional view of a transistor structure having a source/drain epitaxial stack with a laser annealed single crystal top layer and a single crystal bottom layer, in accordance with some embodiments.
5 is a flow diagram of a method of forming a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom bottom layer, in accordance with some embodiments.
6 is a partial cross-sectional view of a transistor structure along the x-direction and the y-direction, in accordance with some embodiments.
7 is a partial cross-sectional view of a recessed fin portion of a transistor structure along an x-direction and a y-direction, in accordance with some embodiments.
8 is a portion of a transistor structure along the x- and y-directions after forming a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom bottom layer in a recessed portion of a fin, in accordance with some embodiments. it is a cross section
9 is a partial cross-sectional view of a transistor structure along x- and y-directions after forming contacts on a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom layer, in accordance with some embodiments.
10 is a partial cross-sectional view of a transistor structure along the x-direction and the y-direction, in accordance with some embodiments.
11 is a partial cross-sectional view of a recessed fin portion of a transistor structure along an x-direction and a y-direction, in accordance with some embodiments.

다음의 개시는 제공된 주제의 상이한 피처들을 구현하기 위한 상이한 실시예들 또는 예들을 제공한다. 본 개시를 간략화하기 위해 컴포넌트들 및 배열들의 특정 예들이 아래에서 설명된다. 물론, 이러한 설명은 단지 예일 뿐 제한하기 위한 것이 아니다. 예를 들어, 이어지는 설명에서 제2 피처 상에 제1 피처의 형성은 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제1 피처 및 제2 피처가 직접 접촉하지 않도록 제1 피처와 제2 피처 사이에 추가의 피처들이 형성되는 실시예들을 또한 포함할 수 있다. The following disclosure provides different embodiments or examples for implementing different features of the presented subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these descriptions are by way of example only and are not intended to be limiting. For example, formation of a first feature on a second feature in the following description may include embodiments in which the first feature and the second feature are formed in direct contact, and the first feature and the second feature are not in direct contact. Embodiments may also be included in which additional features are formed between the first and second features so as not to

더욱이, "아래", "밑", "하위", "위", "상위" 등과 같은 공간적으로 상대적인 용어들이 도면들에 도시된 바와 같이 다른 요소(들) 또는 피처(들)에 대한 하나의 요소 또는 피처의 관계를 설명하는 데 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 방향은 물론 사용 중이거나 동작 중인 디바이스의 상이한 방향을 포함하기 위한 것이다. 장치는 다른 식으로 배향될 수 있고(90도 회전 또는 다른 방향으로 있음), 그에 맞춰 본 명세서에서 사용되는 공간적으로 상대적인 기술어들이 마찬가지로 이해될 수 있다.Moreover, spatially relative terms such as "below", "beneath", "below", "above", "above", etc. are used to describe one element(s) or feature(s) relative to another element(s) or feature(s) as shown in the figures. or may be used herein to describe a relationship of features, for ease of explanation. Spatially relative terms are intended to include different orientations of the device in use or operation as well as the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be understood accordingly.

본 명세서에서 사용된 "공칭"이라는 용어는 제품 또는 공정의 설계 단계 동안에 설정되는, 컴포넌트 또는 공정 동작에 대한 특성 또는 파라미터의 원하는 값 또는 목표 값을 가리키며, 이와 함께, 이 원하는 값 위 및/또는 아래의 값들의 범위를 갖는다. 이러한 값들의 범위는 일반적으로 제조 공정들 또는 허용오차들에서의 약간의 변동들에 기인한다.The term "nominal" as used herein refers to a desired or target value of a characteristic or parameter for the operation of a component or process, established during the design phase of a product or process, together with above and/or below this desired value. has a range of values of These ranges of values are generally due to slight variations in manufacturing processes or tolerances.

일부 실시예들에서, “약" 및 "실질적으로"라는 용어는 값의 5 % 내에서 변하는 주어진 양의 값을 나타낼 수 있다(예를 들어, 값의 ± 1 %, ± 2 %, ± 3 %, ± 4 %, ± 5 %). 일부 실시예들에서, "약" 및 "실질적으로"라는 용어는, 예를 들어, 제조 공정, 제조 동작 또는 제조 툴에 의해 제공되는 능력에 기초한 다른 값들을 나타낸다.In some embodiments, the terms “about” and “substantially” can refer to the value of a given quantity that varies within 5% of the value (e.g., ± 1%, ± 2%, ± 3% of the value). , ± 4%, ± 5%) In some embodiments, the terms “about” and “substantially” refer to other values based, for example, on capabilities provided by a manufacturing process, manufacturing operation, or manufacturing tool. indicate

본 명세서에 사용된 "수직"이라는 용어는 기판의 표면에 명목상 수직인 것을 의미한다.The term "perpendicular" as used herein means nominally perpendicular to the surface of the substrate.

확산은 다른 물질(예를 들어, 반도체 호스트)을 통한 한 물질(예를 들어, 도펀트)의 이동을 설명하는 기본 특성이다. 확산은 비교적 높은 도펀트 농도의 영역에서 낮은 도펀트 농도의 영역으로 발생한다. 상이한 도펀트 종은 실리콘(Si), 실리콘 게르마늄(SiGe), 실리콘-탄소(SiC) 또는 실리콘-인(SP)과 같은 반도체 호스트 물질 내에서 상이한 확산성을 가질 수 있고; 확산성이 높을수록, 도펀트가 반도체 호스트 물질 내로 더 빠르게 이동한다. 반도체 호스트 물질에서 도펀트 종의 확산 속도는 온도에 따라 증가하기 때문에, 열 확산은 반도체 격자를 통해 도펀트 종을 이동시키기 위해 반도체 제조에 사용되는 주요 메커니즘이다. 도펀트 확산을 위해 열 에너지를 제공하기 위한 두 가지 기본 방법, 퍼니스 어닐링 및 급속 열처리 어닐링(rapid thermal anneal; RTA)이 있다.Diffusion is a fundamental property that describes the movement of one material (eg, a dopant) through another material (eg, a semiconductor host). Diffusion occurs from regions of relatively high dopant concentration to regions of low dopant concentration. Different dopant species may have different diffusivities within a semiconductor host material such as silicon (Si), silicon germanium (SiGe), silicon-carbon (SiC) or silicon-phosphorus (SP); The higher the diffusivity, the faster the dopant migrates into the semiconductor host material. Because the rate of diffusion of dopant species in a semiconductor host material increases with temperature, thermal diffusion is a major mechanism used in semiconductor fabrication to move dopant species through the semiconductor lattice. There are two basic methods for providing thermal energy for dopant diffusion: furnace anneal and rapid thermal anneal (RTA).

퍼니스 어닐링은 핫월 퍼니스에서, 예를 들어, 약 800 ℃ 내지 약 910 ℃에서 약 30 분의 지속시간 동안 반도체 호스트 물질(예를 들어, 반도체 웨이퍼)을 어닐링하는 방법이다. 그러나 이러한 지속시간 및 온도를 사용하는 어닐링 사이클은 일부 집적 회로 제조 공정(예를 들어, 소스/드레인 활성화) 동안 바람직하지 않은 광범위한(예를 들어, 엄격하게 제어되지 않은) 도펀트 확산을 야기할 수 있다. 또한, 퍼니스 어닐링은 국부적이 아니라 전역적으로 열을 가한다. 즉, 퍼니스 어닐링 시에 반도체 물질 상에 존재하는 모든 층 및/또는 구조물은 어닐링 공정의 지속시간 동안 상승된 온도를 겪는다. 이것은 집적 회로(IC) 제조에 제한을 제기할 수 있다.Furnace annealing is a method of annealing a semiconductor host material (eg, a semiconductor wafer) in a hotwall furnace at, for example, about 800° C. to about 910° C. for a duration of about 30 minutes. However, annealing cycles using these durations and temperatures can cause undesirably extensive (eg, not tightly controlled) dopant diffusion during some integrated circuit fabrication processes (eg, source/drain activation). . Also, furnace annealing applies heat globally rather than locally. That is, during furnace annealing, all layers and/or structures present on the semiconductor material experience elevated temperatures for the duration of the annealing process. This can pose limitations to integrated circuit (IC) manufacturing.

RTA 공정은 목표 온도(예를 들어, 약 910 ℃ 이상)에서 빠른 램프(예를 들어, 밀리초(ms) 또는 나노초(ns) 범위) 및 짧은 체류 시간(예를 들어, 초 또는 하위 초 범위)을 사용하여 반도체 물질을 어닐링한다. 또한, RTA는 선택적일 수 있고, 국부적으로 또는 전역적으로 열을 제공할 수 있다. RTA의 유형에는 램프(예를 들어, 텅스텐 할로겐 램프) 또는 레이저(예를 들어, 레이저 어닐링)를 사용한 열처리 어닐링이 포함된다. 램프를 사용하는 RTA는 반도체 표면(예를 들어, 상부, 하부 또는 양자 모두)이 동시에 램프에 노출되므로 전역적 어닐링이 될 수 있다. 한편, 레이저 어닐링은 빔 크기(예를 들어, 약 25 mm2 내지 약 100 mm2) 및 정밀한 에너지 출력으로 인해 위치 정확도 및 열 전달 정밀도를 제공한다. 이러한 특성 때문에, 레이저 어닐링은 IC 제조에서 소스/드레인 도펀트 활성화에 바람직한 방법이다.The RTA process is a fast ramp (e.g., in the millisecond (ms) or nanosecond (ns) range) and short dwell time (e.g., in the second or subsecond range) at a target temperature (e.g., above about 910 °C). to anneal the semiconductor material. Also, the RTA can be selective and can provide heat locally or globally. Types of RTA include thermal annealing using a lamp (eg, tungsten halogen lamp) or laser (eg, laser annealing). An RTA using a lamp may be a global anneal since the semiconductor surfaces (eg, top, bottom, or both) are simultaneously exposed to the lamp. On the other hand, laser annealing provides positional accuracy and heat transfer precision due to the beam size (eg, about 25 mm 2 to about 100 mm 2 ) and precise energy output. Because of these properties, laser annealing is the preferred method for source/drain dopant activation in IC fabrication.

비제한적인 예로서, 레이저 어닐링 공정 동안, 펄스 레이저 빔은 약 100 mm/s의 속도로 반도체 표면(예를 들어, 반도체 웨이퍼의 표면)을 스캔한다. 레이저의 빔 크기(예를 들어, 약 25 mm2 내지 약 100 mm2)로 인해 국부적 어닐링이 달성될 수 있다. 어닐링 깊이는 레이저 에너지 또는 파장, 사이트 당 펄스 수, 및 레이저 빔의 체류 시간(예를 들어, 레이저가 각 사이트에 소비하는 시간)과 같은 공정 조건을 통해 제어될 수 있다.As a non-limiting example, during a laser annealing process, a pulsed laser beam scans a semiconductor surface (eg, the surface of a semiconductor wafer) at a speed of about 100 mm/s. Local annealing may be achieved due to the beam size of the laser (eg, about 25 mm 2 to about 100 mm 2 ). Annealing depth can be controlled through process conditions such as laser energy or wavelength, number of pulses per site, and residence time of the laser beam (eg, time the laser spends at each site).

그러나 소스/드레인 에피택셜 층에 대한 도펀트 활성화는 레이저 어닐링 공정을 사용하더라도 어려울 수 있다. 트랜지스터의 소스/드레인 영역이 레이저 빔 크기에 비해 작기 때문이다. 이 문제는 각각의 기술 생성에 따라 (예를 들어, 각각의 기술 노드에 따라) 수축하는 트랜지스터의 크기와 소스/드레인 영역에 의해 악화된다. 예를 들어, 소스/드레인 영역이 수축함에 따라, 레이저 빔으로부터의 열은 트랜지스터의 소스 영역과 드레인 영역 사이에 위치된 핀 영역과 같은, 소스/드레인 영역 외부의 영역으로 확산될 수 있다. 이것은 도 1로 설명될 수 있으며, 도 1은 핀(110) 상에 형성되고 단결정 소스/드레인 스택(120) 및 채널 영역(130)을 갖는 트랜지스터 구조물(100)의 예시적인 어레이의 x 축을 따른 부분 단면도이다. 핀(110)은 기판(140) 상에 배치된다. 레이저 빔(150)이 기판(140)의 표면을 스캐닝하기 시작할 때, 레이저 빔(150)에 의해 생성된 열은 단결정 소스/드레인 스택(120) 외부로 연장되는 (예컨대, 핀(110) 및 채널 영역(130)으로 연장되는) 용융된 전면(160)을 형성한다. 결과적으로, 핀(110)은 변형될 수 있으며, 이는 트랜지스터의 전기적 특성을 손상시킨다. 핀 변형을 감소시키기 위해, 레이저 어닐링 조건은 레이저 빔에 의해 발생된 열이 감소되고 용융된 전면(160)이 더욱 양호하게 제어되도록 수정될 필요가 있다. 그러나 레이저 빔에 의해 발생된 열을 감소시키면 단결정 소스/드레인 스택(120) 내의 도펀트 활성화 공정에 영향을 줄 수 있다. 예를 들어, 이러한 변경으로 인해 더 적은 도펀트가 활성화될 것이다.However, dopant activation for the source/drain epitaxial layers can be difficult even using a laser annealing process. This is because the source/drain area of the transistor is small compared to the laser beam size. This problem is exacerbated by the size and source/drain regions of the transistors shrinking with each technology generation (eg, with each technology node). For example, as the source/drain region shrinks, heat from the laser beam can diffuse to regions outside the source/drain region, such as a fin region located between the source and drain regions of a transistor. This can be illustrated with Figure 1, which shows a portion along the x-axis of an exemplary array of transistor structures 100 formed on fins 110 and having single crystal source/drain stacks 120 and channel regions 130. it is a cross section A pin 110 is disposed on a substrate 140 . As laser beam 150 begins scanning the surface of substrate 140, the heat generated by laser beam 150 extends out of single crystal source/drain stack 120 (e.g., fins 110 and channels). forming a molten front surface 160 (which extends into region 130). As a result, fin 110 may become deformed, which impairs the electrical characteristics of the transistor. To reduce fin deformation, the laser annealing conditions need to be modified so that the heat generated by the laser beam is reduced and the molten front 160 is better controlled. However, reducing the heat generated by the laser beam may affect the dopant activation process within single crystal source/drain stack 120 . For example, this change will result in less dopant being activated.

이들 과제를 해결하기 위해, 본 명세서에 기술된 실시예들은 저융점 "상부" 층 및 고융점 "하부" 층을 갖는 소스/드레인 에피택셜 스택의 형성에 관한 것이다. 일부 실시예들에서, 저융점 상부 층은 성막된 상태로서 다결정 또는 비정질이고, 고융점 하부 층은 성막된 상태로서 단결정이다. 다른 실시예들에서, 저융점 상부 층 및 고융점 하부 층 양자 모두는 성막된 상태로서 단결정 또는 다결정이지만 화학량론은 상이하다. 일부 실시예들에서, 성막된 상태의 비정질 저융점 상부 층은 레이저 어닐링 공정의 결과로서 재결정화되고, 고융점 하부 층과 비교하여 더 높은 결함 밀도를 나타낸다. 또한, 레이저 어닐링 공정의 결과로서 저융점 상부 층은 고융점 하부 층과 비교하여 더 높은 활성화된 도펀트 농도를 나타낸다. 일부 실시예들에서, 저융점 상부 층의 도펀트만이 레이저 어닐링 공정 동안 활성화된다. 일부 실시예들에 따르면, 본 명세서에 개시된 소스/드레인 에피택셜 스택에 대해, 감소된 전력을 갖는 레이저 빔이 사용될 수 있다. 결과적으로, 레이저 어닐링 공정 동안 핀 변형이 방지될 수 있다.To address these challenges, embodiments described herein relate to the formation of a source/drain epitaxial stack having a low-melting “top” layer and a high-melting “bottom” layer. In some embodiments, the low melting point upper layer is polycrystalline or amorphous as deposited, and the high melting point lower layer is monocrystalline as deposited. In other embodiments, both the low-melting top layer and the high-melting bottom layer are monocrystalline or polycrystalline as deposited, but with different stoichiometry. In some embodiments, the as-deposited amorphous low-melting top layer recrystallizes as a result of the laser annealing process and exhibits a higher defect density compared to the high-melting bottom layer. Also, as a result of the laser annealing process, the low-melting top layer exhibits a higher activated dopant concentration compared to the high-melting bottom layer. In some embodiments, only the dopant of the low melting point top layer is activated during the laser annealing process. According to some embodiments, for the source/drain epitaxial stack disclosed herein, a laser beam with reduced power may be used. As a result, fin deformation can be prevented during the laser annealing process.

도 2는 반도체 핀(210) 상에 형성된 예시적인 트랜지스터 구조물(200)의 x 축에 걸친 단면도이다. 핀의 길이는 도 2에 도시된 x 축에 평행하다는 것을 유념한다. 반도체 핀(210)은 반도체 기판(220) 상에 배치되고, 소스/드레인 에피택셜 스택(230)의 형성을 용이하게 하기 위해 리세스된다. 반도체 핀(210)은 격리 층(240)을 통해 다른 트랜지스터 구조물들로부터 측 방향으로 격리된다. 일부 실시예들에서, 각각의 소스/드레인 에피택셜 스택(230)은 성막된 상태의 비정질 저융점 상부 층(230A) 및 성막된 상태의 단결정 고융점 하부 층(230B)을 포함한다. 본 명세서에 사용된 바와 같이, "상부" 층은 z 축을 따라 반도체 기판(220)으로부터 더 멀리 위치된 (또는 배치된) 층을 지칭하고, "하부" 층은 z 축을 따라 반도체 기판(220)에 더 가까이 위치된 (또는 배치된) 층을 지칭한다. 또한, 본 명세서에 사용된 바와 같이, "비정질"이라는 용어는 또한 다결정 미세 구조(예를 들어, 단거리 격자 주기성을 갖는 미세 구조)를 포함한다.2 is a cross-sectional view across the x-axis of an exemplary transistor structure 200 formed on semiconductor fin 210 . Note that the length of the pin is parallel to the x-axis shown in FIG. 2 . Semiconductor fin 210 is disposed on semiconductor substrate 220 and is recessed to facilitate formation of source/drain epitaxial stack 230 . Semiconductor fin 210 is laterally isolated from other transistor structures via isolation layer 240 . In some embodiments, each source/drain epitaxial stack 230 includes an as-deposited amorphous low melting point top layer 230A and an as-deposited single crystal high melting point bottom layer 230B. As used herein, an “upper” layer refers to a layer that is located (or disposed) further away from the semiconductor substrate 220 along the z-axis, and a “lower” layer refers to the semiconductor substrate 220 along the z-axis. Refers to a closer positioned (or disposed) layer. Also, as used herein, the term “amorphous” also includes polycrystalline microstructures (eg, microstructures with short-range lattice periodicity).

일부 실시예들에 따르면, 소스/드레인 에피택셜 스택(230)에 포함된 도펀트 및 반도체 호스트 물질은 트랜지스터 구조물(200)의 유형, 예를 들어, 트랜지스터 구조물(200)이 n 형 전계 효과 트랜지스터(n-type field effect transistor; nFET)인지 또는 p 형 전계 효과 트랜지스터(p-type field effect transistor; pFET)인지에 기초하여 선택될 수 있다. 일부 실시예들에서, nFET의 소스/드레인 에피택셜 스택(예를 들어, n 형 소스/드레인 에피택셜 스택)은 인(P)으로 도핑된 변형 실리콘-탄소(SiC) 또는 변형 실리콘-인(SiP) 층을 포함하고, p 형 전계 효과 트랜지스터(pFET)의 소스/드레인 에피택셜 스택(예를 들어, p 형 소스/드레인 에피택셜 스택)은 붕소(B)로 도핑된 변형 실리콘-게르마늄(SiGe) 층을 포함한다. 일부 실시예들에 따르면, n 형 소스/드레인 에피택셜 스택에 포함된 P의 양은 최대 약 1×1021 원자/cm-3일 수 있고, p 형 소스/드레인 에피택셜 스택에 포함된 B의 양은 최대 약 1×1021 원자/cm-3일 수 있다. 비제한적인 예로서, P 및 B 도펀트는 성장하는 동안 적절한 전구체를 사용하여 소스/드레인 에피택셜 스택(230)의 층으로 포함될 수 있다. 또한, 비정질 저융점 상부 층(230A) 및 단결정 고융점 하부 층(230B)은 실질적으로 동일한 양의 P 또는 B 농도를 갖도록 성장될 수 있다.According to some embodiments, the dopant and semiconductor host material included in the source/drain epitaxial stack 230 are the type of transistor structure 200, for example, the transistor structure 200 is an n-type field effect transistor (n It may be selected based on whether it is a -type field effect transistor (nFET) or a p-type field effect transistor (pFET). In some embodiments, the nFET's source/drain epitaxial stack (eg, n-type source/drain epitaxial stack) is a strained silicon-carbon (SiC) or strained silicon-phosphorus (SiP) doped with phosphorus (P). ) layer, the source/drain epitaxial stack of a p-type field effect transistor (pFET) (e.g., the p-type source/drain epitaxial stack) is made of strained silicon-germanium (SiGe) doped with boron (B). contains a layer According to some embodiments, the amount of P included in the n-type source/drain epitaxial stack may be up to about 1×10 21 atoms/cm −3 , and the amount of B included in the p-type source/drain epitaxial stack may be It may be up to about 1×10 21 atoms/cm −3 . As a non-limiting example, the P and B dopants may be incorporated into the layers of the source/drain epitaxial stack 230 using appropriate precursors during growth. In addition, the amorphous low melting point upper layer 230A and the single crystal high melting point lower layer 230B may be grown to have substantially the same amount of P or B concentration.

일부 실시예에서, 성막된 상태의 비정질 저융점 상부 층(230A)은 약 1420 K(예를 들어, 약 1147 ℃)의 융점을 가지며, 단결정 고융점 하부 층(230B)은 약 1687 K(예를 들어, 1414 ℃)보다 큰 융점을 갖는다; 그러나, 두 층 모두 동일한 물질을 포함하고, 실질적으로 동일한 화학량론, 예를 들어, SiGe 소스/드레인 에피택셜 스택에 대해 실질적으로 동일한 Si/Ge 비율; 및 SiC 소스/드레인 에피택셜 스택에 대해 실질적으로 동일한 Si/C 비율 또는 SiP 소스/드레인 에피택셜 스택에 대해 실질적으로 동일한 Si/P 비율을 갖는다. 일부 실시예들에 따르면, 소스/드레인 에피택셜 스택(230)에서 상부 층과 하부 층 사이의 융점 차이는 이들의 미세 구조(예를 들어, 단결정과 달리 비정질)에 기인한다. 이 예에서, 단결정 고융점 하부 층(230B)은 비정질 저융점 상부 층(230A)과 비교하여 더 높은 융점(예를 들어, 약 267 K 또는 약 267 ℃의 차이)을 갖는다. 그러나 이는 제한적이지 않으며, 저융점 층과 고융점 층 사이에 더 낮은 융점 차이(예를 들어, 약 200 K 이상)가 사용될 수 있다. 약 200 K의 임계 값보다 큰 융점 차이(예를 들어, 267 K)는 레이저 어닐링 공정 동안 레이저 빔에 의해 비정질 저융점 상부 층(230A) 내에 용융 전면이 "선택적으로" 형성되고 함유되도록 보장하는 반면, 단결정 고융점 하부 층(230B)은 고체로 유지된다. 앞서 언급한 층들 사이의 융점 차이가 약 200 K 이하이면, 레이저 빔에 의해 형성된 용융 전면은 비정질 저융점 상부 층(230A)의 경계를 넘어서, 예를 들어, 고융점 하부 층(230B) 및 핀 영역으로 연장될 수 있다. 앞에서 논의된 바와 같이, 이것은 바람직하지 않다. 따라서, 레이저 어닐링 공정 동안 레이저 빔에 의해 발생된 열이 소스/드레인 에피택셜 스택(230)의 온도를 비정질 저융점 상부 층(230A)의 융점 이상 및 단결정 고융점 하부 층(230B)의 융점 이하로 올리는 한, 앞서 언급한 선택성이 달성될 수 있다. 일부 실시예들에서, 레이저 어닐링 공정 동안 소스/드레인 에피택셜 스택(230)의 온도는 비정질 저융점 상부 층(230A)의 융점 이상이고, 단결정 고융점 하부 층(230B)의 융점 이하이다(예를 들어, 비정질 저융점 상부 층의 융점 온도 ≤ 레이저 어닐링 공정의 온도 ≤ 단결정 고융점 하부 층의 융점 온도). 이 동작 윈도우에서, 도 1에 도시된 용융된 전면(160)과 같은 용융된 영역이 비정질 저융점 상부 층(230A)의 경계 내에 형성될 수 있다. 일부 실시예들에서, 비정질 저융점 상부 층(230A)의 융점은 또한 반도체 핀(210)과 같은 주변 물질의 융점보다 낮으며, 결정질 실리콘의 경우 약 1687 K(예를 들어, 단결정 고융점 하부 층(230B)의 융점에 가까움)일 수 있다. 따라서, 레이저 어닐링 공정 동안, 반도체 핀(210)의 변형이 최소화되거나 방지될 수 있다.In some embodiments, the as-deposited amorphous low melting point upper layer 230A has a melting point of about 1420 K (eg, about 1147 °C), and the single crystalline high melting point lower layer 230B has a melting point of about 1687 K (eg, about 1147 °C). For example, it has a melting point greater than 1414 °C); However, both layers contain the same materials and have substantially the same stoichiometry, eg, substantially the same Si/Ge ratio for a SiGe source/drain epitaxial stack; and substantially the same Si/C ratio for a SiC source/drain epitaxial stack or substantially the same Si/P ratio for a SiP source/drain epitaxial stack. According to some embodiments, the melting point difference between the upper and lower layers in the source/drain epitaxial stack 230 is due to their microstructure (eg, amorphous as opposed to single crystal). In this example, the single crystalline high melting point lower layer 230B has a higher melting point (eg, a difference of about 267 K or about 267 °C) compared to the amorphous low melting point upper layer 230A. However, this is not limiting and a lower melting point difference between the low melting point layer and the high melting point layer (eg, about 200 K or more) may be used. A melting point difference greater than a critical value of about 200 K (e.g., 267 K) ensures that a molten front is “selectively” formed and contained within the amorphous low melting point upper layer 230A by the laser beam during the laser annealing process, whereas , the single crystal refractory lower layer 230B remains solid. If the melting point difference between the aforementioned layers is about 200 K or less, the melting front formed by the laser beam goes beyond the boundary of the amorphous low melting point upper layer 230A, for example, the high melting point lower layer 230B and the fin region. can be extended to As discussed earlier, this is not desirable. Therefore, the heat generated by the laser beam during the laser annealing process raises the temperature of the source/drain epitaxial stack 230 to above the melting point of the amorphous low melting point upper layer 230A and below the melting point of the single crystal high melting point lower layer 230B. As long as it is raised, the aforementioned selectivity can be achieved. In some embodiments, the temperature of the source/drain epitaxial stack 230 during the laser annealing process is above the melting point of the amorphous low melting point top layer 230A and below the melting point of the single crystal high melting point bottom layer 230B (eg For example, the melting point temperature of the amorphous low melting point upper layer ≤ the temperature of the laser annealing process ≤ the melting point temperature of the single crystal high melting point lower layer). In this operating window, a molten region such as the molten front 160 shown in FIG. 1 may be formed within the boundary of the amorphous low melting point upper layer 230A. In some embodiments, the melting point of the amorphous low melting point upper layer 230A is also lower than the melting point of the surrounding material, such as the semiconductor fin 210, about 1687 K for crystalline silicon (e.g., a single crystal high melting point lower layer). close to the melting point of (230B)). Therefore, deformation of the semiconductor fin 210 may be minimized or prevented during the laser annealing process.

비정질 저융점 상부 층(230A)에서 용융 전면의 형성은 더 적은 열을 필요로 하기 때문에 (예를 들어, 비정질 층의 융점으로 인해), 레이저 빔의 전력은 감소될 수 있다. 예를 들어, 소스/드레인 에피택셜 스택이 단결정 고융점 하부 층(230B)과 같은 단결정 층만을 포함하는 경우, 레이저 빔은 용융된 전면을 형성하고 도펀트(예컨대, B 또는 P)를 활성화시키기 위해, 예를 들어, 약 910 줄에서 동작할 필요가 있다. 그 전력 레벨에서, 레이저 빔은 또한 소스/드레인 에피택셜 스택의 단결정 층과 실질적으로 유사한 융점을 갖는 반도체 핀(210)을 변형시키기에 충분한 열을 생성할 것이다. 한편, 성막된 상태의 비정질 저융점 상부 층(230A) 및 단결정 고융점 하부 층(230B)을 포함하는 소스/드레인 에피택셜 스택(230)의 경우, 레이저 빔은 더 적은 열을 생성하고 결과적으로 더 낮은 전력 설정, 예를 들어, 일부 실시예들에 따라 약 50 % 감소된 500 줄에서 동작할 필요가 있다.Since the formation of the melting front in the amorphous low melting point upper layer 230A requires less heat (eg, due to the melting point of the amorphous layer), the power of the laser beam may be reduced. For example, if the source/drain epitaxial stack includes only a single crystalline layer, such as single crystalline refractory bottom layer 230B, the laser beam forms a molten front and activates a dopant (e.g., B or P); For example, it needs to operate on about 910 lines. At that power level, the laser beam will also generate enough heat to deform the semiconductor fin 210, which has a melting point substantially similar to that of the single crystal layer of the source/drain epitaxial stack. On the other hand, in the case of the source/drain epitaxial stack 230 including an amorphous low melting point upper layer 230A and a single crystal high melting point lower layer 230B in a deposited state, the laser beam generates less heat and consequently more It needs to operate at a lower power setting, eg 500 joules which is reduced by about 50% according to some embodiments.

일부 실시예들에서, 비정질 저융점 상부 층(230A)은 소스/드레인 에피택셜 스택(230)의 총 두께의 약 30 % 내지 약 75 %를 차지하도록 성장된다. 다시 말해서, 도 2를 참조하면, 성막된 상태의 비정질 저융점 상부 층(230A)의 두께(T1)는 소스/드레인 에피택셜 스택(230)의 총 두께(T)의 약 30 % 내지 약 75 %(예를 들어, 30 % T ≤ T1 ≤ 75 % T 또는 0.30 ≤ T1/T ≤ 0.75)일 수 있다. 일부 실시예들에서, 단결정 고융점 하부 층(230B)은 레이저 어닐링 공정 동안 비정질 저융점 상부 층(230A)의 도펀트에 대한 확산 장벽으로서의 역할을 한다. 이는 용융된 전면이 단결정 고융점 하부 층(230B)에 형성되지 않으므로, 비정질 저융점 상부 층(230A)으로부터 단결정 고융점 하부 층(230B)으로 확산되는 도펀트가 느려지기(예를 들어, 차단되기) 때문이다. 비정질 저융점 상부 층(230A)의 두께(T1)가 소스/드레인 에피택셜 스택(230)의 총 두께(T)의 약 75 %보다 큰 경우(예를 들어, T1/T > 0.75), 단결정 고융점 하부 층(230B)은 비정질 저융점 상부 층(230A)으로부터 도펀트의 확산을 차단하기에 충분히 두껍지 않을 것이다. 결과적으로, 비정질 저융점 상부 층(230A) 내에서 더 적은 도펀트가 활성화되며, 이는 결국 소스/드레인 에피택셜 스택(230)의 저항을 증가시킬 수 있다. 또한, 도펀트의 확산은 소스/드레인 에피택셜 스택(230)과 반도체 핀(210) 및/또는 반도체 기판(220)의 도핑된 영역 사이에 바람직하지 않은 누설 경로를 형성할 수 있다. 또한, 더 두꺼운 비정질 저융점 상부 층(230A)은 레이저 어닐링 공정 동안 레이저 빔에 대해 더 긴 체류 시간 및/또는 더 높은 전력 설정을 필요로 할 수 있으며, 이 둘 모두는 처리 시간 및 비용에 악영향을 줄 수 있다. 한편, 비정질 저융점 상부 층(230A)의 두께(T1)가 총 두께(T)의 약 30 % 미만(예를 들어, T1/T > 30 %)이면, 상부 층에서 활성화된 도펀트의 양은 소스/드레인 에피택셜 스택(230)에 허용되는 저항을 제공하기에 불충분할 수 있다.In some embodiments, amorphous low melting point top layer 230A is grown to account for about 30% to about 75% of the total thickness of source/drain epitaxial stack 230 . In other words, referring to FIG. 2 , the thickness T1 of the amorphous low melting point upper layer 230A in the deposited state is about 30% to about 75% of the total thickness T of the source/drain epitaxial stack 230. (eg, 30% T ≤ T1 ≤ 75% T or 0.30 ≤ T1/T ≤ 0.75). In some embodiments, the single crystalline refractory lower layer 230B serves as a diffusion barrier for the dopant of the amorphous refractory upper layer 230A during the laser annealing process. This is because a molten front is not formed in the single crystalline lower melting point layer 230B, so that dopants that diffuse from the amorphous lower melting point upper layer 230A into the single crystalline lower melting point layer 230B are slowed down (e.g., blocked). Because. Single crystal high The lower melting point layer 230B will not be thick enough to block the diffusion of dopants from the amorphous lower melting point upper layer 230A. As a result, less dopant is activated in the amorphous low melting point upper layer 230A, which in turn can increase the resistance of the source/drain epitaxial stack 230 . Further, dopant diffusion may form an undesirable leakage path between the source/drain epitaxial stack 230 and the semiconductor fin 210 and/or the doped region of the semiconductor substrate 220 . Additionally, the thicker amorphous low melting point top layer 230A may require longer residence time and/or higher power settings for the laser beam during the laser annealing process, both of which adversely affect processing time and cost. can give On the other hand, if the thickness T1 of the amorphous low-melting-point top layer 230A is less than about 30% of the total thickness T (eg, T1/T > 30%), the amount of dopant activated in the top layer is This may be insufficient to provide an acceptable resistance to the drain epitaxial stack 230.

일부 실시예들에서, 성막된 상태의 비정질 저융점 상부 층(230A) 및 단결정 고융점 하부 층(230B)의 미세 구조 및 결과적으로 융점은 성장 온도 및 압력과 같은 각각의 성장 조건을 통해 조정될 수 있다. 예를 들어, 일부 실시예들에 따르면, 단결정 고융점 하부 층(230B)은 약 650 ℃ 내지 약 800 ℃의 온도 및 약 20 Torr 내지 약 300 Torr의 압력에서 성장될 수 있다. 대조적으로, 비정질 저융점 상부 층(230A)은 약 450 ℃ 내지 약 600 ℃의 온도 및 약 300 Torr 내지 약 400 Torr의 압력에서 성장될 수 있다. 다시 말해서, 단결정 층은 "더 낮은" 온도 및 "더 높은" 압력에서 성장될 수 있는 비정질 에피택셜 층과 비교하여 "더 높은" 온도 및 "더 낮은" 압력에서 에피택셜 성장될 수 있다. 일부 실시예들에서, 앞서 언급된 조건은 p 형(예를 들어, B 도핑된 SiGe) 및 n 형(예를 들어, P 도핑된 SiC 및 SiP) 소스/드레인 에피택셜 층의 성장에 동일하게 적용될 수 있다. In some embodiments, the microstructure and consequently the melting point of the amorphous low-melting-point upper layer 230A and the single-crystalline high-melting-point lower layer 230B in the deposited state may be adjusted through respective growth conditions such as growth temperature and pressure. . For example, according to some embodiments, the single crystal refractory lower layer 230B may be grown at a temperature of about 650 °C to about 800 °C and a pressure of about 20 Torr to about 300 Torr. In contrast, the amorphous low melting point upper layer 230A may be grown at a temperature of about 450 °C to about 600 °C and a pressure of about 300 Torr to about 400 Torr. In other words, monocrystalline layers can be grown epitaxially at “higher” temperatures and “lower” pressures compared to amorphous epitaxial layers that can be grown at “lower” temperatures and “higher” pressures. In some embodiments, the aforementioned conditions apply equally to the growth of p-type (eg, B-doped SiGe) and n-type (eg, P-doped SiC and SiP) source/drain epitaxial layers. can

일부 실시예들에서, 단결정 고융점 하부 층(230B) 및 비정질 저융점 상부 층(230A)은 동일한 처리 반응기 내에서 (예를 들어, 진공 파쇄기 사용 없이) 인시츄 성장된다. 예를 들어, 가열 램프를 사용하여 신속한 처리 온도 변화가 (예를 들어, 약 10 초 내지 약 20 초 이내에) 달성될 수 있다. 일부 실시예들에서, 단결정 고융점 하부 층(230B) 및 비정질 저융점 상부 층(230A)은 화학적 기상 증착(chemical vapor deposition; CVD) 공정에 의해 성장된다.In some embodiments, the monocrystalline refractory lower layer 230B and the amorphous lower melting point upper layer 230A are grown in situ within the same processing reactor (eg, without the use of a vacuum crusher). For example, a rapid process temperature change can be achieved (eg, within about 10 seconds to about 20 seconds) using a heat lamp. In some embodiments, the single crystalline high melting point bottom layer 230B and the amorphous low melting point top layer 230A are grown by a chemical vapor deposition (CVD) process.

일부 실시예들에서, 비정질 저융점 상부 층(230A)은 PAI(pre-amorphization implant) 공정으로 형성될 수 있다. 예를 들어, 소스/드레인 에피택셜 스택(230)은 초기에 도 2에 도시된 단결정 고융점 하부 층(230B)과 같은 단결정 층만을 포함할 수 있으며, 총 두께(T)를 갖는다. 주입을 사용하는 PAI 공정이 단결정 층의 일부를 소비하고, 주입 이온 충격을 통해 비정질 층으로 변환시킨다. 주입의 유형, 주입의 양, 및 주입 에너지는 비정질 층의 두께를 미세하게 조정하는데 사용될 수 있는 파라미터이다.In some embodiments, the amorphous low melting point upper layer 230A may be formed by a pre-amorphization implant (PAI) process. For example, the source/drain epitaxial stack 230 may initially include only a single crystalline layer, such as the single crystalline refractory lower layer 230B shown in FIG. 2, and has a total thickness T. The PAI process using implantation consumes a portion of the monocrystalline layer and converts it to an amorphous layer through implantation ion bombardment. The type of implantation, amount of implantation, and implantation energy are parameters that can be used to fine-tune the thickness of the amorphous layer.

일부 실시예들에서, Ge 또는 주석(Sn) 주입이 SiGe 소스/드레인 에피택셜 스택에 사용될 수 있고, Si 주입이 SiC 또는 SiP 소스/드레인 에피택셜 스택에 사용될 수 있다. 비제한적인 예로서, 약 3 keV 내지 약 20 keV의 가속 전압에서 약 1×1014 cm-2 내지 약 5×1014 cm-2의 Ge 또는 Sn 용량이 두께(T1)를 갖는 비정질 저융점 상부 층(230A)을 형성하기 위해 사용될 수 있다. 약 1 keV 내지 약 5 keV의 가속 전압에서 약 1×1015 cm-2 내지 약 5×1015 cm-2의 Si 용량이 두께(T1)를 갖는 비정질 저융점 상부 층(230A)을 형성하기 위해 사용될 수 있다. 일부 실시예들에서, 비정질 저융점 상부 층(230A)을 형성하기 위해 PAI 방법이 사용되는 경우, 비정질 저융점 상부 층(230A) 및 단결정 고융점 하부 층(230B)은 상이한 Si/Ge 및 Si/C 또는 Si/P 비율을 가질 수 있다.In some embodiments, a Ge or tin (Sn) implant may be used in a SiGe source/drain epitaxial stack, and a Si implant may be used in a SiC or SiP source/drain epitaxial stack. As a non-limiting example, an amorphous low-melting top having a thickness T1 of Ge or Sn capacity of about 1×10 14 cm −2 to about 5×10 14 cm −2 at an accelerating voltage of about 3 keV to about 20 keV. may be used to form layer 230A. To form an amorphous low melting point upper layer 230A having a thickness T1 of a Si capacity of about 1×10 15 cm −2 to about 5×10 15 cm −2 at an accelerating voltage of about 1 keV to about 5 keV. can be used In some embodiments, when the PAI method is used to form the amorphous low-melting upper layer 230A, the amorphous lower-melting upper layer 230A and the single crystalline lower melting-point layer 230B are different from Si/Ge and Si/ It can have a C or Si/P ratio.

비정질 저융점 상부 층(230A)의 형성 후, 소스/드레인 에피택셜 스택(230)은 비정질 저융점 상부 층(230A)에서 도펀트를 활성화시키기 위해, 예를 들어, 스캐닝 레이저 빔(250)을 통해 레이저 어닐링 공정을 겪는다. 위에서 논의된 바와 같이, 비정질 저융점 상부 층(230A)은 단결정 고융점 하부 층(230B)과 비교하여 낮은(예를 들어, 약 200 K 보다 낮은) 융점을 갖는다. 또한, 레이저 빔(250)의 전력은 두 층 사이의 융점 차이에 기초하여 조정되어 용융된 전면이 비정질 저융점 상부 층(230A) 상에 선택적으로 형성되는 반면, 단결정 하부 층은 고체로 유지된다. 일부 실시예들에서, 레이저 빔(250)은 약 308 nm 내지 약 532 nm의 파장 및 약 7 nm 내지 약 1200 nm의 어닐링 깊이(예를 들어, 비정질 저융점 상부 층(230A)의 상부 표면으로부터 측정됨)를 갖는다. 일부 실시예들에서, 어닐링 깊이는 비정질 상부 층(230A)의 두께(T1)에 대응한다. 위에서 논의된 바와 같이, 레이저 빔(250)은 약 25 mm2 내지 약 100 mm2의 영역(예를 들어, 약 25 mm2 내지 약 30 mm2의 영역)을 커버하고, 약 100 mm/s의 스캐닝 속도를 갖는다. 또한, 레이저 빔(250)은 사이트 당 약 1 내지 10 회 펄싱되고, 각각의 펄스는 약 20 ns 내지 150 ns의 지속시간을 갖는다. 비제한적인 예로서, 어닐링 공정은 질소 또는 다른 불활성 가스 분위기(예를 들어, 아르곤, 헬륨, 제논 등)에서 수행될 수 있다.After formation of the amorphous low-melting top layer 230A, the source/drain epitaxial stack 230 is applied to a laser beam via, for example, a scanning laser beam 250 to activate dopants in the amorphous low-melting top layer 230A. undergo an annealing process. As discussed above, the amorphous low-melting top layer 230A has a low melting point (eg, less than about 200 K) compared to the single-crystalline high-melting bottom layer 230B. Also, the power of the laser beam 250 is adjusted based on the melting point difference between the two layers so that a molten front is selectively formed on the amorphous low melting point upper layer 230A, while the single crystal lower layer remains solid. In some embodiments, the laser beam 250 has a wavelength of about 308 nm to about 532 nm and an annealing depth of about 7 nm to about 1200 nm (eg, as measured from the top surface of the amorphous low melting point top layer 230A). have) In some embodiments, the annealing depth corresponds to the thickness T1 of the amorphous upper layer 230A. As discussed above, the laser beam 250 covers an area of about 25 mm 2 to about 100 mm 2 (eg, an area of about 25 mm 2 to about 30 mm 2 ) and has a speed of about 100 mm/s. has a scanning speed. Further, the laser beam 250 is pulsed about 1 to 10 times per site, with each pulse having a duration of about 20 ns to 150 ns. As a non-limiting example, the annealing process may be performed in a nitrogen or other inert gas atmosphere (eg, argon, helium, xenon, etc.).

위에서 언급한 레이저 빔 특성은 제한적으로 설계되지 않았으며, (i) 비정질 저융점 상부 층(230A)과 단결정 고융점 하부 층(230B)의 두께; 및 (ii) 비정질 저융점 상부 층(230A)과 단결정 고융점 하부 층(230B) 사이의 융점 차이와 같은 조건에 따라, 이 범위 밖의 값을 사용할 수 있다. 비제한적인 예로서, 더 두꺼운 비정질 저융점 상부 층(230A)의 경우(예를 들어, T1/T가 약 0.75에 가까울 때), 증가된 펄스 수(예를 들어, 10)와 함께 더 긴 펄스 지속시간(예를 들어, 약 150 ns)이 더 높은 어닐링 깊이(예를 들어, 1200 nm)를 달성하기 위해 사용될 수 있고; 더 얕은 어닐링 깊이(예를 들어, 약 7 nm)의 경우, 더 적은 및/또는 더 짧은 펄스가 사용될 수 있다.The above-mentioned laser beam characteristics are not designed to be limited, and include (i) the thickness of the amorphous low-melting-point upper layer 230A and the single-crystal high-melting-point lower layer 230B; and (ii) a difference in melting point between the amorphous low-melting-point upper layer 230A and the single-crystalline high-melting-point lower layer 230B. As a non-limiting example, for a thicker amorphous low melting point top layer 230A (eg, when T/T is close to about 0.75), longer pulses with an increased number of pulses (eg, 10) Duration times (eg, about 150 ns) may be used to achieve higher annealing depths (eg, 1200 nm); For shallower annealing depths (eg, about 7 nm), fewer and/or shorter pulses may be used.

빔의 파장은 또한 다른 레이저 빔 고려 사항(예를 들어, 펄스 수, 펄스 지속시간, 스캐닝 속도 등) 및 층 특성(예를 들어, 비정질 저융점 상부 층(230A)의 두께 및 융점)에 기초하여 원하는 열 출력을 달성하도록 조정될 수 있다. 예를 들어, 다른 모든 레이저 빔 특성이 동일하다고 가정하면, 얇은 비정질 저융점 상부 층(230A)에는 낮은 흡수 깊이(예를 들어, 약 10 nm)를 갖는 단파장(예를 들어, 약 300 nm)의 레이저 빔을 사용할 수 있고, 두꺼운 비정질 저융점 상부 층(230A)에는 깊은 흡수 깊이(예를 들어, 약 100 nm)를 갖는 장파장(예를 들어, 약 500 nm)의 레이저 빔을 사용할 수 있다. 두 조건에서, 레이저 전력은 실질적으로 동일하고, 예를 들어, 약 200 줄과 400 줄 사이의 범위일 수 있다.The wavelength of the beam may also be based on other laser beam considerations (eg, pulse number, pulse duration, scanning speed, etc.) and layer properties (eg, thickness and melting point of amorphous low melting point top layer 230A). It can be adjusted to achieve the desired heat output. For example, assuming all other laser beam characteristics are the same, the thin amorphous low melting point top layer 230A has a short wavelength (e.g., about 300 nm) having a low absorption depth (e.g., about 10 nm). A laser beam may be used, and a laser beam having a long wavelength (eg, about 500 nm) having a deep absorption depth (eg, about 100 nm) may be used for the thick amorphous low-melting-point upper layer 230A. In both conditions, the laser power is substantially the same and may range, for example, between about 200 and 400 joules.

일부 실시예들에서, 위에서 설명된 레이저 어닐링 공정은 비정질 저융점 상부 층(230A)에서 도펀트(예를 들어, B 또는 P)를 활성화시킨다. 소스/드레인 에피택셜 스택(230)의 상부 층에서 활성화된 캐리어 농도는 p 형 스택 및 n 형 스택(예를 들어, SiGe, SiC 또는 SiP)모두에 대해 약 1×1020 cm-3 내지 약 1×1021 cm-3의 범위일 수 있다. 일부 실시예들에서, 도펀트 활성화 공정은 주로 저융점 상부 층(예를 들어, 비정질 저융점 상부 층(230A))에서 그리고 부분적으로 단결정 고융점 하부 층(예를 들어, 단결정 고융점 하부 층(230B))에서 발생한다. 일부 실시예들에서, 저융점 상부 층은 화학적 도펀트 농도와 실질적으로 동일한 활성화된 캐리어 농도(예를 들어, 약 100 % 활성화 속도)를 발생시킬 수 있고, 고융점 하부 층은 화학 도펀트 농도보다 적은 활성화된 캐리어 농도(예를 들어, 100 % 활성화 속도 미만)를 발생시킬 수 있다. 예를 들어, 앞서 언급한 경우에, 저융점 상부 층(230A)은 최대 약 1×1021 cm-3의 활성화된 캐리어 농도를 발생시킬 수 있는 반면, 고융점 하부 층(230B)은 최대 약 1×1020 cm-3의 활성화된 캐리어 농도로 제한될 수 있다. 따라서, 일부 실시예들에서, 소스/드레인 에피택셜 스택(230) 전체에 걸쳐 (예를 들어, 저융점 층 및 고융점 층 모두에서) 도펀트 활성화가 발생하더라도, 저융점 상부 층(230A)은 고융점 하부 층(230B)과 비교하여 보다 높은 활성화된 도펀트 농도(예를 들어, 약 10배 더 높음)를 발생시킬 것이다.In some embodiments, the laser annealing process described above activates a dopant (eg, B or P) in amorphous low melting point upper layer 230A. The activated carrier concentration in the upper layer of the source/drain epitaxial stack 230 is from about 1×10 20 cm -3 to about 1 for both p-type and n-type stacks (eg, SiGe, SiC or SiP). It may be in the range of ×10 21 cm -3 . In some embodiments, the dopant activation process is performed primarily in the refractory upper layer (eg, amorphous reflux upper layer 230A) and partially in the single crystalline reflux lower layer (eg, single crystalline reflux upper layer 230B). )) occurs in In some embodiments, the low-melting top layer can result in an activated carrier concentration substantially equal to the chemical dopant concentration (eg, about 100% activation rate), and the high-melting bottom layer to activate less than the chemical dopant concentration. carrier concentrations (eg less than 100% activation rate). For example, in the aforementioned case, the low-melting top layer 230A can generate an activated carrier concentration of up to about 1×10 21 cm -3 , while the high-melting bottom layer 230B can generate up to about 1 may be limited to an activated carrier concentration of ×10 20 cm −3 . Thus, in some embodiments, even if dopant activation occurs throughout the source/drain epitaxial stack 230 (eg, in both the low-melting layer and the high-melting layer), the low-melting top layer 230A has a high This will result in a higher activated dopant concentration (eg, about 10 times higher) compared to the submelting layer 230B.

일부 실시예들에서, 소스/드레인 에피택셜 스택 외부의 도펀트 확산은 도 3에 도시된 바와 같이 방지될 수 있는데, 도 3은 곡선(300)으로 표시된 2차 이온 질량 분석법(SIMS) 프로파일이며, 곡선(310)으로 표시된 확산 저항 프로파일(SRP)과 중첩된다. 도 3의 y 축은 로그 스케일이고, 도펀트 및 활성화된 도펀트(예를 들어, 캐리어)의 농도를 나타낸다. x 축은 소스/드레인 에피택셜 스택(230) 내의 깊이를 나타낸다. 예를 들어, 도 3의 x-y 플롯의 원점은 소스/드레인 에피택셜 스택(230)의 상부 표면에 대응한다. 일부 실시예들에서, 프로파일(300 및 310)은 각각 어닐링 공정 이후의 도 2에 도시된 라인 A-B를 따라 도펀트 및 캐리어 농도를 나타낸다. 보다 구체적으로, SIMS 곡선(300)은 소스/드레인 에피택셜 스택(230)에 걸친 총 도펀트 농도(예를 들어, B 또는 P)에 대응하고, SRP 곡선(310)은 소스/드레인 에피택셜 스택(230)에 걸친 활성화된 도펀트 농도에 대응한다. 도 3에 도시된 바와 같이, 모든 프로파일(300 및 310)은 반도체 핀(210)과의 계면 부근에서 도펀트 및 캐리어 농도의 급격한 감소를 보여준다. 일부 실시예들에서, 기울기(320)는 약 2.6 nm/decade이며, 소스/드레인 에피택셜 스택(230)의 캐리어 및 도펀트 농도는 반도체 핀(210)으로 확산되지 않음을 입증한다.In some embodiments, dopant diffusion outside the source/drain epitaxial stack can be prevented as shown in Figure 3, which is a secondary ion mass spectrometry (SIMS) profile denoted by curve 300, curve It overlaps with the diffusion resistance profile (SRP) denoted by (310). The y-axis of FIG. 3 is on a logarithmic scale and represents concentrations of dopants and activated dopants (eg, carriers). The x-axis represents depth within the source/drain epitaxial stack 230 . For example, the origin of the x-y plot in FIG. 3 corresponds to the top surface of the source/drain epitaxial stack 230 . In some embodiments, profiles 300 and 310 respectively represent dopant and carrier concentrations along line A-B shown in FIG. 2 after an annealing process. More specifically, the SIMS curve 300 corresponds to the total dopant concentration (eg, B or P) across the source/drain epitaxial stack 230, and the SRP curve 310 corresponds to the source/drain epitaxial stack ( 230) corresponds to the activated dopant concentration. As shown in FIG. 3 , all profiles 300 and 310 show a sharp decrease in dopant and carrier concentration near the interface with the semiconductor fin 210 . In some embodiments, slope 320 is about 2.6 nm/decade, demonstrating that the carrier and dopant concentrations of source/drain epitaxial stack 230 do not diffuse into semiconductor fin 210 .

일부 실시예들에서, 성막된 상태의 비정질 저융점 상부 층(230A)은 레이저 어닐링 공정 후에 냉각됨에 따라 재결정화된다. 예를 들어, 비정질 저융점 상부 층(230A)은 단결정 층으로 변환될 수 있다. 도 4는 도 2에 기술된 레이저 어닐링 공정 이후의 소스/드레인 에피택셜 스택(230)을 도시하며, 여기서, 성막된 상태의 비정질 저융점 상부 층(230A)은 레이저 어닐링된 단결정 상부 층(400)으로 변환된다. 일부 실시예들에서, 단결정 상부 층(400)은 도 2에 도시된 성막된 상태의 비정질 저융점 상부 층(230A)과 실질적으로 동일한 두께(T1)를 갖는다. 또한, 소스/드레인 에피택셜 스택(230)의 두께(T)는 레이저 어닐링 공정 전후에 실질적으로 동일하다.In some embodiments, the as-deposited amorphous low melting point upper layer 230A recrystallizes as it cools after the laser annealing process. For example, the amorphous low melting point upper layer 230A may be converted into a single crystalline layer. FIG. 4 shows source/drain epitaxial stack 230 after the laser annealing process described in FIG. is converted to In some embodiments, the monocrystalline upper layer 400 has substantially the same thickness T1 as the as-deposited amorphous low melting point upper layer 230A shown in FIG. 2 . In addition, the thickness T of the source/drain epitaxial stack 230 is substantially the same before and after the laser annealing process.

일부 실시예들에서, 계면(410)(예를 들어, 레이저 어닐링된 소스/드레인 에피택셜 스택의 상부 및 하부 단결정 층들(400 및 230B) 사이의 계면)은 거친 (예를 들어, 굵은) 표면 지형을 가질 수 있으며, 이는 투과 전자 현미경(transmission electron microscopy; TEM) 이미징에 의해 검출될 수 있다. 일부 실시예들에서, 계면(410)은 또한 단결정 상부 층(400)의 상부 표면(420)과 비교하여 더 거칠게 (예를 들어, 더 굵게) 보이며, 이 또한 TEM 이미징에 의해 검출될 수 있다. 일부 실시예들에서, 저융점 상부 층(230A)의 상부 표면 거칠기는 레이저 어닐링 공정 이후에 약 6배만큼 감소된다. 예를 들어, 저융점 상부 층(230A)의 평균 제곱근(RMS) 상부 표면 거칠기는 약 3 nm 일 수 있고, 단결정 상부 층(400)(예를 들어, 어닐링된 상부 층(230A))의 RMS 상부 표면 거칠기는 약 0.5 nm 일 수 있다. 또한, 레이저 어닐링된 단결정 상부 층(400)은 단결정 고융점 하부 층(230B)과 비교하여 더 높은 결함 밀도(예를 들어, 단위 면적당 전위 수)를 갖는다. 이는 레이저 어닐링된 단결정 상부 층(400)이 비정질 또는 다결정 층으로부터 단결정 층으로 변환될 때 겪는 재결정화 공정으로 인한 것이다. 예를 들어, 단결정 상부 층(400)은 약 1×1018 전위/cm2를 가질 수 있는 반면, 단결정 고융점 하부 층(230B)은 약 1×1016 전위/cm2, 예를 들어, 단위 면적당 약 두자릿수(two orders of magnitude) 더 적은 전위를 가질 수 있다. 일부 실시예들에서, 소스/드레인 에피택셜 스택(230)에서 두 층 사이의 결함 밀도 차이로 인해, 레이저 어닐링된 단결정 상부 층(400)은 압축 변형을 발생시키는 반면, 단결정 고융점 하부 층(230B)은 인장 변형 또는 레이저 어닐링된 단결정 상부 층(400)보다 적은 압축 변형을 발생시킨다. 다시 말해서, 레이저 어닐링된 단결정 상부 층(400)과 단결정 고융점 하부 층(230B) 사이의 변형 유형 또는 변형 크기는 상이할 수 있다.In some embodiments, interface 410 (eg, the interface between upper and lower single crystal layers 400 and 230B of the laser annealed source/drain epitaxial stack) has a rough (eg, coarse) surface topography. , which can be detected by transmission electron microscopy (TEM) imaging. In some embodiments, interface 410 also appears rougher (eg, coarser) compared to top surface 420 of monocrystalline top layer 400 , which can also be detected by TEM imaging. In some embodiments, the top surface roughness of the low melting point top layer 230A is reduced by about 6 times after the laser annealing process. For example, the root mean square (RMS) top surface roughness of the low-melting top layer 230A may be about 3 nm, and the RMS top surface roughness of the monocrystalline top layer 400 (eg, the annealed top layer 230A) The surface roughness may be about 0.5 nm. In addition, the laser annealed single crystal upper layer 400 has a higher defect density (eg, number of dislocations per unit area) compared to the single crystal refractory lower layer 230B. This is due to the recrystallization process that the laser annealed single crystal top layer 400 undergoes when it is converted from an amorphous or polycrystalline layer to a single crystal layer. For example, single crystalline top layer 400 may have about 1×10 18 dislocation/cm 2 , while single crystalline refractory bottom layer 230B has about 1×10 16 dislocation/cm 2 , eg, unit It can have about two orders of magnitude less potential per area. In some embodiments, due to the difference in defect density between the two layers in the source/drain epitaxial stack 230, the laser annealed upper single crystalline layer 400 develops a compressive strain, while the single crystalline lower melting point layer 230B ) produces less tensile strain or compressive strain than the laser annealed single crystal top layer 400. In other words, the type or amount of strain between the laser annealed upper single crystal layer 400 and the single crystal lower melting point layer 230B may be different.

일부 실시예들에서, 저융점 상부 층은 고융점 하부 층과 상이한 물질을 포함하거나, 동일한 물질이지만 상이한 화학량론을 갖는 물질을 포함할 수 있다. 또한, 저융점 상부 층 및 고융점 하부 층 양자 모두는 단결정 층일 수 있다. 비제한적인 예로서, p 형 소스/드레인 에피택셜 스택의 경우, 저융점 상부 층은 약 20 % 내지 약 40 %의 Ge 농도를 갖는 단결정 SiGe를 포함할 수 있는 반면, 고융점 하부 층은 주목할 만한 양의 Ge가 없는 단결정 Si를 포함할 수 있다. 일부 실시예들에서, Si에 Ge의 포함은 결과적인 SiGe 층의 융점을 감소시킨다. 예를 들어, 약 40 % Ge를 갖는 SiGe 층은 약 20 % Ge를 갖는 SiGe 층보다 융점이 낮고, 약 20 % Ge를 갖는 SiGe 층은 주목할 만한 양의 Ge를 함유하지 않는 Si보다 융점이 낮다.In some embodiments, the lower melting point layer may include a different material than the lower melting point layer, or may include the same material but with a different stoichiometry. Also, both the low-melting-point upper layer and the high-melting-point lower layer may be single-crystal layers. As a non-limiting example, for a p-type source/drain epitaxial stack, the low-melting top layer may include single crystal SiGe with a Ge concentration of about 20% to about 40%, while the high-melting bottom layer may have a notable It may contain single crystal Si with no positive Ge. In some embodiments, the inclusion of Ge in Si reduces the melting point of the resulting SiGe layer. For example, a SiGe layer with about 40% Ge has a lower melting point than a SiGe layer with about 20% Ge, and a SiGe layer with about 20% Ge has a lower melting point than Si that does not contain appreciable amounts of Ge.

n 형 소스/드레인 에피택셜 스택의 경우, 저융점 상부 층은 낮은 탄소 농도를 갖는 단결정 SiC 층을 포함할 수 있는 반면, 고융점 하부 층은 높은 탄소 농도를 갖는 단결정 SiC 층을 포함할 수 있으며, 여기서 일부 실시예들에 따르면, 낮은 탄소 농도와 높은 탄소 농도 사이의 차이는 약 2 %이다. For an n-type source/drain epitaxial stack, the low-melting top layer may include a single-crystal SiC layer with a low carbon concentration, while the high-melting bottom layer may include a single-crystal SiC layer with a high carbon concentration; According to some embodiments herein, the difference between the low carbon concentration and the high carbon concentration is about 2%.

일부 실시예들에서, 앞서 언급한 층들 각각은 약 650 ℃ 내지 약 800 ℃의 온도 범위, 및 약 20 Torr 내지 약 300 Torr의 압력에서 CVD에 의해 성장된다. 일부 실시예들에 따르면, 단결정 고융점 층 및 저융점 층의 성장을 위해 약 650 ℃ 초과의 공정 온도 및 약 300 Torr 미만의 공정 압력이 요구된다. 레이저 어닐링 공정의 결과로서, 저융점 상부 층과 고융점 하부 층 양자 모두는 동일한 유형의 변형(예를 들어, 압축 또는 인장)을 발생시킬 수 있고, 단결정 상부 층에서의 활성화된 도펀트 농도는 단결정 하부 층의 것보다 더 클 것이다. 일부 실시예들에서, 압축 유형의 응력에 대한 어닐링 후 변형 이득은 약 0.8 GPa이다.In some embodiments, each of the aforementioned layers is grown by CVD at a temperature ranging from about 650 °C to about 800 °C, and at a pressure of about 20 Torr to about 300 Torr. According to some embodiments, a process temperature of greater than about 650° C. and a process pressure of less than about 300 Torr is required for growth of the single crystal refractory layer and the low melting point layer. As a result of the laser annealing process, both the low-melting top layer and the high-melting bottom layer can undergo the same type of strain (eg, compression or tension), and the activated dopant concentration in the single-crystal top layer is will be larger than that of the floor. In some embodiments, the strain gain after annealing for compressive type stress is about 0.8 GPa.

다른 실시예들에서, 저융점 상부 층은 고융점 하부 층과 상이한 물질을 포함하거나, 동일한 물질이지만 상이한 화학량론을 갖는 물질을 포함할 수 있다. 또한, 저융점 상부 층 및 고융점 하부 층 양자 모두는 적절히 조정된 융점을 갖는 (예를 들어, 적어도 200 K의 융점 차이를 갖는) 다결정 층 또는 비정질 층일 수 있다. 비제한적인 예로서, p 형 소스/드레인 에피택셜 스택의 경우, 저융점 상부 층은 약 20 % 내지 약 40 %의 Ge 농도를 갖는 다결정 또는 비정질 SiGe를 포함할 수 있는 반면, 고융점 하부 층은 주목할 만한 양의 Ge가 없는 다결정 또는 비정질 Si를 포함할 수 있다. 일부 실시예들에서, Si에 Ge의 포함은 위에서 논의된 바와 같이 결과적인 SiGe 층의 융점을 감소시킨다. 예를 들어, 비정질 Si 층의 융점은 약 1420 K인 반면, 비정질 Ge 층의 융점은 약 965 K 내지 1024 K이다. 따라서, 비정질 Si 층에 Ge를 도입하고 Ge 농도를 제어하면, 결과적인 층의 융점은 약 965 K보다 높고 약 1420 K보다 낮게 조정될 수 있다. 결과적으로, Si 층에서 Ge의 농도는 앞서 논의된 바와 같이 약 200 K 이상의 원하는 용융 온도 차이를 달성하도록 조정될 수 있다.In other embodiments, the lower melting point layer may include a different material than the lower melting point layer, or the same material but with a different stoichiometry. Further, both the low-melting top layer and the high-melting bottom layer can be polycrystalline or amorphous layers with suitably tuned melting points (eg, with a melting point difference of at least 200 K). As a non-limiting example, for a p-type source/drain epitaxial stack, the low-melting top layer may include polycrystalline or amorphous SiGe with a Ge concentration of about 20% to about 40%, while the high-melting bottom layer is It may contain polycrystalline or amorphous Si without appreciable amounts of Ge. In some embodiments, the inclusion of Ge in the Si reduces the melting point of the resulting SiGe layer as discussed above. For example, the melting point of an amorphous Si layer is about 1420 K, while the melting point of an amorphous Ge layer is about 965 K to 1024 K. Thus, by introducing Ge into the amorphous Si layer and controlling the Ge concentration, the melting point of the resulting layer can be tuned to be higher than about 965 K and lower than about 1420 K. Consequently, the concentration of Ge in the Si layer can be adjusted to achieve a desired melting temperature differential of about 200 K or greater, as discussed above.

n 형 소스/드레인 에피택셜 스택의 경우, 저융점 상부 층은 낮은 탄소 농도를 갖는 다결정 또는 비정질 SiC 층을 포함할 수 있는 반면, 고융점 하부 층은 높은 탄소 농도를 갖는 다결정 또는 비정질 SiC 층을 포함할 수 있으며, 여기서 일부 실시예들에 따르면, 낮은 탄소 농도와 높은 탄소 농도 사이의 차이는 약 2 %이다. 일부 실시예들에서, 약 2 %의 탄소 농도 오프셋은 약 200 K 이상의 융점 차이를 달성하기에 충분하다.For an n-type source/drain epitaxial stack, the low-melting top layer may include a polycrystalline or amorphous SiC layer with a low carbon concentration, while the high-melting bottom layer includes a polycrystalline or amorphous SiC layer with a high carbon concentration. It may be, wherein according to some embodiments, the difference between the low carbon concentration and the high carbon concentration is about 2%. In some embodiments, a carbon concentration offset of about 2% is sufficient to achieve a melting point difference of about 200 K or greater.

일부 실시예들에서, 앞서 언급한 층들은 약 450 ℃ 내지 약 600 ℃의 온도 범위, 및 약 300 Torr 내지 약 400 Torr의 압력에서 CVD에 의해 성장된다. 일부 실시예들에 따르면, 다결정 또는 비정질 고융점 층 및 저융점 층의 성장을 위해 약 600 ℃ 이하의 공정 온도 및 약 300 Torr 이상의 공정 압력이 요구된다. 레이저 어닐링 공정의 결과로서, 저융점 상부 층과 고융점 하부 층 양자 모두는 동일한 유형의 변형(예를 들어, 압축)을 발생시킬 것이고, 상부 층에서의 활성화된 도펀트 농도는 하부 층의 것보다 더 클 것이다. 일부 실시예들에서, 저융점 상부 층은 약 100 %의 활성화 속도를 나타내며, 예를 들어 상부 층의 모든 도펀트가 활성화된다(예를 들어, 약 1×1021 cm-3). 이에 비해, 고융점 하부 층은 약 10 %의 활성화 속도를 나타낼 수 있다.In some embodiments, the aforementioned layers are grown by CVD at a temperature ranging from about 450 °C to about 600 °C, and at a pressure of about 300 Torr to about 400 Torr. According to some embodiments, a process temperature of about 600° C. or less and a process pressure of about 300 Torr or more are required for growth of the polycrystalline or amorphous high-melting point layer and low-melting point layer. As a result of the laser annealing process, both the low-melting top layer and the high-melting bottom layer will develop the same type of strain (eg, compression), and the activated dopant concentration in the top layer will be higher than that in the bottom layer. will be big In some embodiments, the low-melting top layer exhibits an activation rate of about 100%, eg all dopants in the top layer are activated (eg, about 1×10 21 cm −3 ). In comparison, the lower melting point layer may exhibit an activation rate of about 10%.

일부 실시예들에서, 다결정 또는 비정질 및 단결정 층의 임의의 순열이 소스/드레인 에피택셜 스택의 상부 층 및 하부 층에 사용될 수 있고, 상부 층이 하부 층보다 낮은 융점을 갖는 한, 예를 들어, 하부 층과 상부 층 사이의 융점 차이가 약 200 K 이상인 한, 본 개시의 사상 및 범위 내에 있다. 또한, 저융점 상부 층은 소스/드레인 에피택셜 스택의 총 두께의 약 30 % 내지 약 75 %를 갖는다.In some embodiments, any permutation of polycrystalline or amorphous and monocrystalline layers can be used for the top and bottom layers of the source/drain epitaxial stack, as long as the top layer has a lower melting point than the bottom layer, for example, As long as the melting point difference between the lower and upper layers is at least about 200 K, it is within the spirit and scope of the present disclosure. Additionally, the low-melting top layer has about 30% to about 75% of the total thickness of the source/drain epitaxial stack.

도 5는 일부 실시예들에 따라, 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택의 제조를 위한 예시적인 방법(500)의 흐름도이다. 다른 제조 동작들이 방법(500)의 다양한 동작들 사이에서 수행될 수 있으며, 명료함을 위해 생략될 수 있다. 또한, 방법(500)의 제조 동작들은 고유하지 않으며, 방법(500)의 동작들 대신에 대안적인 동작들이 수행될 수 있다. 본 개시의 실시예들은 방법(500)으로 제한되지 않는다. 예시적인 방법(500)이 도 6 내지 도 11과 관련하여 설명될 것이다.5 is a flow diagram of an example method 500 for fabrication of a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom layer, in accordance with some embodiments. Other fabrication operations may be performed between the various operations of method 500 and may be omitted for clarity. Further, the fabrication operations of method 500 are not unique, and alternative operations may be performed in lieu of those of method 500. Embodiments of this disclosure are not limited to method 500 . An exemplary method 500 will be described with respect to FIGS. 6-11 .

방법(500)은 기판 상에 배치된 핀 상에 게이트 구조물을 형성하는 동작(510)으로 시작한다. 도 6은 x 축(x 컷) 및 y 축(y 컷)을 따른 결과적인 구조물의 단면도를 도시한다. x 축 방향은 핀의 길이와 일치하고, y 축 방향은 핀의 너비와 일치한다. 예를 들어, y 컷 보기는 x 컷의 라인 A-B를 따른 구조물을 y 축 방향에서 봄으로써 생성되며, x 컷 보기는 y 컷의 라인 C-D를 따른 구조물을 x 축 방향에서 봄으로써 생성된다. 동작(510)에 따르면, 기판(610) 상에 핀(600)이 형성된다. 일부 실시예들에서, 핀(600) 및 기판(610) 양자 모두는 하나 이상의 반도체 물질을 포함한다. 예를 들어, 핀(600) 및 기판(610)은 Si 또는 Ge와 같은 기본 반도체 물질 또는 SiGe와 같은 반도체 화합물을 포함할 수 있다. 또한, 핀(600) 및 기판(610)은 도 6에 도시되지 않은 도핑 영역을 포함할 수 있다. 실리콘 산화물과 같은 유전체 층(620)이 인접한 핀들로부터 핀(600)을 격리시킨다. 일부 실시예들에서, 유전체 층(620)은 얕은 트렌치 격리(shallow trench isolation; STI) 구조물과 같은 격리 구조물일 수 있다. Method 500 begins with operation 510 of forming a gate structure on a fin disposed on a substrate. 6 shows cross-sectional views of the resulting structure along the x axis (x cut) and y axis (y cut). The x-axis direction corresponds to the pin's length, and the y-axis direction corresponds to the pin's width. For example, a y-cut view is created by viewing a structure along line A-B of the x-cut in the y-axis direction, and an x-cut view is created by viewing a structure along line C-D of the y-cut in the direction of the x-axis. According to operation 510 , a fin 600 is formed on a substrate 610 . In some embodiments, both fin 600 and substrate 610 include one or more semiconductor materials. For example, fin 600 and substrate 610 may include a basic semiconductor material such as Si or Ge or a semiconductor compound such as SiGe. In addition, the fin 600 and the substrate 610 may include doped regions not shown in FIG. 6 . A dielectric layer 620, such as silicon oxide, isolates fin 600 from adjacent fins. In some embodiments, dielectric layer 620 may be an isolation structure such as a shallow trench isolation (STI) structure.

게이트 구조물(630)이 핀(600) 상에 그리고 그 주위에 형성되어, 게이트 구조물(630)은 도 6의 x 컷 및 y 컷 단면도에 의해 도시된 바와 같이, 유전체 층(620)에 의해 커버되지 않은 핀(600)의 일부를 "둘러싼다". 일부 실시예들에 따르면, 게이트 구조물(630)은 희생 게이트 전극(630A), 희생 게이트 유전체(630B) 및 하나 이상의 스페이서 층(630C)을 포함한다. 일부 실시예들에서, 희생 게이트 전극(630A)은 폴리 실리콘을 포함하고, 희생 게이트 유전체는 실리콘 산화물을 포함한다. 또한, 하나 이상의 스페이서 층(630C)은 실리콘 질화물과 같은 질화물을 포함할 수 있다. 일부 실시예들에 따르면, 희생 게이트 전극(630A) 및 희생 게이트 유전체(630B)는 후속 동작에서 금속 게이트 스택으로 대체될 수 있는 희생 게이트 스택을 형성한다.A gate structure 630 is formed on and around the fin 600 such that the gate structure 630 is not covered by the dielectric layer 620, as shown by the x-cut and y-cut cross-sectional views of FIG. "surrounds" a portion of the pin 600 that is not present. Gate structure 630 includes a sacrificial gate electrode 630A, a sacrificial gate dielectric 630B and one or more spacer layers 630C, in accordance with some embodiments. In some embodiments, the sacrificial gate electrode 630A includes polysilicon and the sacrificial gate dielectric includes silicon oxide. Also, one or more spacer layers 630C may include a nitride such as silicon nitride. According to some embodiments, sacrificial gate electrode 630A and sacrificial gate dielectric 630B form a sacrificial gate stack that can be replaced with a metal gate stack in a subsequent operation.

도 5를 참조하면, 방법(500)은 핀(600)의 일부가 리세스되는 동작(520)으로 계속된다. 일부 실시예들에 따르면, 핀(600)을 리세스하면 후속 동작에서 (예를 들어, 방법(500)의 동작(530)에서) 소스/드레인 에피택셜 스택의 형성을 용이하게 한다. 비제한적인 예로서, 도 7의 x 컷은 동작(520)의 리세스 공정 이후의 결과적인 구조물을 도시한다. 일부 실시예들에서, 핀(600)의 일부가 리세스되어 리세스된 핀 부분(700)을 형성한다. 리세스된 핀 부분(700)은 게이트 구조물(630)의 스페이서 층(630C)에 인접하여 위치된다. 비제한적인 예로서, 리세스된 핀 부분(700)은 보호될 핀(600)의 부분을 마스킹하고 핀(600)의 나머지 부분(예를 들어, 노출된 부분)을 에칭함으로써 형성될 수 있다. 마스킹은 산화물 층 또는 질화물 층과 같은 하드 마스크 층, 포토 레지스트 층, 또는 이들의 조합으로 달성될 수 있다. 에칭 공정은 에천트, 예컨대, 염소(Cl2), 브롬화 수소(HBr), 테트라 플루오로 메탄(CF4) 또는 이들의 조합을 포함할 수 있다. 일부 실시예들에서, 게이트 구조물(630)에 의해 커버되지 않은 핀(600)의 모든 부분이 도 11의 x 컷에 도시된 바와 같이 동작(520) 동안 리세스된다. 도 7의 y 컷 보기는 y 방향에서 x 컷의 라인 E-F를 따른 결과적인 구조물을 도시한다. y 컷 보기에서, 핀의 리세스된 부분(예를 들어, 700) 및 비 리세스된 부분(예를 들어, 600)을 모두 볼 수 있다.Referring to FIG. 5 , method 500 continues with operation 520 where a portion of pin 600 is recessed. According to some embodiments, recessing fin 600 facilitates formation of a source/drain epitaxial stack in a subsequent operation (eg, in operation 530 of method 500 ). As a non-limiting example, cut x in FIG. 7 shows the resulting structure after the recess process of operation 520 . In some embodiments, a portion of fin 600 is recessed to form a recessed fin portion 700 . The recessed fin portion 700 is positioned adjacent to the spacer layer 630C of the gate structure 630 . As a non-limiting example, the recessed fin portion 700 may be formed by masking the portion of the fin 600 to be protected and etching the remaining portion of the fin 600 (eg, the exposed portion). Masking may be accomplished with a hard mask layer such as an oxide layer or a nitride layer, a photoresist layer, or a combination thereof. The etching process may include an etchant such as chlorine (Cl 2 ), hydrogen bromide (HBr), tetrafluoromethane (CF 4 ), or a combination thereof. In some embodiments, any portion of fin 600 not covered by gate structure 630 is recessed during operation 520 as shown in the x-cut in FIG. 11 . The y-cut view of FIG. 7 shows the resulting structure along line EF of the x-cut in the y-direction. In the y-cut view, both the recessed (eg 700) and non-recessed parts (eg 600) of the pin are visible.

도 5를 참조하면, 방법(500)은 리세스된 핀 부분(700) 상에 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택을 형성하는 동작(530)으로 계속된다. 위에서 논의된 바와 같이, 일부 실시예들에서, 저융점 상부 층 및 고융점 하부 층은 실질적으로 유사한 화학량론을 갖지만 상이한 미세 구조를 갖는 물질을 포함한다. 예를 들어, 저융점 상부 층은 비정질이고 고융점 하부 층은 단결정이다. 이 경우, 두 층 사이의 융점 차이는 두 층의 상이한 미세 구조에 기인한다. 일부 실시예들에서, 저융점 상부 층 및 고융점 하부 층은 상이한 화학량론을 갖지만 실질적으로 유사한 미세 구조를 갖는 물질을 포함한다. 예를 들어, 저융점 상부 층 및 고융점 하부 층 양자 모두는 단결정 층 또는 다결정 층 또는 비정질 층이다. 이 경우, 두 층 사이의 융점 차이는 두 층의 상이한 화학량론에 기인한다. 일부 실시예들에서, 고융점 하부 층과 저융점 상부 층 사이의 융점 차이는 약 200 K보다 크다. 일부 실시예들에서, 저융점 상부 층은 핀(600)과 같은 주변 구조물보다 낮은 융점을 갖는다. 일부 실시예들에서, 핀(600) 및 고융점 하부 층은 실질적으로 유사한 융점을 갖는다.Referring to FIG. 5 , method 500 continues with operation 530 of forming a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom bottom layer on recessed fin portion 700 . As discussed above, in some embodiments, the low-melting upper layer and the higher-melting lower layer include materials having substantially similar stoichiometry but different microstructures. For example, the lower melting point layer is amorphous and the lower melting point layer is monocrystalline. In this case, the melting point difference between the two layers is due to the different microstructures of the two layers. In some embodiments, the low-melting top layer and high-melting bottom layer include materials with different stoichiometry but substantially similar microstructures. For example, both the low-melting top layer and the high-melting bottom layer are monocrystalline layers or polycrystalline layers or amorphous layers. In this case, the difference in melting point between the two layers is due to the different stoichiometry of the two layers. In some embodiments, the melting point difference between the high melting point lower layer and the lower melting point layer is greater than about 200 K. In some embodiments, the low-melting top layer has a lower melting point than the surrounding structure, such as fin 600 . In some embodiments, fin 600 and the refractory underlying layer have substantially similar melting points.

비제한적인 예로서, 도 8은 소스/드레인 에피택셜 스택(800)을 형성한 이후의 결과적인 구조물의 x 컷 보기 및 y 컷 보기를 도시한다. 도 8의 y 컷 보기는 y 방향에서 x 컷의 라인 E-F를 따른 결과적인 구조물을 도시하고, 도 8의 x 컷 보기는 x 방향에서 y 컷의 라인 C-D를 따른 결과적인 구조물을 도시한다. 일부 실시예들에서, 소스/드레인 에피택셜 스택(800)은 도 8의 y 컷에 도시된 바와 같이 다이아몬드 형상을 갖는다. 소스/드레인 에피택셜 스택(800)은 저융점 상부 층(810) 및 고융점 하부 층(820)을 포함한다. 일부 실시예들에서, 고융점 하부 층은 간략함을 위해 도 8의 도면에 도시되지 않은 추가의 에피택셜 층을 포함할 수 있다. 비제한적인 예로서, 저융점 상부 층(810) 및 고융점 하부 층(820)은 450 ℃ 내지 800 ℃의 온도 범위 및 약 20 Torr 내지 약 400 Torr의 공정 압력에서 CVD 공정에 의해 성장된다. 일부 실시예들에서, 저온 범위(예를 들어, 약 450 ℃ 내지 약 600 ℃)와 고압 범위(예를 들어, 약 300 Torr 내지 약 400 Torr)의 조합은 비정질 또는 다결정 층을 생성하는 반면, 고온 범위(약 600 ℃ 내지 약 800 ℃)와 저압 범위(예를 들어, 약 20 Torr 내지 약 300 Torr)의 조합은 단결정 층을 생성한다. 일부 실시예들에서, 저융점 상부 층(810)과 소스/드레인 에피택셜 스택(800) 사이의 두께 비율은 약 0.3 내지 약 0.75(예를 들어, 0.30 ≤ T1/T ≤ 0.75)이다. 일부 실시예들에서, 레이저 어닐링 공정은 저융점 상부 층(810) 및 고융점 하부 층(820)의 두께를 변화시키지 않는다.As a non-limiting example, FIG. 8 shows an x-cut view and a y-cut view of the resulting structure after forming the source/drain epitaxial stack 800 . The y-cut view of FIG. 8 shows the resulting structure along line E-F of the x-cut in the y-direction, and the x-cut view of FIG. 8 shows the resulting structure along line C-D of the y-cut in the x-direction. In some embodiments, the source/drain epitaxial stack 800 has a diamond shape as shown in the y-cut of FIG. 8 . The source/drain epitaxial stack 800 includes a low melting point upper layer 810 and a high melting point lower layer 820 . In some embodiments, the refractory lower layer may include an additional epitaxial layer not shown in the diagram of FIG. 8 for simplicity. As a non-limiting example, the low-melting top layer 810 and high-melting bottom layer 820 are grown by a CVD process at a temperature range of 450 °C to 800 °C and a process pressure of about 20 Torr to about 400 Torr. In some embodiments, a combination of a low temperature range (eg, about 450 °C to about 600 °C) and a high pressure range (eg, about 300 Torr to about 400 Torr) produces an amorphous or polycrystalline layer, while a high temperature range The combination of the range (about 600° C. to about 800° C.) and the low pressure range (eg, about 20 Torr to about 300 Torr) produces a single crystal layer. In some embodiments, the thickness ratio between the low-melting top layer 810 and the source/drain epitaxial stack 800 is between about 0.3 and about 0.75 (eg, 0.30 ≤ T1/T ≤ 0.75). In some embodiments, the laser annealing process does not change the thickness of the low melting point top layer 810 and the high melting point bottom layer 820 .

일부 실시예들에서, 소스/드레인 에피택셜 스택(800)은 약 20 % 내지 약 40 %의 Ge 농도를 갖는 B 도핑된 SiGe 스택, P 도핑된 SiC 스택 또는 P 도핑된 SiP 스택일 수 있다. 일부 실시예들에서, 저융점 상부 층(810)의 도펀트 농도는 고융점 하부 층(820)의 도펀트 농도(예를 들어, 약 1×1021 cm-3)와 실질적으로 유사하다.In some embodiments, the source/drain epitaxial stack 800 may be a B doped SiGe stack, a P doped SiC stack, or a P doped SiP stack having a Ge concentration of about 20% to about 40%. In some embodiments, the dopant concentration of the lower melting point layer 810 is substantially similar to the dopant concentration of the lower melting point layer 820 (eg, about 1×10 21 cm −3 ).

도 5 및 도 8을 참조하면, 방법(500)은 레이저 어닐링 공정이 소스/드레인 에피택셜 스택(800)을 어닐링하여 도펀트를 활성화시키는 동작(540)으로 계속된다. 일부 실시예들에서, 용융된 전면이 통과 레이저 빔(830)에 의해 저융점 상부 층(810)에서 선택적으로 형성된다. 이 공정의 결과로서, 저융점 상부 층(810)은 약 1×1021 cm-3의 활성화된 도펀트 농도(예를 들어, 약 100 % 활성화 속도)를 획득할 수 있다. 일부 실시예들에서, 레이저 어닐링 공정의 결과로서, 고융점 하부 층(820)은 저융점 상부 층(810)보다 낮은 활성화된 도펀트 농도(예를 들어, 약 10 %의 활성화 속도)를 갖는다. 예를 들어, 고융점 하부 층(820)의 활성화된 도펀트 농도는 약 3×1018 cm-3 내지 약 1×1020 cm-3의 범위일 수 있다. 일부 실시예들에서, 소스/드레인 에피택셜 스택 외부의 도펀트의 확산은 도 3과 관련하여 앞서 논의된 바와 같이 방지된다.5 and 8 , the method 500 continues with operation 540 where the laser annealing process anneals the source/drain epitaxial stack 800 to activate dopants. In some embodiments, a molten front is selectively formed in low-melting top layer 810 by passing laser beam 830 . As a result of this process, the low-melting top layer 810 can attain an activated dopant concentration of about 1×10 21 cm −3 (eg, about 100% activation rate). In some embodiments, as a result of the laser annealing process, the high-melting bottom layer 820 has a lower activated dopant concentration than the low-melting top layer 810 (eg, an activation rate of about 10%). For example, the activated dopant concentration of the refractory lower layer 820 may range from about 3×10 18 cm −3 to about 1×10 20 cm −3 . In some embodiments, diffusion of the dopant outside the source/drain epitaxial stack is prevented as discussed above with respect to FIG. 3 .

일부 실시예들에서, 저융점 상부 층(810)이 성막된 상태의 비정질 층인 경우, 레이저 어닐링 공정은 저융점 상부 층(810)을 재결정화한다. 또한, 재결정화된 저융점 상부 층은 고융점 하부 층(820)에 비해 더 높은 결함 밀도(예를 들어, 약 두자릿수 이상)를 갖는다. 일부 실시예들에서, 저융점 상부 층(810)과 고융점 하부 층(820) 사이의 계면은 TEM 이미징을 통해 볼 수 있는 거친(예를 들어, 비평면 또는 굵은) 표면 지형을 갖는다. 일부 실시예들에서, 재결정화된 저융점 상부 층은 압축 변형을 발생시키는 반면, 고융점 하부 층(820)은 인장 변형 또는 재결정화된 저융점 상부 층과 비교하여 더 적은 압축 변형을 갖는다. In some embodiments, when the low-melting upper layer 810 is an amorphous layer in an as-deposited state, the laser annealing process recrystallizes the low-melting upper layer 810 . In addition, the recrystallized low-melting upper layer has a higher defect density (eg, about two orders of magnitude higher) than the high-melting lower layer 820 . In some embodiments, the interface between the lower melting point layer 810 and the lower melting point layer 820 has a rough (eg, non-planar or coarse) surface topography visible via TEM imaging. In some embodiments, the recrystallized low-melting top layer develops compressive strain, while the high-melting bottom layer 820 has less compressive strain compared to the tensile strain or recrystallized low-melting top layer.

도 5를 참조하면, 방법(500)은 소스/드레인 에피택셜 스택(800) 상에 콘택트를 형성하는 동작(550)으로 완료된다. 비제한적인 예로서, 콘택트는 다음과 같이 형성될 수 있다. 도 9를 참조하면, 유전체 층(900)이 유전체 층(620) 상에 성막되고, 이어서 유전체 층(900)의 상부 표면이 게이트 구조물(630)의 상부 표면과 실질적으로 동일 평면에 있도록 연마된다. 일부 실시예들에서, 희생 게이트 전극(630A) 및 희생 게이트 유전체(630B)가 각각 금속 게이트 전극 스택(910) 및 게이트 유전체 스택(920)으로 대체된다. 일부 실시예들에서, 게이트 전극 스택(910)은 일 함수 층(예를 들어, 하나 이상의 티타늄 질화물 층), 장벽 층(예컨대, 탄탈룸 질화물 층), 금속 충전 층(예를 들어, 텅스텐 금속 충전)과 같은 금속성 층을 포함하며, 이들은 간략화를 위해 도 9에 도시되지 않았다. 일부 실시예들에서, 게이트 유전체 스택(920)은 계면 유전체 층(예를 들어, 실리콘 산화물) 및 약 3.9보다 큰 유전 상수를 갖는 고유전율 유전체 층(예를 들어, 하프늄 산화물)을 포함하며, 양자 모두는 간략화를 위해 도 9에 도시되지 않았다.Referring to FIG. 5 , method 500 completes with operation 550 of forming contacts on source/drain epitaxial stack 800 . As a non-limiting example, a contact may be formed as follows. Referring to FIG. 9 , dielectric layer 900 is deposited on dielectric layer 620 , and then a top surface of dielectric layer 900 is polished to be substantially coplanar with a top surface of gate structure 630 . In some embodiments, sacrificial gate electrode 630A and sacrificial gate dielectric 630B are replaced with metal gate electrode stack 910 and gate dielectric stack 920, respectively. In some embodiments, the gate electrode stack 910 may include a work function layer (eg, one or more titanium nitride layers), a barrier layer (eg, a tantalum nitride layer), a metal fill layer (eg, tungsten metal fill). , which are not shown in FIG. 9 for simplicity. In some embodiments, gate dielectric stack 920 includes an interfacial dielectric layer (eg, silicon oxide) and a high-k dielectric layer having a dielectric constant greater than about 3.9 (eg, hafnium oxide), both All are not shown in FIG. 9 for simplicity.

일부 실시예들에서, 레이저 어닐링된 저융점 상부 층(810)을 노출시키기 위해 유전체 층(900)에 콘택트 개구가 형성된다. 레이저 어닐링된 저융점 상부 층(810)이 노출되면, 실리사이드(930)가 레이저 어닐링된 저융점 상부 층(810)의 상부 표면 상에 형성될 수 있다. 일부 실시예들에서, 실리사이드(930)는 티타늄, 백금, 니켈, 임의의 다른 적합한 금속 또는 이들의 조합을 포함한다. 일부 실시예들에서, 레이저 어닐링된 저융점 상부 층(810)의 일부가 실리사이드(930)를 형성하기 위해 소비된다. 이어서, 콘택트 개구는 티타늄 질화물과 같은 라이너 층으로 코팅된다. 라이너 층은 간략화를 위해 도 9에 도시되지 않았다. 라이너 층은 금속 충전물(940)에 대한 접착 및 장벽 층으로서 기능 한다. 일부 실시예들에서, 금속 충전물(940) 및 라이너 층(도 10에 도시되지 않음)은 유전체 층(900)의 상부 표면으로부터 성막된 물질을 제거하고 콘택트(950)를 형성하도록 평탄화된다.In some embodiments, a contact opening is formed in the dielectric layer 900 to expose the laser annealed low melting point top layer 810 . When the laser annealed low-melting upper layer 810 is exposed, a silicide 930 may be formed on the upper surface of the laser annealed low-melting upper layer 810 . In some embodiments, silicide 930 includes titanium, platinum, nickel, any other suitable metal or combination thereof. In some embodiments, a portion of the laser annealed low melting point top layer 810 is consumed to form silicide 930 . The contact opening is then coated with a liner layer such as titanium nitride. The liner layer is not shown in FIG. 9 for simplicity. The liner layer functions as a barrier layer and adhesion to the metal fill 940 . In some embodiments, metal fill 940 and liner layer (not shown in FIG. 10 ) are planarized to remove deposited material from the top surface of dielectric layer 900 and form contact 950 .

일부 실시예들에서, 방법(500)은 도 6 내지 도 9에 도시된 것과 상이한 트랜지스터 구조물에 적용될 수 있다. 예를 들어, 도 6을 참조하면, 유전체 층(620)은 도 10의 x 컷에 도시된 바와 같이 그 상부 표면이 게이트 구조물(630)의 상부 표면과 동일 평면에 있도록 성장될 수 있다. 일부 실시예들에서, 도 10에 도시된 트랜지스터 구조물은 도 6에 도시된 트랜지스터 구조물의 변형일 수 있다. 도 10의 트랜지스터 구조물에서, 유전체 층(620)은 핀(600)의 측벽 및 상부 표면 및 게이트 구조물(630)의 스페이서 층(630C)을 커버할 수 있다. 이 예시적인 트랜지스터 구조물에서, 핀(600)은 도 11의 x 컷에 도시된 바와 같이 게이트 구조물(630)과 유전체 층(620) 사이에서 도 5의 동작(520)에 따라 리세스된다. 예를 들어, 게이트 스택(630)에 의해 커버되지 않은 핀(600)의 일부를 노출시키기 위해, 유전체 층(620)의 개구가 게이트 스택(630)의 양측 상에 형성될 수 있다. 도 11의 y 컷은 도 11의 x 컷의 라인 E-F를 따른 구조물의 보기를 도시한다. 비제한적인 예로서, 이것은 포토 리소그래피 및 에칭 동작으로 달성될 수 있다. 이어서, 핀(600)은 도 7에 설명된 바와 같이 리세스될 수 있다. 방법(500)의 다른 동작들(예를 들어, 530 내지 550)은 변경 없이 수행된다.In some embodiments, method 500 may be applied to a transistor structure different from that shown in FIGS. 6-9. For example, referring to FIG. 6 , dielectric layer 620 may be grown so that its top surface is flush with the top surface of gate structure 630 , as shown in the x-cut of FIG. 10 . In some embodiments, the transistor structure shown in FIG. 10 may be a variation of the transistor structure shown in FIG. 6 . In the transistor structure of FIG. 10 , dielectric layer 620 may cover sidewalls and top surfaces of fin 600 and spacer layer 630C of gate structure 630 . In this exemplary transistor structure, fin 600 is recessed according to operation 520 of FIG. 5 between gate structure 630 and dielectric layer 620 as shown in cut x in FIG. 11 . For example, openings in dielectric layer 620 may be formed on both sides of gate stack 630 to expose portions of fin 600 that are not covered by gate stack 630 . The y-cut in FIG. 11 shows a view of the structure along line E-F of the x-cut in FIG. 11 . As a non-limiting example, this can be accomplished with a photolithography and etching operation. Pin 600 may then be recessed as described in FIG. 7 . Other operations of method 500 (eg, 530-550) are performed without modification.

본 명세서에 기술된 실시예들은 저융점 상부 층 및 고융점 하부 층을 갖는 소스/드레인 에피택셜 스택의 형성에 관한 것이다. 일부 실시예들에서, 저융점 상부 층 및 고융점 하부 층은 실질적으로 유사한 화학량론을 갖지만 상이한 미세 구조를 갖는 물질을 포함한다. 예를 들어, 저융점 상부 층은 비정질일 수 있고 고융점 하부 층은 단결정일 수 있다. 이러한 경우, 두 층 사이의 융점 차이는 두 층 사이의 상이한 미세 구조에 기인한다. 다른 실시예들에서, 저융점 상부 층 및 고융점 하부 층은 상이한 화학량론을 갖지만 실질적으로 유사한 미세 구조를 갖는 물질을 포함한다. 예를 들어, 저융점 상부 층 및 고융점 하부 층 양자 모두는 단결정 층 또는 다결정 층 또는 비정질 층일 수 있다. 이 경우, 두 층 사이의 융점 차이는 두 층 사이의 상이한 화학량론에 기인한다. 일부 실시예들에 따르면, 고융점 하부 층과 저융점 상부 층 사이의 융점 차이는 그 기원(예를 들어, 미세 구조 또는 화학량론)에 관계없이 200 K보다 크다. 일부 실시예들에서, 레이저 어닐링 공정 후, 저융점 상부 층 및 고융점 하부 층은 상이한 변형 유형 및/또는 상이한 변형 크기를 가질 수 있다. 일부 실시예들에서, 낮은 성장 온도(예를 들어, 약 450 ℃ 내지 약 600 ℃)와 높은 성장 압력(예를 들어, 약 300 Torr 내지 약 400 Torr)의 조합은 비정질 또는 다결정 층을 생성하는 반면, 높은 성장 온도(약 600 ℃ 내지 약 800 ℃)와 낮은 성장 압력(예를 들어, 약 20 Torr 내지 약 300 Torr)의 조합은 단결정 층을 생성한다. 일부 실시예들에 따르면, 저융점 상부 층과 소스/드레인 에피택셜 스택 사이의 두께 비율은 약 0.3 내지 0.75(예를 들어, 0.3 ≤ 두께 비율 ≤ 0.75)이다. 일부 실시예들에서, 레이저 어닐링 공정은 저융점 상부 층 및 고융점 하부 층의 두께를 실질적으로 변화시키지 않는다. 일부 실시예들에서, 저융점 상부 층은 반도체 핀 또는 반도체 기판과 같은 주변 구조물보다 낮은 융점을 가지므로, 레이저 어닐링 공정 동안 핀 변형이 방지될 수 있다. 일부 실시예들에서, 성막된 상태의 비정질 저융점 상부 층은 레이저 어닐링 공정의 결과로서 재결정화되고, 고융점 하부 층과 비교하여 더 높은 결함 밀도를 발생시킨다. 또한, 레이저 어닐링 공정의 결과로서, 저융점 상부 층은 고융점 하부 층보다 더 높은 활성화된 도펀트 농도를 나타낸다.Embodiments described herein relate to the formation of a source/drain epitaxial stack having a low-melting top layer and a high-melting bottom layer. In some embodiments, the low-melting top layer and high-melting bottom layer include materials with substantially similar stoichiometry but different microstructures. For example, the lower melting point layer may be amorphous and the lower melting point layer may be monocrystalline. In this case, the melting point difference between the two layers is due to the different microstructures between the two layers. In other embodiments, the lower melting point layer and the lower melting point layer include materials having different stoichiometry but substantially similar microstructures. For example, both the low-melting top layer and the high-melting bottom layer can be monocrystalline layers or polycrystalline layers or amorphous layers. In this case, the difference in melting point between the two layers is due to the different stoichiometry between the two layers. According to some embodiments, the melting point difference between the high-melting bottom layer and the low-melting top layer, regardless of their origin (eg, microstructure or stoichiometry), is greater than 200 K. In some embodiments, after the laser annealing process, the low-melting top layer and high-melting bottom layer can have different strain types and/or different strain sizes. In some embodiments, a combination of low growth temperature (eg, about 450 °C to about 600 °C) and high growth pressure (eg, about 300 Torr to about 400 Torr) produces an amorphous or polycrystalline layer, while , the combination of a high growth temperature (about 600 °C to about 800 °C) and a low growth pressure (eg, about 20 Torr to about 300 Torr) produces a single crystal layer. According to some embodiments, the thickness ratio between the low-melting top layer and the source/drain epitaxial stack is between about 0.3 and 0.75 (eg, 0.3 < thickness ratio < 0.75). In some embodiments, the laser annealing process does not substantially change the thickness of the low-melting top layer and high-melting bottom layer. In some embodiments, since the low melting point upper layer has a lower melting point than a surrounding structure such as a semiconductor fin or a semiconductor substrate, deformation of the fin may be prevented during the laser annealing process. In some embodiments, the as-deposited amorphous low-melting top layer recrystallizes as a result of the laser annealing process, resulting in a higher defect density compared to the high-melting bottom layer. Also, as a result of the laser annealing process, the low-melting top layer exhibits a higher activated dopant concentration than the high-melting bottom layer.

일부 실시예들에서, 반도체 구조물은 기판 상에 배치된 핀을 포함하고, 핀 및 기판은 반도체 물질을 포함한다. 반도체 구조물은 핀 상에 배치된 게이트 구조물을 더 포함하고, 게이트 구조물은 핀의 측벽 표면의 일부를 둘러싼다. 또한, 반도체 구조물은 핀의 일부에 형성되고 게이트 구조물에 인접한 리세스; 및 리세스 내에 배치된 소스/드레인 에피택셜 스택으로서, 하부 층과 하부 층보다 활성화된 도펀트 농도가 더 높은 상부 층을 갖는 것인, 소스/드레인 에피택셜 스택을 포함한다. 마지막으로, 반도체 구조물은 소스/드레인 에피택셜 스택의 상부 층 상에 배치되고 게이트 구조물에 인접한 콘택트를 포함한다.In some embodiments, the semiconductor structure includes a fin disposed on a substrate, and the fin and the substrate include a semiconductor material. The semiconductor structure further includes a gate structure disposed on the fin, the gate structure surrounding a portion of a sidewall surface of the fin. In addition, the semiconductor structure may include a recess formed in a portion of the fin and adjacent to the gate structure; and a source/drain epitaxial stack disposed within the recess, the source/drain epitaxial stack having a lower layer and an upper layer having a higher activated dopant concentration than the lower layer. Finally, a semiconductor structure is disposed on the upper layer of the source/drain epitaxial stack and includes a contact adjacent to the gate structure.

일부 실시예들에서, 방법은 기판 상에 핀을 형성하는 단계; 핀의 상부 표면의 일부 및 핀의 측벽 표면의 일부를 둘러싸는 희생 게이트 구조물을 핀 상에 형성하는 단계; 희생 게이트 구조물에 의해 커버되지 않은 핀의 일부를 리세스하는 단계; 핀의 리세스된 부분에 소스/드레인 에피택셜 스택을 형성하는 단계를 포함하고, 소스/드레인 에피택셜 스택을 형성하는 단계는 결정질 미세 구조를 갖는 하부 층을 성장시키는 단계 및 하부 층 상에 비정질 미세 구조를 갖는 상부 층을 성장시키는 단계를 포함하고, 여기서 상부 층은 하부 층과는 상이한 융점을 갖는다. 상기 방법은 상부 층에 용융된 전면을 형성하기 위해 레이저로 소스/드레인 에피택셜 스택을 어닐링하는 단계를 더 포함한다.In some embodiments, a method includes forming a fin on a substrate; forming a sacrificial gate structure on the fin surrounding a portion of a top surface of the fin and a portion of a sidewall surface of the fin; recessing a portion of the fin not covered by the sacrificial gate structure; Forming a source/drain epitaxial stack in the recessed portion of the fin, wherein forming the source/drain epitaxial stack includes growing an underlying layer having a crystalline microstructure and an amorphous microstructure on the underlying layer. growing a top layer having a structure, wherein the top layer has a different melting point than the bottom layer. The method further includes annealing the source/drain epitaxial stack with a laser to form a molten front in the top layer.

일부 실시예들에서, 방법은 기판 상에 핀을 형성하고 핀 상에 게이트 구조물을 형성하는 단계를 포함한다. 상기 방법은 게이트 구조물에 의해 커버되지 않은 핀의 일부를 리세스하는 단계, 및 핀의 리세스된 부분 상에 소스/드레인 에피택셜 스택을 형성하는 단계를 더 포함하고; 소스/드레인 에피택셜 스택을 형성하는 단계는 제1 도펀트를 갖는 제1 층을 성막하는 단계 및 제2 도펀트를 갖는 제2 층을 성막하는 단계를 포함하고, 여기서 제2 층은 제1 층 상에 배치되고 제1 층보다 낮은 융점을 갖는다. 상기 방법은 또한 제1 층 및 제2 층에서 제1 도펀트 및 제2 도펀트를 활성화시키기 위해 소스/드레인 에피택셜 스택을 어닐링 소스에 노출시키는 단계를 포함한다.In some embodiments, a method includes forming a fin on a substrate and forming a gate structure on the fin. The method further includes recessing a portion of the fin not covered by the gate structure, and forming a source/drain epitaxial stack on the recessed portion of the fin; Forming the source/drain epitaxial stack includes depositing a first layer having a first dopant and depositing a second layer having a second dopant, wherein the second layer is over the first layer. and has a lower melting point than the first layer. The method also includes exposing the source/drain epitaxial stack to an anneal source to activate the first and second dopants in the first layer and the second layer.

1) 본 개시의 실시형태에 따른 반도체 구조물은, 기판 상에 배치된 핀 - 상기 핀 및 상기 기판은 반도체 물질을 포함함 - ; 상기 핀 상에 배치된 게이트 구조물 - 상기 게이트 구조물은 상기 핀의 측벽 표면의 일부를 둘러쌈 - ; 상기 게이트 구조물에 인접한 상기 핀의 일부에 형성되는 리세스; 상기 리세스 내에 배치된 소스/드레인 에피택셜 스택으로서, 하부 층; 및 상기 하부 층보다 활성화된 도펀트 농도가 더 높은 상부 층을 포함하는 것인, 상기 소스/드레인 에피택셜 스택; 및 상기 소스/드레인 에피택셜 스택의 상기 상부 층 상에 배치되고 상기 게이트 구조물에 인접한 콘택트를 포함한다.1) A semiconductor structure according to an embodiment of the present disclosure includes a fin disposed on a substrate, wherein the fin and the substrate include a semiconductor material; a gate structure disposed on the fin, the gate structure surrounding a portion of a sidewall surface of the fin; a recess formed in a portion of the fin adjacent to the gate structure; a source/drain epitaxial stack disposed within the recess, comprising: a bottom layer; and an upper layer having a higher activated dopant concentration than the lower layer; and a contact disposed on the upper layer of the source/drain epitaxial stack and adjacent to the gate structure.

2) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 상부 층은 약 100 %의 활성화된 도펀트 농도를 갖고, 상기 하부 층은 약 10 %의 활성화된 도펀트 농도를 갖는다.2) In the semiconductor structure according to an embodiment of the present disclosure, the upper layer has an activated dopant concentration of about 100%, and the lower layer has an activated dopant concentration of about 10%.

3) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 상부 층은 상기 하부 층보다 두자릿수 더 높은 결함 밀도를 갖는다.3) In the semiconductor structure according to the embodiment of the present disclosure, the upper layer has a defect density two orders of magnitude higher than that of the lower layer.

4) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 상부 층의 두께는 상기 소스/드레인 에피택셜 스택의 두께의 약 30 % 내지 약 75 %이다.4) In the semiconductor structure according to an embodiment of the present disclosure, the thickness of the upper layer is about 30% to about 75% of the thickness of the source/drain epitaxial stack.

5) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 상부 층은 상기 하부 층보다 높은 압축 응력을 상기 핀에 유도한다.5) In the semiconductor structure according to the embodiment of the present disclosure, the upper layer induces a higher compressive stress to the pin than the lower layer.

6) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 상부 층은 약 1×1021 cm-3의 활성화된 도펀트 농도를 갖는다.6) In the semiconductor structure according to an embodiment of the present disclosure, the upper layer has an activated dopant concentration of about 1×10 21 cm −3 .

7) 본 개시의 실시형태에 따른 반도체 구조물에 있어서, 상기 하부 층 및 상기 상부 층 각각은 붕소 도핑된 실리콘-게르마늄, 인 도핑된 실리콘-탄소, 또는 인 도핑된 실리콘-인을 포함한다.7) In the semiconductor structure according to an embodiment of the present disclosure, each of the lower layer and the upper layer includes boron-doped silicon-germanium, phosphorus-doped silicon-carbon, or phosphorus-doped silicon-phosphorus.

8) 본 개시의 다른 실시형태에 따른 방법은, 기판 상에 핀을 형성하는 단계; 상기 핀 상에 희생 게이트 구조물을 형성하는 단계 - 상기 희생 게이트 구조물은 상기 핀의 상부 표면의 일부 및 상기 핀의 측벽 표면의 일부를 둘러쌈 - ; 상기 희생 게이트 구조물에 의해 커버되지 않은 상기 핀의 일부를 리세스하는 단계; 상기 핀의 리세스된 부분에 소스/드레인 에피택셜 스택을 형성하는 단계로서, 상기 소스/드레인 에피택셜 스택을 형성하는 단계는, 결정질 미세 구조를 갖는 하부 층을 성장시키는 단계; 및 상기 하부 층 상에 비정질 미세 구조를 갖는 상부 층을 성장시키는 단계를 포함하고, 상기 상부 층은 상기 하부 층과는 상이한 융점을 갖는 것인, 상기 소스/드레인 에피택셜 스택을 형성하는 단계; 및 상기 상부 층에 용융된 전면을 형성하기 위해 레이저로 상기 소스/드레인 에피택셜 스택을 어닐링하는 단계를 포함한다.8) A method according to another embodiment of the present disclosure includes forming fins on a substrate; forming a sacrificial gate structure on the fin, the sacrificial gate structure surrounding a portion of a top surface of the fin and a portion of a sidewall surface of the fin; recessing a portion of the fin not covered by the sacrificial gate structure; forming a source/drain epitaxial stack in the recessed portion of the fin, wherein forming the source/drain epitaxial stack comprises: growing an underlying layer having a crystalline microstructure; and growing an upper layer having an amorphous microstructure on the lower layer, wherein the upper layer has a different melting point than the lower layer; and annealing the source/drain epitaxial stack with a laser to form a molten front surface in the top layer.

9) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 어닐링하는 단계는, 상기 상부 층을 재결정화하는 단계를 포함한다.9) In the method according to another embodiment of the present disclosure, the annealing step includes recrystallizing the upper layer.

10) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 어닐링하는 단계 후에, 상기 상부 층은 상기 하부 층보다 단위 면적당 약 두자릿수 더 많은 결함을 갖는다.10) In the method according to another embodiment of the present disclosure, after the annealing step, the upper layer has about two orders of magnitude more defects per unit area than the lower layer.

11) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 어닐링하는 단계 후에, 상기 상부 층은 상기 하부 층보다 높은 압축 응력을 갖는다.11) In the method according to another embodiment of the present disclosure, after the annealing step, the upper layer has a higher compressive stress than the lower layer.

12) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 상부 층을 성장시키는 단계는, 상기 소스/드레인 에피택셜 스택의 두께의 30 % 내지 75 %의 두께로 상기 상부 층을 성장시키는 단계를 포함한다.12) In a method according to another embodiment of the present disclosure, growing the upper layer includes growing the upper layer to a thickness of 30% to 75% of the thickness of the source/drain epitaxial stack. do.

13) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 하부 층과 상기 상부 층을 성장시키는 단계는, 약 200 K보다 큰 상기 하부 층과 상기 상부 층 사이의 융점 차이를 획득하는 단계를 포함한다.13) In a method according to another embodiment of the present disclosure, growing the lower layer and the upper layer includes obtaining a melting point difference between the lower layer and the upper layer greater than about 200 K. .

14) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층의 비정질 미세 구조를 결정질 미세 구조로 변환시키는 단계를 포함한다.14) In the method according to another embodiment of the present disclosure, the step of annealing the source/drain epitaxial stack includes converting an amorphous microstructure of the upper layer into a crystalline microstructure.

15) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층을 상기 하부 층보다 단위 면적당 결함 밀도가 더 높은 결정질 층으로 변환시키는 단계를 포함한다.15) In a method according to another embodiment of the present disclosure, annealing the source/drain epitaxial stack includes converting the upper layer into a crystalline layer having a higher defect density per unit area than the lower layer. do.

16) 본 개시의 다른 실시형태에 따른 방법에 있어서, 상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층을 상기 하부 층보다 활성화된 도펀트 농도가 더 높은 결정질 층으로 변환시키는 단계를 포함한다.16) In a method according to another embodiment of the present disclosure, annealing the source/drain epitaxial stack includes converting the upper layer to a crystalline layer having a higher activated dopant concentration than the lower layer. do.

17) 본 개시의 또 다른 실시형태에 따른 방법은, 기판 상에 핀을 형성하는 단계; 상기 핀 상에 게이트 구조물을 형성하는 단계; 상기 게이트 구조물에 의해 커버되지 않은 상기 핀의 일부를 리세스하는 단계; 및 상기 핀의 리세스된 부분 상에 소스/드레인 에피택셜 스택을 형성하는 단계로서, 상기 소스/드레인 에피택셜 스택을 형성하는 단계는, 제1 도펀트를 포함하는 제1 층을 성막하는 단계; 및 제2 도펀트를 포함하는 제2 층을 성막하는 단계를 포함하고, 상기 제2 층은 상기 제1 층 상에 배치되고 상기 제1 층보다 낮은 융점을 갖는 것인, 상기 소스/드레인 에피택셜 스택을 형성하는 단계; 및 상기 제1 층 및 상기 제2 층에서 상기 제1 도펀트 및 상기 제2 도펀트를 활성화시키기 위해 상기 소스/드레인 에피택셜 스택을 어닐링 소스에 노출시키는 단계를 포함한다.17) A method according to another embodiment of the present disclosure includes forming fins on a substrate; forming a gate structure on the fin; recessing a portion of the fin not covered by the gate structure; and forming a source/drain epitaxial stack on the recessed portion of the fin, wherein forming the source/drain epitaxial stack comprises: depositing a first layer comprising a first dopant; and depositing a second layer comprising a second dopant, wherein the second layer is disposed on the first layer and has a lower melting point than the first layer. forming a; and exposing the source/drain epitaxial stack to an annealing source to activate the first dopant and the second dopant in the first layer and the second layer.

18) 본 개시의 또 다른 실시형태에 따른 방법에 있어서, 상기 소스/드레인 에피택셜 스택을 어닐링 소스에 노출시키는 단계는, 상기 제2 층에서 상기 제2 도펀트를 활성화시키는 단계 및 상기 제1 층에서 상기 제1 도펀트의 일부를 활성화시키는 단계를 포함한다.18) In the method according to another embodiment of the present disclosure, exposing the source/drain epitaxial stack to an annealing source comprises activating the second dopant in the second layer and in the first layer and activating a portion of the first dopant.

19) 본 개시의 또 다른 실시형태에 따른 방법에 있어서, 상기 제1 층 및 상기 제2 층을 성막하는 단계는, 실질적으로 유사한 미세 구조 및 실질적으로 상이한 화학량론을 갖는 상기 제1 층 및 상기 제2 층을 형성하는 단계를 포함한다.19) In the method according to another embodiment of the present disclosure, the forming of the first layer and the second layer may include the first layer and the second layer having substantially similar microstructures and substantially different stoichiometry. Forming the second layer.

20) 본 개시의 또 다른 실시형태에 따른 방법에 있어서, 상기 제1 층 및 상기 제2 층을 성막하는 단계는, 실질적으로 상이한 미세 구조 및 실질적으로 유사한 화학량론을 갖는 상기 제1 층 및 상기 제2 층을 형성하는 단계를 포함한다.20) In the method according to another embodiment of the present disclosure, the forming of the first layer and the second layer may include the first layer and the second layer having substantially different microstructures and substantially similar stoichiometry. Forming the second layer.

본 개시의 요약 섹션이 아닌 상세한 설명 섹션이 청구항을 해석하기 위해 사용되는 것으로 이해되어야 한다. 본 개시의 요약 섹션은 발명자(들)에 의해 고려되는 바와 같이 본 개시의 모든 가능한 실시예들이 아닌 하나 이상의 실시예들을 제시할 수 있으며, 따라서 하위 청구항을 어떤 식으로든 제한하려는 것은 아니다.It should be understood that the Detailed Description section, rather than the Summary section of this disclosure, is used to interpret the claims. The summary section of this disclosure may present one or more embodiments, but not all possible embodiments of this disclosure as contemplated by the inventor(s), and is therefore not intended to limit the subclaims in any way.

본 개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 전술된 개시는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 개시를 자신들이 손쉽게 이용할 수 있다는 것을 알 것이다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 개시의 사상과 범위를 이탈하지 않는다는 것과, 본 개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.The foregoing disclosure has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the disclosure. Those skilled in the art will realize that they can readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. will know Those skilled in the art also know that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications can be made by those skilled in the art in the present invention without departing from the spirit and scope of the present disclosure. You have to realize that you can do it.

Claims (10)

방법에 있어서,
기판 상에 핀을 형성하는 단계;
상기 핀 상에 희생 게이트 구조물을 형성하는 단계 - 상기 희생 게이트 구조물은 상기 핀의 상부 표면의 일부 및 상기 핀의 측벽 표면의 일부를 둘러쌈 - ;
상기 희생 게이트 구조물에 의해 커버되지 않은 상기 핀의 일부를 리세스하는 단계;
상기 핀의 리세스된 부분 내에 소스/드레인 에피택셜 스택을 형성하는 단계로서, 상기 소스/드레인 에피택셜 스택을 형성하는 단계는,
650 ℃ 내지 800 ℃의 온도 및 20 Torr 내지 300 Torr의 압력에서 결정질 미세 구조(microstructure)를 갖는 하부 층을 성장시키는 단계; 및
450 ℃ 내지 600 ℃의 온도 및 300 Torr 내지 400 Torr의 압력에서 상기 하부 층 상에 비정질 미세 구조를 갖는 상부 층을 성장시키는 단계
를 포함하고, 상기 상부 층은 상기 하부 층보다 낮은 융점을 갖고, 상기 상부 층과 상기 하부 층은 동일한 화학량론을 갖고 상이한 미세 구조를 갖는 물질을 포함하는 것인, 상기 소스/드레인 에피택셜 스택을 형성하는 단계; 및
상기 상부 층 내에 용융된 전면을 형성하기 위해 레이저로 상기 소스/드레인 에피택셜 스택을 어닐링하는 단계
를 포함하는 것인, 방법.
in the method,
forming fins on the substrate;
forming a sacrificial gate structure on the fin, the sacrificial gate structure surrounding a portion of a top surface of the fin and a portion of a sidewall surface of the fin;
recessing a portion of the fin not covered by the sacrificial gate structure;
forming a source/drain epitaxial stack within the recessed portion of the fin, wherein forming the source/drain epitaxial stack comprises:
growing an underlying layer having a crystalline microstructure at a temperature of 650° C. to 800° C. and a pressure of 20 Torr to 300 Torr; and
growing an upper layer having an amorphous microstructure on the lower layer at a temperature of 450 ° C to 600 ° C and a pressure of 300 Torr to 400 Torr;
wherein the upper layer has a lower melting point than the lower layer, and wherein the upper layer and the lower layer include materials having the same stoichiometry and different microstructures. forming; and
annealing the source/drain epitaxial stack with a laser to form a molten front in the top layer;
To include, the method.
제1항에 있어서,
상기 어닐링하는 단계는, 상기 상부 층을 재결정화하는 단계를 포함하는 것인, 방법.
According to claim 1,
Wherein the step of annealing comprises recrystallizing the top layer.
제1항에 있어서,
상기 어닐링하는 단계 후에, 상기 상부 층은 상기 하부 층보다 단위 면적당 두자릿수 더 많은 결함을 갖는 것인, 방법.
According to claim 1,
and wherein after the step of annealing, the top layer has two orders of magnitude more defects per unit area than the bottom layer.
제1항에 있어서,
상기 어닐링하는 단계 후에, 상기 상부 층은 상기 하부 층보다 높은 압축 응력을 갖는 것인, 방법.
According to claim 1,
and wherein after the step of annealing, the top layer has a higher compressive stress than the bottom layer.
제1항에 있어서,
상기 상부 층을 성장시키는 단계는, 상기 소스/드레인 에피택셜 스택의 두께의 30 % 내지 75 %의 두께로 상기 상부 층을 성장시키는 단계를 포함하는 것인, 방법.
According to claim 1,
Wherein growing the top layer comprises growing the top layer to a thickness of 30% to 75% of a thickness of the source/drain epitaxial stack.
제1항에 있어서,
상기 하부 층과 상기 상부 층을 성장시키는 단계는, 200 K보다 큰 상기 하부 층과 상기 상부 층 사이의 융점 차이를 획득하는 단계를 포함하는 것인, 방법.
According to claim 1,
Wherein growing the bottom layer and the top layer comprises obtaining a melting point difference between the bottom layer and the top layer greater than 200 K.
제1항에 있어서,
상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층의 비정질 미세 구조를 결정질 미세 구조로 변환시키는 단계를 포함하는 것인, 방법.
According to claim 1,
and wherein annealing the source/drain epitaxial stack comprises converting an amorphous microstructure of the top layer to a crystalline microstructure.
제1항에 있어서,
상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층을 상기 하부 층보다 단위 면적당 결함 밀도가 더 높은 결정질 층으로 변환시키는 단계를 포함하는 것인, 방법.
According to claim 1,
wherein annealing the source/drain epitaxial stack comprises converting the upper layer to a crystalline layer having a higher defect density per unit area than the lower layer.
제1항에 있어서,
상기 소스/드레인 에피택셜 스택을 어닐링하는 단계는, 상기 상부 층을 상기 하부 층보다 활성화된 도펀트 농도가 더 높은 결정질 층으로 변환시키는 단계를 포함하는 것인, 방법.
According to claim 1,
wherein annealing the source/drain epitaxial stack comprises converting the upper layer to a crystalline layer having a higher activated dopant concentration than the lower layer.
방법에 있어서,
기판 상에 핀을 형성하는 단계;
상기 핀 상에 게이트 구조물을 형성하는 단계;
상기 게이트 구조물에 의해 커버되지 않은 상기 핀의 일부를 리세스하는 단계; 및
상기 핀의 리세스된 부분 상에 소스/드레인 에피택셜 스택을 형성하는 단계로서, 상기 소스/드레인 에피택셜 스택을 형성하는 단계는,
650 ℃ 내지 800 ℃의 온도 및 20 Torr 내지 300 Torr의 압력에서 제1 도펀트를 포함하는 제1 층을 성막하는 단계; 및
450 ℃ 내지 600 ℃의 온도 및 300 Torr 내지 400 Torr의 압력에서 제2 도펀트를 포함하는 제2 층을 성막하는 단계
를 포함하고, 상기 제2 층은 상기 제1 층 상에 배치되고 상기 제1 층보다 낮은 융점을 갖고, 상기 제1 층과 상기 제2 층은 동일한 화학량론을 갖고 상이한 미세 구조를 갖는 물질을 포함하는 것인, 상기 소스/드레인 에피택셜 스택을 형성하는 단계; 및
상기 제1 층 및 상기 제2 층 내에서 상기 제1 도펀트 및 상기 제2 도펀트를 활성화시키기 위해 상기 소스/드레인 에피택셜 스택을 어닐링 소스에 노출시키는 단계
를 포함하는 것인, 방법.
in the method,
forming fins on the substrate;
forming a gate structure on the fin;
recessing a portion of the fin not covered by the gate structure; and
forming a source/drain epitaxial stack on a recessed portion of the fin, wherein forming the source/drain epitaxial stack comprises:
forming a first layer including a first dopant at a temperature of 650° C. to 800° C. and a pressure of 20 Torr to 300 Torr; and
Forming a second layer including a second dopant at a temperature of 450 ° C. to 600 ° C. and a pressure of 300 Torr to 400 Torr.
wherein the second layer is disposed on the first layer and has a lower melting point than the first layer, and the first layer and the second layer include materials having the same stoichiometry and different microstructures. Forming the source/drain epitaxial stack, which is to do; and
exposing the source/drain epitaxial stack to an annealing source to activate the first dopant and the second dopant in the first layer and the second layer.
To include, the method.
KR1020210154129A 2019-10-18 2021-11-10 Source and drain epitaxial layers KR102467276B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/657,372 2019-10-18
US16/657,372 US11502197B2 (en) 2019-10-18 2019-10-18 Source and drain epitaxial layers
KR1020200009852A KR20210047224A (en) 2019-10-18 2020-01-28 Source and drain epitaxial layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200009852A Division KR20210047224A (en) 2019-10-18 2020-01-28 Source and drain epitaxial layers

Publications (2)

Publication Number Publication Date
KR20210137418A KR20210137418A (en) 2021-11-17
KR102467276B1 true KR102467276B1 (en) 2022-11-14

Family

ID=75268620

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020200009852A KR20210047224A (en) 2019-10-18 2020-01-28 Source and drain epitaxial layers
KR1020210154129A KR102467276B1 (en) 2019-10-18 2021-11-10 Source and drain epitaxial layers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020200009852A KR20210047224A (en) 2019-10-18 2020-01-28 Source and drain epitaxial layers

Country Status (5)

Country Link
US (2) US11502197B2 (en)
KR (2) KR20210047224A (en)
CN (1) CN112687730A (en)
DE (1) DE102019130285A1 (en)
TW (1) TWI754420B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130288438A1 (en) 2011-12-19 2013-10-31 Jacob Jensen Selective laser annealing process for buried regions in a mos device
US20140264348A1 (en) 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Co, Ltd. Asymmetric Cyclic Desposition Etch Epitaxy

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7795124B2 (en) * 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
US20080070423A1 (en) * 2006-09-15 2008-03-20 Crowder Mark A Buried seed one-shot interlevel crystallization
DE102009006884B4 (en) 2009-01-30 2011-06-30 Advanced Micro Devices, Inc., Calif. A method of fabricating a transistor device having in situ generated drain and source regions with a strain-inducing alloy and a gradually varying dopant profile and corresponding transistor device
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
CN103000675B (en) * 2011-09-08 2015-11-25 中国科学院微电子研究所 Low source-drain contact resistance MOSFETS and manufacture method thereof
WO2013095643A1 (en) * 2011-12-23 2013-06-27 Intel Corporation Iii-n material structure for gate-recessed transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20140106529A1 (en) * 2012-10-16 2014-04-17 Stmicroelectronics (Crolles 2) Sas Finfet device with silicided source-drain regions and method of making same using a two step anneal
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406797B2 (en) * 2014-03-07 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with dislocations
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10249502B2 (en) 2016-01-22 2019-04-02 International Business Machines Corporation Low resistance source drain contact formation with trench metastable alloys and laser annealing
US9711608B1 (en) * 2016-06-03 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR102276650B1 (en) 2017-04-03 2021-07-15 삼성전자주식회사 Method for manufacturing semiconductor device
CN109300789B (en) * 2017-07-25 2021-07-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
US11688780B2 (en) * 2019-03-22 2023-06-27 Intel Corporation Deep source and drain for transistor structures with back-side contact metallization

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130288438A1 (en) 2011-12-19 2013-10-31 Jacob Jensen Selective laser annealing process for buried regions in a mos device
US20140264348A1 (en) 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Co, Ltd. Asymmetric Cyclic Desposition Etch Epitaxy

Also Published As

Publication number Publication date
KR20210137418A (en) 2021-11-17
TW202129976A (en) 2021-08-01
TWI754420B (en) 2022-02-01
DE102019130285A1 (en) 2021-04-22
CN112687730A (en) 2021-04-20
US11502197B2 (en) 2022-11-15
US20230074496A1 (en) 2023-03-09
KR20210047224A (en) 2021-04-29
US20210119047A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
JP5244908B2 (en) Method for reducing transistor junction capacitance by forming recesses in drain and source regions
US6620671B1 (en) Method of fabricating transistor having a single crystalline gate conductor
TWI550863B (en) Advanced transistors with threshold voltage set dopant structures
US7553717B2 (en) Recess etch for epitaxial SiGe
KR102467276B1 (en) Source and drain epitaxial layers
US9634142B1 (en) Method for improving boron diffusion in a germanium-rich fin through germanium concentration reduction in fin S/D regions by thermal mixing
US6380043B1 (en) Low temperature process to form elevated drain and source of a field effect transistor having high-K gate dielectric
US20080303060A1 (en) Semiconductor devices and methods of manufacturing thereof
US7943451B2 (en) Integration scheme for reducing border region morphology in hybrid orientation technology (HOT) using direct silicon bonded (DSB) substrates
US7902030B2 (en) Manufacturing method for semiconductor device and semiconductor device
US7855111B2 (en) Border region defect reduction in hybrid orientation technology (HOT) direct silicon bonded (DSB) substrates
US11676867B2 (en) Method for manufacturing semiconductor structure
US8664068B2 (en) Low-diffusion drain and source regions in CMOS transistors for low power/high performance applications
US9099412B2 (en) Selective laser anneal on semiconductor material
JP5010589B2 (en) Semiconductor device manufacturing method and semiconductor integrated circuit chip provided with semiconductor device manufactured by the method
US9087772B2 (en) Device and method for forming sharp extension region with controllable junction depth and lateral overlap
US11942376B2 (en) Method for manufacturing semiconductor structure
US20230246067A1 (en) Enabling bottom isolation and epitaxial strain of fet source/drain structures
US20100140711A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant