KR102433143B1 - Low-dimensional material device and method - Google Patents

Low-dimensional material device and method Download PDF

Info

Publication number
KR102433143B1
KR102433143B1 KR1020200155598A KR20200155598A KR102433143B1 KR 102433143 B1 KR102433143 B1 KR 102433143B1 KR 1020200155598 A KR1020200155598 A KR 1020200155598A KR 20200155598 A KR20200155598 A KR 20200155598A KR 102433143 B1 KR102433143 B1 KR 102433143B1
Authority
KR
South Korea
Prior art keywords
source
layer
low
dimensional layer
drain contact
Prior art date
Application number
KR1020200155598A
Other languages
Korean (ko)
Other versions
KR20210109430A (en
Inventor
이-체 훙
차오-칭 쳉
체-안 첸
훙-리 치앙
츠-치앙 첸
라인-종 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/932,268 external-priority patent/US11244866B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210109430A publication Critical patent/KR20210109430A/en
Application granted granted Critical
Publication of KR102433143B1 publication Critical patent/KR102433143B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02606Nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene

Abstract

일 실시예에서, 디바이스는: 기판 상의 유전체 핀; 유전체 핀 상의 저차원 층 - 저차원 층은 소스/드레인 영역 및 채널 영역을 포함함 - ; 소스/드레인 영역 상의 소스/드레인 콘택; 및 소스/드레인 콘택에 인접한 채널 영역 상의 게이트 구조물을 포함하고, 게이트 구조물은 게이트 구조물의 상부에서 제 1 폭, 게이트 구조물의 중간에서 제 2 폭, 및 게이트 구조물의 하부에서 제 3 폭을 가지며, 제 2 폭은 제 1 폭 및 제 3 폭 각각보다 작다.In one embodiment, the device comprises: a dielectric fin on a substrate; a low-dimensional layer on the dielectric fin, the low-dimensional layer comprising a source/drain region and a channel region; source/drain contacts on source/drain regions; and a gate structure on the channel region adjacent the source/drain contact, the gate structure having a first width at the top of the gate structure, a second width at the middle of the gate structure, and a third width at the bottom of the gate structure, The second width is smaller than each of the first width and the third width.

Figure R1020200155598
Figure R1020200155598

Description

저차원 물질 디바이스 및 방법{LOW-DIMENSIONAL MATERIAL DEVICE AND METHOD}LOW-DIMENSIONAL MATERIAL DEVICE AND METHOD

우선권 주장 및 상호 참조Priority Claims and Cross-References

본 출원은 2020년 2월 26일자에 출원된 미국 가출원 제 62/981,749 호의 이익을 주장하며, 이 가출원은 본 명세서에 참조로 포함된다.This application claims the benefit of US Provisional Application No. 62/981,749, filed on February 26, 2020, which is incorporated herein by reference.

반도체 디바이스는, 예를 들어, 퍼스널 컴퓨터, 셀룰러 폰, 디지털 카메라 및 다른 전자 장비와 같은 다양한 전자 애플리케이션에 사용된다. 반도체 디바이스는 통상적으로 반도체 기판 위에 절연 층 또는 유전체 층, 전도성 층 및 반도체 물질 층을 순차적으로 성막하고, 그 위에 회로 컴포넌트들 및 요소들을 형성하기 위해 리소그래피를 사용하여 다양한 물질 층들을 패턴화함으로써 제조된다.BACKGROUND Semiconductor devices are used in various electronic applications such as, for example, personal computers, cellular phones, digital cameras and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing an insulating or dielectric layer, a conductive layer and a semiconductor material layer over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. .

반도체 산업은 최소 피처 크기의 지속적인 감소로 다양한 전자 컴포넌트들(예컨대, 트랜지스터, 다이오드, 저항기, 커패시터 등)의 집적 밀도를 계속해서 향상시키고, 이는 주어진 영역 내에 더욱 많은 컴포넌트들이 통합될 수 있도록 한다.The semiconductor industry continues to improve the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.) with the continuous reduction of minimum feature sizes, which allows more components to be integrated within a given area.

본 개시의 양태들은 첨부 도면들과 함께 아래의 상세한 설명을 읽음으로써 가장 잘 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처들은 실척도로 도시되지 않았음을 유념한다. 사실, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 일부 실시예들에 따라, 예시적인 저차원 FinFET를 3 차원 도면으로 도시한다.
도 2a 내지 도 18d는 일부 실시예들에 따라, 저차원 FinFET의 제조에 있어서 중간 단계들의 다양한 도면이다.
도 11c는 자가 조립 단층(self-assembled monolayer; SAM)의 분자를 도시한다.
도 19a 내지 도 19d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다.
도 20a 내지 도 20d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다.
도 21a 내지 도 21d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다.
Aspects of the present disclosure are best understood by reading the detailed description below in conjunction with the accompanying drawings. It is noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
1 illustrates an exemplary low-dimensional FinFET in a three-dimensional view, in accordance with some embodiments.
2A-18D are various diagrams of intermediate steps in the fabrication of a low-dimensional FinFET, in accordance with some embodiments.
11C depicts molecules of a self-assembled monolayer (SAM).
19A-19D illustrate a low-dimensional FinFET, in accordance with some embodiments.
20A-20D illustrate a low-dimensional FinFET, in accordance with some embodiments.
21A-21D illustrate a low-dimensional FinFET, in accordance with some embodiments.

다음의 개시는 본 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예들을 제공한다. 본 개시를 간략화하기 위해 컴포넌트들 및 배치들의 특정 예들이 아래에서 설명된다. 물론, 이러한 설명은 단지 예일 뿐 제한하기 위한 것이 아니다. 예를 들어, 이어지는 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처의 형성은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제 1 피처와 제 2 피처 사이에 추가의 피처들이 형성되어 제 1 피처 및 제 2 피처가 직접 접촉하지 않도록 하는 실시예들을 또한 포함할 수 있다. 게다가, 본 개시는 다양한 예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략함과 명료함을 위한 것으로, 이러한 반복 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 지시하는 것은 아니다.The following disclosure provides a number of different embodiments or examples for implementing different features of the present invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, this description is by way of example only and not limitation. For example, in the description that follows, the formation of a first feature over or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, the first feature and the second feature. Embodiments may also include embodiments in which additional features are formed between the features such that the first and second features do not directly contact. In addition, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity, and such repetition itself does not indicate a relationship between the various embodiments and/or configurations discussed.

더욱이, "아래", "밑", "하위", "위", "상위" 등과 같은 공간적으로 상대적인 용어들이 도면들에 도시된 바와 같이 다른 요소(들) 또는 피처(들)에 대한 하나의 요소 또는 피처의 관계를 설명하는 데 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 방향은 물론 사용 중이거나 동작 중인 디바이스의 상이한 방향을 포함하기 위한 것이다. 장치는 다른 식으로 배향될 수 있고(90도 회전 또는 다른 방향으로 있음), 그에 맞춰 본 명세서에서 사용되는 공간적으로 상대적인 기술어들이 마찬가지로 이해될 수 있다.Moreover, spatially relative terms such as "below", "below", "lower", "above", "super", etc. are used to refer to one element relative to another element(s) or feature(s) as shown in the figures. or may be used herein for ease of description to describe the relationship of features. The spatially relative terms are intended to encompass different orientations of the device in use or operation as well as the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein accordingly may likewise be understood.

일부 실시예들에 따라, 저차원 FinFET가 형성된다. 저차원 FinFET는 소스/드레인 영역과 채널 영역을 형성하는 데 사용되는 저차원 층을 포함한다. 저차원 층을 통해 소스/드레인 콘택을 위한 개구를 에칭하고, 그런 다음 개구에 그리고 저차원 층 상에 소스/드레인 콘택을 형성함으로써, 저차원 층의 측벽 및 상부면과 접촉하는 소스/드레인 콘택이 형성될 수 있다. 또한, 저차원 FinFET를 위한 게이트 구조물이 채널 영역 상에 형성된다. 게이트 구조물의 길이는 소스/드레인 콘택 상에 임시 자가 조립 스페이서를 형성하고, 그런 다음 자가 조립 스페이서 사이에 게이트 구조물을 형성함으로써 자가 정렬 방식으로 제어될 수 있다. 자가 조립 스페이서의 두께를 제어함으로써, 결과적인 게이트 구조물의 길이를 제어할 수 있다.In accordance with some embodiments, a low-dimensional FinFET is formed. A low-dimensional FinFET includes a low-dimensional layer used to form a source/drain region and a channel region. By etching the openings for the source/drain contacts through the low-dimensional layer, and then forming the source/drain contacts in the openings and on the low-dimensional layer, the source/drain contacts in contact with the sidewalls and top surfaces of the low-dimensional layer are formed. can be formed. In addition, a gate structure for a low-dimensional FinFET is formed on the channel region. The length of the gate structure can be controlled in a self-aligning manner by forming temporary self-assembling spacers on the source/drain contacts and then forming the gate structure between the self-assembling spacers. By controlling the thickness of the self-assembled spacer, it is possible to control the length of the resulting gate structure.

도 1은 일부 실시예들에 따라, 예시적인 저차원 FinFET를 3 차원 도면으로 도시한다. 저차원 FinFET는 기판(50) 상에 핀(54)을 포함한다. 핀(54)은 기판(50) 위로 돌출된다. 핀(54)이 기판(50)과 상이한 물질인 것으로 도시되어 있지만, 핀(54) 및/또는 기판(50)은 단일 물질 또는 복수의 물질을 포함할 수 있다. 이러한 맥락에서, 핀(54)은 기판(50) 위로 연장되는 부분을 지칭한다. 저차원 층(56)이 핀(54)의 측벽 및 상부면을 따라 연장된다.1 illustrates an exemplary low-dimensional FinFET in a three-dimensional view, in accordance with some embodiments. A low-dimensional FinFET includes a fin 54 on a substrate 50 . The pins 54 protrude above the substrate 50 . Although the fins 54 are shown as being of a different material than the substrate 50 , the fins 54 and/or the substrate 50 may include a single material or a plurality of materials. In this context, the fin 54 refers to a portion extending above the substrate 50 . A low-dimensional layer 56 extends along the sidewalls and top surfaces of the fins 54 .

저차원 층(56)은 저차원 FinFET의 전류를 전도하기 위한 채널 물질 및 소스/드레인 물질 모두로서 작용하는 저차원 물질로 형성된다. 예를 들어, 저차원 층(56)은 탄소 나노 튜브 층, 전이 금속 디칼코게나이드(transition metal dichalcogenide; TMD) 층, 그래핀 층 등을 포함할 수 있다. 게이트 구조물(80) 아래의 저차원 층(56)의 제 1 부분은 채널 영역(76)으로 작용한다. 게이트 구조물(80)의 대향 측면 상의 저차원 층(56)의 제 2 부분은 소스/드레인 영역(64)으로 작용한다.The low-dimensional layer 56 is formed of a low-dimensional material that acts as both a source/drain material and a channel material for conducting the current of the low-dimensional FinFET. For example, the low-dimensional layer 56 may include a carbon nanotube layer, a transition metal dichalcogenide (TMD) layer, a graphene layer, or the like. A first portion of the low-dimensional layer 56 under the gate structure 80 acts as a channel region 76 . A second portion of the low-dimensional layer 56 on the opposite side of the gate structure 80 acts as a source/drain region 64 .

게이트 구조물(80)은 채널 영역(76)의 측벽 및 상부면을 따라 연장된다. 게이트 구조물(80)은 게이트 유전체(82) 및 게이트 전극(84)을 포함한다. 게이트 유전체(82)는 저차원 층(56) 상에 있고, 게이트 전극(84)은 게이트 유전체(82) 상에 있다. 소스/드레인 영역(64)은 게이트 구조물(80)의 대향 측면에, 예를 들어, 채널 영역(76)에 인접하여 배치된다. 아래에서 더 상세히 논의되는 바와 같이, 소스/드레인 콘택이 낮은 접촉 저항을 갖게 하고 채널 영역(76)의 길이가 자가 정렬 방식으로 결정될 수 있도록 하는 방식으로, 소스/드레인 콘택이 소스/드레인 영역(64)에 형성될 것이다.Gate structure 80 extends along sidewalls and top surfaces of channel region 76 . Gate structure 80 includes a gate dielectric 82 and a gate electrode 84 . The gate dielectric 82 is on the lower dimensional layer 56 , and the gate electrode 84 is on the gate dielectric 82 . Source/drain regions 64 are disposed on opposite sides of gate structure 80 , eg, adjacent channel region 76 . As will be discussed in more detail below, the source/drain contacts are made to the source/drain regions 64 in such a way that they have a low contact resistance and allow the length of the channel region 76 to be determined in a self-aligned manner. ) will be formed.

도 1은 또한 나중 도면들에서 사용되는 기준 단면을 도시한다. 단면(A-A)은 핀(54)의 길이 방향 축을 따르고, 예를 들어, 소스/드레인 영역(64) 사이의 전류 흐름의 방향으로 있다. 단면(C-C)은 단면(A-A)에 수직이고, 게이트 구조물(80)의 길이 방향 축을 따른다. 단면(D-D)은 단면(A-A)에 수직이고, 소스/드레인 영역(64)을 통해 연장된다. 후속 도면들은 명확성을 위해 이러한 기준 단면을 참조한다.1 also shows a reference cross-section used in later figures. The cross-section A-A is along the longitudinal axis of the fin 54 , for example in the direction of current flow between the source/drain regions 64 . Section C-C is perpendicular to section A-A and is along the longitudinal axis of gate structure 80 . Section D-D is perpendicular to section A-A and extends through source/drain region 64 . Subsequent drawings refer to this reference section for clarity.

도 2a 내지 도 5b는 일부 실시예들에 따라, 저차원 FinFET의 제조에 있어서 중간 단계들의 다양한 도면이다. 도 2a, 도 3a, 도 4a 및 도 5a는 도 1의 기준 단면(A-A)을 따라 도시된 단면도이다. 도 2b, 도 3b, 도 4b 및 도 5b는 평면도이며, 도 2a, 도 3a, 도 4a 및 도 5a는 또한 도 2b, 도 3b, 도 4b 및 도 5b에서의 각각의 기준 단면(A-A)을 따라 도시된다. 도 2a 내지 도 5b는 단일 핀 영역의 처리를 도시하지만, 다수의 핀/FinFET가 동시에 처리될 수 있음을 이해해야 한다.2A-5B are various diagrams of intermediate steps in the fabrication of a low-dimensional FinFET, in accordance with some embodiments. 2A, 3A, 4A and 5A are cross-sectional views taken along the reference cross-section A-A of FIG. 1 . Figures 2b, 3b, 4b and 5b are top views, and Figures 2a, 3a, 4a and 5a are also taken along the respective reference sections A-A in Figures 2b, 3b, 4b and 5b. is shown 2A-5B illustrate processing of a single fin region, it should be understood that multiple fins/FinFETs may be processed simultaneously.

도 2a 및 도 2b에서, 기판(50)이 제공된다. 기판(50)은 이웃하는 저차원 FinFET를 절연하는 임의의 물질로 형성될 수 있으며, "격리 층"이라고도 지칭될 수 있다. 일부 실시예들에서, 기판(50)은 반도체 코어(50A) 및 반도체 코어(50A) 상의 격리 물질(50B)을 포함한다.2A and 2B , a substrate 50 is provided. Substrate 50 may be formed of any material that insulates neighboring low-dimensional FinFETs, and may also be referred to as an “isolation layer”. In some embodiments, the substrate 50 includes a semiconductor core 50A and an isolation material 50B on the semiconductor core 50A.

반도체 코어(50A)는 벌크 반도체, 반도체 온 인슐레이터(semiconductor-on-insulator; SOI) 기판 등일 수 있으며, (예를 들어, p 형 또는 n 형 도펀트로) 도핑되거나 도핑되지 않을 수 있다. 반도체 코어(50A)는 실리콘 웨이퍼와 같은 웨이퍼일 수 있다. 일반적으로, SOI 기판은 절연체 층 상에 형성된 반도체 물질 층이다. 절연체 층은, 예를 들어, 매립 산화물(buried oxide; BOX) 층, 실리콘 산화물 층 등일 수 있다. 절연체 층은 기판, 전형적으로는, 실리콘 또는 유리 기판 상에 제공된다. 다층 또는 경사 기판과 같은 다른 기판이 또한 사용될 수 있다. 일부 실시예들에서, 반도체 코어(50A)의 반도체 물질은, 실리콘; 게르마늄; 실리콘 탄화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; 실리콘-게르마늄, 갈륨 비소 인화물, 알루미늄 인듐 비화물, 알루미늄 갈륨 비화물, 갈륨 인듐 비화물, 갈륨 인듐 인화물 및/또는 갈륨 인듐 비소 인화물을 포함하는 혼정 반도체; 또는 이들의 조합을 포함할 수 있다. 반도체 코어(50A)는 또한 사파이어, 인듐 주석 산화물(indium tin oxide; ITO) 등과 같은 다른 물질로 형성될 수 있다.The semiconductor core 50A may be a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, and may be doped or undoped (eg, with a p-type or n-type dopant). The semiconductor core 50A may be a wafer such as a silicon wafer. Generally, an SOI substrate is a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates may also be used, such as multilayer or gradient substrates. In some embodiments, the semiconductor material of semiconductor core 50A is silicon; germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; mixed crystal semiconductors comprising silicon-germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide and/or gallium indium arsenide phosphide; or a combination thereof. The semiconductor core 50A may also be formed of other materials such as sapphire, indium tin oxide (ITO), or the like.

격리 물질(50B)은 임의의 전기적 절연 물질일 수 있다. 격리 물질(50B)은 실리콘 산화물, 알루미늄 산화물, 포스포 실리케이트 유리(phosphosilicate glass; PSG), 보로 실리케이트 유리(borosilicate glass; BSG), 붕소 도핑된 포스포 실리케이트 유리(boron-doped phosphosilicate glass; BPSG), 테트라 에틸 오르소 실리케이트(tetraethyl orthosilicate; TEOS) 기반 산화물 등과 같은 산화물; 실리콘 질화물 등과 같은 질화물; 또는 이들의 조합 등일 수 있다. 격리 물질(50B)은 하프늄, 알루미늄, 지르코늄, 란탄, 망간, 바륨, 티타늄, 납 또는 이들의 조합의 금속 산화물 또는 실리케이트와 같이 약 7.0보다 큰 k 값을 갖는 유전체 물질과 같은 고유전율 유전체 물질일 수 있다. 격리 물질(50B)은 스핀 코팅; 화학 기상 성막(chemical vapor deposition; CVD), 플라즈마 강화 화학 기상 성막(plasma enhanced chemical vapor deposition; PECVD), 유동성 화학 기상 성막(flowable chemical vapor deposition; FCVD), 저압 화학 기상 성막(low pressure chemical vapor deposition; LPCVD) 등과 같은 성막 공정; 또는 이들의 조합 등에 의해 형성될 수 있다. 일부 실시예들에서, 격리 물질(50B)은 실리콘 질화물과 같은 질화물이고, CVD와 같은 성막 공정에 의해 형성된다.The isolation material 50B may be any electrically insulating material. The isolation material 50B includes silicon oxide, aluminum oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), oxides such as tetraethyl orthosilicate (TEOS) based oxides and the like; nitrides such as silicon nitride and the like; or a combination thereof. The isolation material 50B may be a high-k dielectric material, such as a dielectric material having a k value greater than about 7.0, such as a metal oxide or silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, or combinations thereof. have. The isolation material 50B may be spin coated; chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), flowable chemical vapor deposition (FCVD), low pressure chemical vapor deposition; LPCVD) and the like; Or it may be formed by a combination thereof or the like. In some embodiments, the isolation material 50B is a nitride, such as silicon nitride, and is formed by a deposition process, such as CVD.

유전체 층(52)이 기판(50) 상에, 예를 들어, 격리 물질(50B) 상에 형성된다. 유전체 층(52)은 후속적으로 패턴화되어 저차원 FinFET를 위한 핀을 형성할 것이다. 유전체 층(52)은 실리콘 산화물과 같은 산화물; 실리콘 질화물과 같은 질화물; 육방정 붕소 질화물(hexagonal Boron Nitride; hBN)와 같은 저차원 물질; 또는 이들의 조합 등으로 형성될 수 있다. 유전체 층(52)은 PSG, BSG 등과 같이 약 3.0보다 낮은 k 값을 갖는 유전체 물질과 같은 저유전율 유전체 물질로 형성될 수 있다. 유전체 층(52)은 단층 구조물 또는 복수의 층을 포함하는 복합 구조물을 가질 수 있다. 유전체 층(52)은 결정질 층(들)(단결정 또는 다결정) 및/또는 비정질 층(들)을 포함할 수 있다. 유전체 층(52)은 PECVD, 분자 빔 성막(molecular-beam deposition; MBD), 원자 층 성막(atomic layer deposition; ALD) 등에 의해 형성될 수 있다. 유전체 층(52)은 전사를 통해 형성될 수도 있다. 예를 들어, 유전체 층(52)이 hBN을 포함하는 경우, hBN의 층이 사파이어 기판, 구리 기판 등과 같은 다른 기판 상에 형성되고, 그런 다음 기판(50) 상에 전사될 수 있다. 일부 실시예들에서, 유전체 층(52)은 저유전율 유전체 물질 층 상에 hBN 층을 포함한다. 저유전율 유전체 물질 또는 저차원 물질로 유전체 층(52)을 형성하는 것은 원자적으로 매끄러운 표면으로 인해 표면 산란을 억제함으로써 정전기 제어를 개선하는 데 도움이 될 수 있다. 저유전율 유전체 물질로 유전체 층(52)을 형성하는 것은 또한 유전체 층(52)이 큰 폭 대 높이 종횡비의 핀으로 패턴화될 수 있도록 한다(아래에서 더 상세히 논의됨).A dielectric layer 52 is formed on the substrate 50 , for example on the isolation material 50B. Dielectric layer 52 will subsequently be patterned to form fins for low-dimensional FinFETs. Dielectric layer 52 may include an oxide such as silicon oxide; nitrides such as silicon nitride; low-dimensional materials such as hexagonal boron nitride (hBN); or a combination thereof. Dielectric layer 52 may be formed of a low-k dielectric material, such as a dielectric material having a k value less than about 3.0, such as PSG, BSG, or the like. The dielectric layer 52 may have a single layer structure or a composite structure comprising a plurality of layers. Dielectric layer 52 may include crystalline layer(s) (monocrystalline or polycrystalline) and/or amorphous layer(s). Dielectric layer 52 may be formed by PECVD, molecular-beam deposition (MBD), atomic layer deposition (ALD), or the like. Dielectric layer 52 may be formed through transfer. For example, if dielectric layer 52 includes hBN, a layer of hBN may be formed on another substrate, such as a sapphire substrate, copper substrate, or the like, and then transferred onto the substrate 50 . In some embodiments, dielectric layer 52 includes a layer of hBN on a layer of low-k dielectric material. Forming the dielectric layer 52 from a low-k dielectric material or a low-dimensional material may help improve electrostatic control by suppressing surface scattering due to an atomically smooth surface. Forming dielectric layer 52 from a low-k dielectric material also allows dielectric layer 52 to be patterned into fins with large width-to-height aspect ratios (discussed in more detail below).

도 3a 및 도 3b에서, 핀(54)이 유전체 층(52)에 형성된다. 핀(54)은 유전체 스트립이다. 단일 핀(54)이 도시되지만, 다수의 핀(54)이 동일한 기판(50) 상에 동시에 형성될 수 있고 본 명세서에서 설명된 것과 유사한 공정을 사용하여 처리될 수 있음을 이해해야 한다. 일부 실시예들에서, 핀(54)은 유전체 층(52)에 트렌치를 에칭함으로써 유전체 층(52)에 형성될 수 있다. 에칭은 반응성 이온 에칭(reactive ion etch; RIE), 중성 빔 에칭(neutral beam etch; NBE) 또는 이들의 조합 등과 같은 임의의 허용 가능한 에칭 공정일 수 있다. 에칭은 이방성일 수 있다. 에칭은 기판(50)의 물질(예를 들어, 격리 물질(50B))보다 더 빠른 속도로 유전체 층(52)의 물질을 선택적으로 에칭하여 에칭은 기판(50)에서 정지한다.3A and 3B , fins 54 are formed in dielectric layer 52 . Pin 54 is a dielectric strip. Although a single fin 54 is shown, it should be understood that multiple fins 54 may be simultaneously formed on the same substrate 50 and processed using processes similar to those described herein. In some embodiments, the fin 54 may be formed in the dielectric layer 52 by etching a trench in the dielectric layer 52 . The etching may be any acceptable etching process, such as reactive ion etch (RIE), neutral beam etch (NBE), or a combination thereof. The etching may be anisotropic. The etching selectively etches the material of the dielectric layer 52 at a faster rate than the material of the substrate 50 (eg, the isolation material 50B) so that the etching stops at the substrate 50 .

핀(54)은 임의의 적합한 방법에 의해 패턴화될 수 있다. 예를 들어, 핀(54)은 이중 패턴화 또는 다중 패턴화 공정을 포함하는 하나 이상의 포토 리소그래피 공정을 사용하여 패턴화될 수 있다. 일반적으로, 이중 패턴화 또는 다중 패턴화 공정은 포토 리소그래피 및 자가 정렬 공정을 결합하여, 예를 들어, 단일의 직접 포토 리소그래피 공정을 사용하여 얻을 수 있는 것보다 더 작은 피치를 갖는 패턴을 생성하게 한다. 예를 들어, 일 실시예에서, 희생 층이 기판 위에 형성되고, 포토 리소그래피 공정을 사용하여 패턴화된다. 스페이서가 자가 정렬 공정을 사용하여 패턴화된 희생 층 옆에 형성된다. 그런 다음, 희생 층은 제거되고, 나머지 스페이서는 핀(54)을 패턴화하기 위해 사용될 수 있다. 일부 실시예들에서, 마스크(또는 다른 층)는 핀(54) 상에 남아 있을 수 있다.The pins 54 may be patterned by any suitable method. For example, the fins 54 may be patterned using one or more photolithographic processes including double patterning or multiple patterning processes. In general, double patterning or multiple patterning processes combine photolithography and self-aligning processes to produce patterns with a smaller pitch than can be achieved using, for example, a single direct photolithography process . For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. A spacer is formed next to the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers can be used to pattern the fins 54 . In some embodiments, a mask (or other layer) may remain on the fin 54 .

핀(54)은 폭(W1) 및 높이(H1)로 형성된다. 전술한 바와 같이, 핀(54)은 저유전율 유전체 물질로 형성될 수 있으며, 이는 쉽게 에칭되어 핀(54)을 큰 폭 대 높이 종횡비로 형성할 수 있다. 예를 들어, 폭(W1)은 약 1 nm 내지 약 15 nm의 범위에 있을 수 있고, 높이(H1)는 약 10 nm 내지 약 300 nm의 범위에 있을 수 있다.Fin 54 is formed with a width W 1 and a height H 1 . As noted above, the fins 54 may be formed of a low-k dielectric material, which can be easily etched to form the fins 54 with a large width-to-height aspect ratio. For example, the width W 1 can be in the range of about 1 nm to about 15 nm, and the height H 1 can be in the range of about 10 nm to about 300 nm.

도 4a 및 도 4b에서, 저차원 층(56)이 핀(54) 및 기판(50) 상에 컨포멀하게 형성된다. 설명 전체에서, 용어 "저차원"은 약 10 nm 미만, 약 5 nm 미만 또는 약 1 nm 미만과 같이 두께가 작은 층을 의미한다. 일부 실시예들에서, 저차원 층(56)은 약 0.3 nm 내지 약 1 nm 범위의 두께(T1)를 갖는다. 저차원 층(56)은 하나의 단층만큼 얇을 수 있다.4A and 4B , low-dimensional layer 56 is conformally formed on fin 54 and substrate 50 . Throughout the description, the term “low dimension” refers to a layer having a small thickness, such as less than about 10 nm, less than about 5 nm, or less than about 1 nm. In some embodiments, the low-dimensional layer 56 has a thickness T 1 in a range from about 0.3 nm to about 1 nm. The low-dimensional layer 56 may be as thin as one monolayer.

저차원 물질은 매우 작은 두께에서도 높은 고유 이동성을 유지할 수 있다. 원자적으로 얇은 채널 물질은 우수한 정전기 제어를 위한 이상적인 기하학적 구조를 제공한다. 또한, 원자적으로 얇은 채널 물질은 약 1 eV 내지 약 2 eV 범위와 같은 합리적인 밴드 갭 크기를 가질 수 있어 반도체적 성능을 제공한다. 저차원 물질은 금속성 또는 절연 성능을 갖도록 형성될 수도 있다. 저차원 층(56)을 형성하기 위해 여러 유형의 저차원 물질이 사용될 수 있다. 예시적인 저차원 물질 층은 탄소 나노 튜브 네트워크, 정렬된 탄소 나노 튜브, 전이 금속 디칼코게나이드(TMD), 그래핀 나노 리본 등과 같은 반도체형 2 차원(2D) 물질 층을 포함한다. 저차원 물질 층은 미국 특허 출원 제 16/837,261 호에 기술된 바와 같이 형성될 수 있으며, 이는 그 전체가 본원에 참조로 포함된다. 탄소 나노 튜브 네트워크는 침지 공정에 의해 성장된 단일 벽 탄소 나노 튜브(single-wall carbon nanotube; SWCNT)로 형성될 수 있다. 평면도에서, 탄소 나노 튜브 네트워크는 무작위로 배치된 복수의 직선(또는 약간 구부러진) 튜브(길이가 상이함)처럼 보일 수 있다. 정렬된 탄소 나노 튜브는 전구체가 분해되어 탄소가 성장하도록 고온에서 탄소 함유 전구체를 사용하여 성장될 수 있다. 평면도에서, 정렬된 탄소 나노 튜브는 일반적으로 동일한 방향으로 정렬된 길이 방향을 가지며 유사한 길이를 가질 수 있다. TMD 층은 PECVD와 같은 성막 공정에 의해 형성된 전이 금속과 VIA 족 원소의 화합물을 포함한다. 전이 금속은 W, Mo, Ti, V, Co, Ni, Zr, Tc, Rh, Pd, Hf, Ta, Re, Ir, Pt 등일 수 있다. VIA 족 원소는 황(S), 셀레늄(Se), 텔루륨(Te) 등일 수 있다. 예시적인 TMD 층은 MoS2, MoSe2, WS2, WSe2 등을 포함한다. 그래핀 나노 리본은 그래파이트 나노토미, 에피택시, CVD와 같은 성막 공정 등에 의해 형성될 수 있는 그래핀 스트립이다. 다른 허용 가능한 저차원 물질이 사용될 수 있음을 이해해야 한다. 저차원 물질 층이 탄소 나노 튜브 또는 그래핀 나노 리본과 같은 개별 요소를 포함하는 실시예들에서, 저차원 물질 층은 개별 요소 사이의 공간을 채우기 위해 유전체 물질을 추가로 포함할 수 있다. 따라서, 저차원 층(56)은 탄소 나노 튜브 층(예를 들어, 유전체 물질 내의 탄소 나노 튜브 네트워크, 정렬된 탄소 나노 튜브 등), 전이 금속 디칼코게나이드(TMD) 층(예를 들어, TMD의 하나 이상의 층), 그래핀 층(예를 들어, 유전체 물질 내의 그래핀 나노 리본) 등일 수 있다.Low-dimensional materials can maintain high intrinsic mobility even at very small thicknesses. The atomically thin channel material provides an ideal geometry for good static control. In addition, atomically thin channel materials can have reasonable band gap sizes, such as in the range of about 1 eV to about 2 eV, to provide semiconducting performance. The low-dimensional material may be formed to have metallic or insulating performance. Several types of low-dimensional materials may be used to form the low-dimensional layer 56 . Exemplary low-dimensional material layers include semiconducting two-dimensional (2D) material layers such as carbon nanotube networks, ordered carbon nanotubes, transition metal dichalcogenides (TMD), graphene nanoribbons, and the like. The low-dimensional material layer may be formed as described in U.S. Patent Application Serial No. 16/837,261, which is incorporated herein by reference in its entirety. The carbon nanotube network may be formed of single-wall carbon nanotube (SWCNT) grown by an immersion process. In a plan view, the carbon nanotube network can look like a plurality of randomly arranged straight (or slightly curved) tubes (of different lengths). Aligned carbon nanotubes can be grown using carbon-containing precursors at high temperatures so that the precursors are decomposed to grow carbon. In plan view, aligned carbon nanotubes generally have longitudinal directions aligned in the same direction and may have similar lengths. The TMD layer includes a compound of a group VIA element and a transition metal formed by a deposition process such as PECVD. The transition metal may be W, Mo, Ti, V, Co, Ni, Zr, Tc, Rh, Pd, Hf, Ta, Re, Ir, Pt, or the like. The group VIA element may be sulfur (S), selenium (Se), tellurium (Te), or the like. Exemplary TMD layers include MoS 2 , MoSe 2 , WS 2 , WSe 2 , and the like. The graphene nanoribbon is a graphene strip that can be formed by a film formation process such as graphite nanotomy, epitaxy, or CVD. It should be understood that other acceptable low-dimensional materials may be used. In embodiments in which the low-dimensional material layer includes individual elements such as carbon nanotubes or graphene nanoribbons, the low-dimensional material layer may further include a dielectric material to fill spaces between the individual elements. Thus, the low-dimensional layer 56 may be a carbon nanotube layer (e.g., a network of carbon nanotubes in a dielectric material, an ordered carbon nanotube, etc.), a transition metal dichalcogenide (TMD) layer (e.g., of a TMD). one or more layers), a graphene layer (eg, graphene nanoribbons in a dielectric material), or the like.

도 5a 및 도 5b에서, 저차원 층(56)은 기판(50)의 주 표면을 따라 연장되는 저차원 층(56)의 일부를 제거하도록 패턴화되어 기판(50)을 노출시킨다. 저차원 층(56)의 나머지 부분은 핀(54)을 덮는다. 저차원 층(56)은 허용 가능한 포토 리소그래피 및 에칭 기술을 사용하여 패턴화될 수 있다. 저차원 층(56)의 나머지 부분은 결과적인 저차원 FinFET의 채널 영역 및 소스/드레인 영역을 형성할 것이다.5A and 5B , low-dimensional layer 56 is patterned to remove a portion of low-dimensional layer 56 extending along a major surface of substrate 50 to expose substrate 50 . The remainder of the lower dimensional layer 56 covers the fins 54 . The low-dimensional layer 56 may be patterned using acceptable photolithography and etching techniques. The remainder of the low-dimensional layer 56 will form the channel regions and source/drain regions of the resulting low-dimensional FinFET.

도 6a 내지 도 18d는 일부 실시예들에 따라, 저차원 FinFET의 제조에 있어서 추가 중간 단계들의 다양한 도면이다. 도 6a, 도 7a, 도 8a, 도 9a, 도 10a, 도 11a, 도 12a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a 및 도 18a는 도 1의 기준 단면(A-A)을 따라 도시된 단면도이다. 도 6b, 도 7b, 도 8b, 도 9b, 도 10b, 도 11b, 도 12b, 도 13b, 도 14b, 도 15b, 도 16b, 도 17b 및 도 18b는 평면도이며, 도 6a, 도 7a, 도 8a, 도 9a, 도 10a, 도 11a, 도 12a, 도 13a, 도 14a, 도 15a, 도 16a, 도 17a 및 도 18a는 또한 도 6b, 도 7b, 도 8b, 도 9b, 도 10b, 도 11b, 도 12b, 도 13b, 도 14b, 도 15b, 도 16b, 도 17b 및 도 18b에서의 각각의 기준 단면(A-A)을 따라 도시된다. 도 18c는 도 1의 기준 단면(C-C)을 따라 도시된 단면도이다. 도 18d는 도 1의 기준 단면(D-D)을 따라 도시된 단면도이다. 도 6a 내지 도 18d는 단일 핀 영역의 처리를 도시하지만, 다수의 핀/FinFET가 동시에 처리될 수 있음을 이해해야 한다.6A-18D are various diagrams of additional intermediate steps in the fabrication of a low-dimensional FinFET, in accordance with some embodiments. 6a, 7a, 8a, 9a, 10a, 11a, 12a, 13a, 14a, 15a, 16a, 17a and 18a are views along the reference section A-A of FIG. is a cross-sectional view. 6b, 7b, 8b, 9b, 10b, 11b, 12b, 13b, 14b, 15b, 16b, 17b and 18b are plan views, and FIGS. 6a, 7a, 8a Figures 9a, 10a, 11a, 12a, 13a, 14a, 15a, 16a, 17a and 18a are also shown in Figures 6b, 7b, 8b, 9b, 10b, 11b, 12B, 13B, 14B, 15B, 16B, 17B and 18B are shown along the reference section A-A, respectively. FIG. 18C is a cross-sectional view taken along the reference cross-section C-C of FIG. 1 . FIG. 18D is a cross-sectional view taken along the reference cross-section D-D of FIG. 1 . 6A-18D illustrate processing of a single fin region, it should be understood that multiple fins/FinFETs may be processed simultaneously.

아래에서 더 상세히 논의되는 바와 같이, 도 6a 내지 도 10b는 소스/드레인 영역에 대한 소스/드레인 콘택이 재성장 공정을 통해 형성되는 실시예 공정을 도시한다. 구체적으로, 저차원 층(56)(및 선택적으로 핀(54))의 일부가 제거되어 개구(60)(도 7a 및 도 7b 참조)를 형성한다. 전도성 물질이 저차원 층(56)의 소스/드레인 영역(64)에 연결되는 소스/드레인 콘택(62)(도 10a 및 도 10b 참조)을 형성하기 위해 개구(60)에서 재성장된다. 예를 들어, 저차원 층(56)이 정렬된 탄소 나노 튜브를 포함하는 경우, 나노 튜브의 단부를 포함하는 저차원 층(56)의 부분은 소스/드레인 영역(64)으로 작용하고, 소스/드레인 콘택(62)은 나노 튜브의 단부에 연결(예컨대, 접촉)될 수 있다. 그러나, 이러한 공정은 저차원 층(56)이 전이 금속 디칼코게나이드(TMD) 층, 그래핀 층 등과 같은 다른 유형의 저차원 층인 경우에도 사용될 수 있다.As discussed in greater detail below, FIGS. 6A-10B illustrate an embodiment process in which source/drain contacts to source/drain regions are formed through a regrowth process. Specifically, a portion of the lower dimensional layer 56 (and optionally the fins 54 ) is removed to form the openings 60 (see FIGS. 7A and 7B ). A conductive material is regrown in opening 60 to form source/drain contacts 62 (see FIGS. 10A and 10B ) that connect to source/drain regions 64 of lower dimensional layer 56 . For example, if lower dimensional layer 56 comprises aligned carbon nanotubes, the portion of lower dimensional layer 56 comprising the ends of the nanotubes acts as source/drain regions 64 , The drain contact 62 may be connected (eg, contacted) to an end of the nanotube. However, this process may also be used when the low-dimensional layer 56 is another type of low-dimensional layer, such as a transition metal dichalcogenide (TMD) layer, a graphene layer, or the like.

도 6a 및 도 6b에서, 마스크(58)가 저차원 층(56) 및 기판(50) 위에 형성된다. 마스크(58)는 밑에 있는 저차원 층(56)을 노출시키는 개구(60)의 패턴을 갖는다. 개구(60)는 결과적인 저차원 FinFET에 대한 소스/드레인 영역으로서 작용할 저차원 층(56)의 부분을 노출시키고, 소스/드레인 콘택이 형성될 위치를 정의한다. 마스크(58)는 단일 층 포토레지스트, 이중 층 포토레지스트, 삼중 층 포토레지스트 등과 같은 포토레지스트로 형성될 수 있다. 일부 실시예들에서, 마스크(58)는 하부 층(예를 들어, 하부 반사 방지 코팅(bottom anti-reflective coating; BARC) 층), 중간 층(예를 들어, 질화물, 산화물, 산질화물 등) 및 상부 층(예를 들어, 포토레지스트)을 포함하는 삼중 층 마스크이다. 사용되는 마스크의 유형(예를 들어, 단일 층 마스크, 이중 층 마스크, 삼중 층 마스크 등)은 마스크(58)를 후속적으로 패턴화하기 위해 사용되는 포토 리소그래피 공정에 의존할 수 있다. 예를 들어, 극자외선(EUV) 리소그래피 공정에서, 마스크(58)는 단일 층 마스크 또는 이중 층 마스크일 수 있다. 마스크(58)는 스핀 코팅, CVD와 같은 성막 공정, 이들의 조합 등에 의해 형성될 수 있다.6A and 6B , a mask 58 is formed over the low-dimensional layer 56 and the substrate 50 . The mask 58 has a pattern of openings 60 exposing the underlying low-dimensional layer 56 . Opening 60 exposes a portion of low-dimensional layer 56 that will serve as a source/drain region for the resulting low-dimensional FinFET and defines where source/drain contacts will be formed. Mask 58 may be formed of a photoresist, such as a single layer photoresist, a double layer photoresist, a triple layer photoresist, or the like. In some embodiments, the mask 58 includes a bottom layer (eg, a bottom anti-reflective coating (BARC) layer), an intermediate layer (eg, a nitride, oxide, oxynitride, etc.) and It is a triple layer mask comprising a top layer (eg, photoresist). The type of mask used (eg, single layer mask, double layer mask, triple layer mask, etc.) may depend on the photolithography process used to subsequently pattern mask 58 . For example, in an extreme ultraviolet (EUV) lithography process, the mask 58 may be a single layer mask or a double layer mask. The mask 58 may be formed by spin coating, a deposition process such as CVD, a combination thereof, or the like.

마스크(58)는 개구(60)를 형성하기 위해 허용 가능한 포토 리소그래피 기술을 사용하여 패턴화될 수 있다. 개구(60)는 마스크(58)의 물질(들)에 의해 모든 측면에서 측 방향으로 경계가 형성된 경계 개구이다. 마스크(58)가 포토레지스트인 실시예들에서, 포토레지스트는 화학 반응을 유도하기 위해 패턴화된 에너지 원(예를 들어, 패턴화된 광원)에 포토레지스트를 노출시켜 패턴화된 광원에 노출된 포토레지스트 부분에서 물리적 변화를 유도함으로써 패턴화될 수 있다. 그런 다음, 포토레지스트는 물리적 변화를 이용하고 원하는 패턴에 따라 포토레지스트의 노출된 부분 또는 포토레지스트의 노출되지 않은 부분을 선택적으로 제거하기 위해 현상제를 노출된 포토레지스트에 적용함으로써 현상될 수 있다. 예시적인 포토레지스트 현상제는 메틸 이소 부틸 케톤(methyl isobutyl ketone; MIBK), 희석된 이소 프로필 알코올 등이 있다.Mask 58 may be patterned using acceptable photolithography techniques to form openings 60 . Opening 60 is a boundary opening that is laterally bounded on all sides by the material(s) of mask 58 . In embodiments where the mask 58 is a photoresist, the photoresist is exposed to the patterned light source by exposing the photoresist to a patterned energy source (eg, a patterned light source) to induce a chemical reaction. It can be patterned by inducing physical changes in the photoresist portion. The photoresist can then be developed by applying a developer to the exposed photoresist using physical changes and selectively removing exposed or unexposed portions of the photoresist according to the desired pattern. Exemplary photoresist developers include methyl isobutyl ketone (MIBK), diluted isopropyl alcohol, and the like.

개구(60)는 제 1 방향(D1)을 따라 측정된 폭(W2-A)과 제 2 방향(D2)을 따라 측정된 폭(W3-A)으로 형성된다. 제 1 방향(D1)은 핀(54)의 길이 방향 축에 평행하다. 제 2 방향(D2)은 제 1 방향(D1)에 수직이고, 핀(54)의 위도 방향 축에 평행하다. 폭(W3-A)은 폭(W2-A)보다 클 수 있고(또는 그렇지 않을 수 있으며), 또한 저차원 층(56)의 폭(W4)보다 클 수 있다(또는 그렇지 않을 수 있다). 예를 들어, 폭(W2-A)은 약 1 nm 내지 약 50 nm의 범위일 수 있고, 폭(W3-A)은 최대 약 20 nm일 수 있으며, 폭(W4)은 최대 약 20 nm일 수 있다.The opening 60 is formed with a width W 2 -A measured along the first direction D 1 and a width W 3 -A measured along the second direction D 2 . The first direction D 1 is parallel to the longitudinal axis of the pin 54 . The second direction D 2 is perpendicular to the first direction D 1 and parallel to the latitude axis of the fin 54 . The width W 3 -A may (or may not) be greater than the width W 2 -A , and may also be greater than the width W 4 of the low dimensional layer 56 (or it may not). ). For example, the width W 2 -A can range from about 1 nm to about 50 nm, the width W 3 -A can be up to about 20 nm, and the width W 4 can be up to about 20 nm. may be nm.

도 7a 및 도 7b에서, 저차원 층(56)은 저차원 층(56)을 통해 개구(60)를 연장하기 위해 에칭 마스크로서 마스크(58)를 사용하여 에칭되어 핀(54)을 노출시킨다. 에칭은 반응성 이온 에칭(reactive ion etch; RIE), 중성 빔 에칭(neutral beam etch; NBE) 또는 이들의 조합 등과 같은 임의의 허용 가능한 에칭 공정일 수 있다. 에칭은 이방성일 수 있다. 예를 들어, 에칭은 아르곤, 삼염화 붕소, 육불화황, 산소 등으로 수행되는 건식 에칭일 수 있다. 도시된 실시예에서, 핀(54)은 또한 핀(54)을 통해 개구(60)를 연장하기 위해 에칭 마스크로서 마스크(58)를 사용하여 에칭되어 기판(50)을 노출시킨다. 핀(54)은 저차원 층(56)을 에칭하기 위한 공정을 계속하거나 상이한 에칭액으로 다른 에칭을 수행함으로써 에칭될 수 있다. 에칭은 이방성일 수 있다. 예를 들어, 에칭은 아르곤으로 수행되는 건식 에칭일 수 있다. 다른 실시예(아래에서 더 논의됨)에서, 개구(60)는 핀(54)을 통해 연장되지 않는다.7A and 7B , low-dimensional layer 56 is etched using mask 58 as an etch mask to extend opening 60 through low-dimensional layer 56 to expose fins 54 . The etching may be any acceptable etching process, such as reactive ion etch (RIE), neutral beam etch (NBE), or a combination thereof. The etching may be anisotropic. For example, the etching may be dry etching performed with argon, boron trichloride, sulfur hexafluoride, oxygen, or the like. In the illustrated embodiment, fins 54 are also etched using mask 58 as an etch mask to extend openings 60 through fins 54 to expose substrate 50 . The fins 54 may be etched by continuing the process to etch the lower dimensional layer 56 or by performing another etch with a different etchant. The etching may be anisotropic. For example, the etching may be a dry etching performed with argon. In another embodiment (discussed further below), the opening 60 does not extend through the pin 54 .

도 8a 및 도 8b에서, 마스크(58)의 개구(60)는 저차원 층(56)의 추가 부분을 노출시키기 위해 넓어진다. 개구(60)를 넓히는 것은 결과적인 저차원 FinFET에 대한 소스/드레인 영역으로서 작용할 저차원 층(56)의 추가 부분을 노출시킨다. 마스크(58)가 포토레지스트인 실시예들에서, 마스크(58)의 개구(60)는 포토레지스트를 현상하기 위한 공정을 반복함으로써 넓어질 수 있다. 예를 들어, 포토레지스트의 나머지 부분에 현상제를 재도포할 수 있다. 포토레지스트의 나머지 부분은 패턴화된 광원에 노출되지 않은 포토레지스트 부분이지만, 현상제는 노출에 의해 물리적으로 변경된 포토레지스트의 부분보다 느린 속도이기는 하나 포토레지스트의 변경되지 않은 부분을 여전히 제거할 수 있다. 이와 같이, 마스크(58)에서 개구(60)를 넓힐 때의 제거율은 마스크(58)의 개구(60)를 초기에 패턴화할 때의 제거율보다 느리다. 마찬가지로, 마스크(58)는 개구(60)를 초기에 패턴화할 때보다 개구(60)를 넓힐 때 더 긴 지속 시간 동안 현상제에 노출될 수 있다.8A and 8B , the opening 60 of the mask 58 is widened to expose a further portion of the lower dimensional layer 56 . Widening the opening 60 exposes an additional portion of the low-dimensional layer 56 that will act as source/drain regions for the resulting low-dimensional FinFET. In embodiments where the mask 58 is a photoresist, the opening 60 of the mask 58 may be widened by repeating the process for developing the photoresist. For example, the developer may be reapplied to the remainder of the photoresist. The remaining portion of the photoresist is the portion of the photoresist that is not exposed to the patterned light source, but the developer can still remove the unaltered portion of the photoresist at a slower rate than the portion of the photoresist that has been physically altered by exposure. . As such, the removal rate when widening the opening 60 in the mask 58 is slower than the removal rate when the opening 60 of the mask 58 is initially patterned. Likewise, the mask 58 may be exposed to the developer for a longer duration when widening the apertures 60 than when initially patterning the apertures 60 .

마스크(58)의 개구(60)는 제 1 방향(D1)(위에서 논의됨)을 따라 측정되는 증가된 폭(W2-B) 및 제 2 방향(D2)(위에서 논의됨)를 따라 측정된 증가된 폭(W3-B)으로 넓어진다. 그러나, 저차원 층(56) 및 핀(54)의 개구(60)는 넓어지지 않는다. 이와 같이, 마스크(58)의 개구(60)의 증가된 폭(W2-B, W3-B)은 마스크(58)의 개구(60)의 원래 폭(W2-A, W3-A)보다 각각 크다. 개구(60)가 넓어진 후, 폭(W3-B)은 폭(W2-B)보다 크다. 예를 들어, 폭(W2-B)은 약 1 nm 내지 약 50 nm의 범위일 수 있고, 폭(W3-B)은 최대 약 20 nm일 수 있다.The opening 60 of the mask 58 has an increased width W 2 -B measured along a first direction D 1 (discussed above) and along a second direction D 2 (discussed above). It widens with the measured increased width (W 3 -B ). However, the openings 60 of the lower dimensional layer 56 and the fins 54 do not widen. As such, the increased width W 2-B , W 3-B of the opening 60 of the mask 58 is equal to the original width W 2-A , W 3-A of the opening 60 of the mask 58 . ) is greater than each. After the opening 60 is widened, the width W 3 -B is greater than the width W 2 -B . For example, the width W 2 -B can range from about 1 nm to about 50 nm, and the width W 3 -B can be up to about 20 nm.

일부 실시예들에서, 개구(60)는 제 1 방향(D1) 및 제 2 방향(D2)을 따라 넓어지므로, 코너 영역(58C)이 평면도에서 개구(60)의 코너에서 마스크(58)에 남아 있다. 코너 영역(58C)은 기판(50) 위에 배치되고, 저차원 층(56) 또는 핀(54)과 중첩하지 않는다. 제 1 방향(D1)을 따른 인접한 코너 영역(58C) 사이의 거리는 원래 폭(W2-A)이다. 제 2 방향(D2)을 따른 인접한 코너 영역(58C) 사이의 거리는 원래 폭(W3-A)이다.In some embodiments, the opening 60 widens along the first direction D 1 and the second direction D 2 , such that the corner region 58C is the mask 58 at the corner of the opening 60 in plan view. remains in Corner region 58C is disposed over substrate 50 and does not overlap low-dimensional layer 56 or fin 54 . The distance between adjacent corner regions 58C along the first direction D 1 is the original width W 2 -A . The distance between adjacent corner regions 58C along the second direction D 2 is the original width W 3 -A .

마스크(58)의 넓어진 개구(60)는 소스/드레인 콘택이 접촉할 저차원 층(56)의 상부면을 노출시킨다. 마스크(58)의 개구(60)의 폭은 소스/드레인 콘택의 폭을 결정하고, 소스/드레인 콘택의 폭은 결과적인 저차원 FinFET의 채널 길이(Lch)(도 13a 참조, 아래에서 더 상세히 논의됨)를 결정한다. 일부 실시예들에 따르면, 마스크(58)의 개구(60)는 원하는 채널 길이(Lch)에 따라 넓어진다. 예를 들어, 더 짧은 채널 길이(Lch)가 요구될 때, 더 넓은 개구(60)가 마스크(58)에 형성된다. 개구(60)를 넓히기 위해 현상제를 재도포할 때, 재도포를 위한 지속 시간은 원하는 채널 길이(Lch)에 따라 선택될 수 있으며, 더 긴 지속 시간은 더 짧은 채널 길이(Lch)를 초래한다. 채널 길이(Lch)의 제어에 관한 세부 사항은 아래에서 더 상세히 논의된다.The widened opening 60 of the mask 58 exposes the top surface of the lower dimensional layer 56 where the source/drain contacts will contact. The width of the opening 60 in the mask 58 determines the width of the source/drain contact, and the width of the source/drain contact is the channel length L ch of the resulting low-dimensional FinFET (see FIG. 13A , in more detail below). discussed). According to some embodiments, the opening 60 of the mask 58 widens with the desired channel length L ch . For example, when a shorter channel length L ch is desired, a wider opening 60 is formed in the mask 58 . When re-applying the developer to widen the opening 60, the duration for re-applying can be selected according to the desired channel length (L ch ), the longer duration being the shorter channel length (L ch ). cause Details regarding the control of the channel length (L ch ) are discussed in more detail below.

도 9a 및 도 9b에서, 소스/드레인 콘택(62)이 개구(60)에 형성된다. 소스/드레인 콘택(62)은 저차원 층(56)을 통해 연장된다. 개구(60)가 핀(54)을 통해 연장되는 실시예들에서, 소스/드레인 콘택(62)은 또한 핀(54)을 통해 연장되고 기판(50)과 접촉한다. 소스/드레인 콘택(62)은 개구(60)에 전도성 물질을 성막(예를 들어, 하향식 방식)하거나 성장(예를 들어, 상향식 방식)시킴으로써 형성된다. 전도성 물질은 금속 또는 금속성 능력을 갖도록 형성된 저차원 물질일 수 있다. 소스/드레인 콘택(62)을 위한 예시적인 전도성 물질은 스칸듐, 티타늄, 니오븀, 크롬, 텅스텐, 니켈, 팔라듐, 백금, 은, 금, 알루미늄, 이들의 조합 등을 포함한다. 일부 실시예들에서, 소스/드레인 콘택(62)은 침지 공정에 의해 기판(50) 또는 핀(54)으로부터 성장될 수 있는 저차원 물질이거나, 다른 기판 상에 형성되고 그런 다음 기판(50) 또는 핀(54)으로 전사될 수 있는 저차원 물질이다. 도시된 실시예에서, 소스/드레인 콘택(62)은 기판(50)으로부터 성장된다. 소스/드레인 콘택(62)이 핀(54)을 통해 연장되지 않는 실시예들에서, 소스/드레인 콘택(62)은 핀(54)으로부터 성장된다.9A and 9B , source/drain contacts 62 are formed in opening 60 . Source/drain contacts 62 extend through lower dimensional layer 56 . In embodiments where the opening 60 extends through the fin 54 , the source/drain contact 62 also extends through the fin 54 and contacts the substrate 50 . The source/drain contacts 62 are formed by depositing (eg, top-down) or growing (eg, bottom-up) a conductive material in the openings 60 . The conductive material may be a metal or a low-dimensional material formed to have metallic capabilities. Exemplary conductive materials for source/drain contacts 62 include scandium, titanium, niobium, chromium, tungsten, nickel, palladium, platinum, silver, gold, aluminum, combinations thereof, and the like. In some embodiments, source/drain contact 62 is a low-dimensional material that can be grown from substrate 50 or fin 54 by an immersion process, or is formed on another substrate and then substrate 50 or It is a low-dimensional material that can be transferred to the pins 54 . In the illustrated embodiment, source/drain contacts 62 are grown from substrate 50 . In embodiments where the source/drain contact 62 does not extend through the fin 54 , the source/drain contact 62 is grown from the fin 54 .

소스/드레인 콘택(62)은 소스/드레인 영역(64)으로서 작용하는 저차원 층(56)의 부분에 물리적으로 그리고 전기적으로 결합된다. 따라서, 소스/드레인 콘택(62)은 소스/드레인 영역(64)의 측벽 및 상부면과 물리적으로 접촉한다. 소스/드레인 영역(64)이 탄소 나노 튜브 층의 일부인 경우, 소스/드레인 영역(64)의 측벽과 접촉하는 소스/드레인 콘택(62)을 형성하는 것은 소스/드레인 콘택(62)이 탄소 나노 튜브의 단부에 직접 연결될 수 있도록 한다. 소스/드레인 영역(64)의 측벽과 접촉하여 소스/드레인 콘택(62)를 형성하는 것은 접촉 면적을 증가시킬 수 있다. 따라서, 소스/드레인 영역(64)에 대한 접촉 저항이 감소될 수 있다.The source/drain contacts 62 are physically and electrically coupled to the portion of the lower dimensional layer 56 that serves as the source/drain region 64 . Accordingly, the source/drain contacts 62 are in physical contact with the sidewalls and top surfaces of the source/drain regions 64 . When the source/drain regions 64 are part of a carbon nanotube layer, forming source/drain contacts 62 in contact with the sidewalls of the source/drain regions 64 means that the source/drain contacts 62 are carbon nanotubes. to be directly connected to the end of the Forming the source/drain contacts 62 in contact with the sidewalls of the source/drain regions 64 may increase the contact area. Accordingly, the contact resistance to the source/drain regions 64 can be reduced.

도 10a 및 도 10b에서, 마스크(58)는 제거된다. 마스크(58)가 포토레지스트를 포함하는 경우, 포토레지스트는, 예를 들어, 허용 가능한 애싱 공정에 의해 제거될 수 있다. 마스크(58)가 다른 층(예를 들어, BARC 층, 질화물 층 등)을 포함하는 경우, 허용 가능한 에칭 공정이 층을 제거하기 위해 사용될 수 있다.10A and 10B, the mask 58 is removed. If mask 58 includes photoresist, the photoresist may be removed, for example, by an acceptable ashing process. If the mask 58 includes other layers (eg, a BARC layer, a nitride layer, etc.), an acceptable etching process may be used to remove the layer.

도 10a에 도시된 바와 같이, 단면도에서, 소스/드레인 콘택(62)은 하부 부분(62L) 및 상부 부분(62U)을 갖는다. 소스/드레인 콘택(62)의 하부 부분(62L)은 핀(54) 및/또는 저차원 층(56)을 통해 연장된다. 소스/드레인 콘택(62)의 상부 부분(62U)은 마스크(58)를 통해 연장되고, 저차원 층(56)의 상부면과 접촉한다. 즉, 상부 부분(62U)은 저차원 층(56) 위에 있다. 소스/드레인 콘택(62)의 하부 부분(62L)은 제 1 방향(D1)을 따라 폭(W2-A)을 갖고(도 9a 참조), 소스/드레인 콘택(62)의 상부 부분(62U)은 제 1 방향(D1)을 따라 폭(W2-B)을 갖는다(도 9a 참조).As shown in FIG. 10A , in a cross-sectional view, the source/drain contact 62 has a lower portion 62L and an upper portion 62U. A lower portion 62L of the source/drain contact 62 extends through the fin 54 and/or the lower dimensional layer 56 . An upper portion 62U of the source/drain contacts 62 extends through the mask 58 and contacts the top surface of the lower dimensional layer 56 . That is, the upper portion 62U is above the lower dimensional layer 56 . The lower portion 62L of the source/drain contact 62 has a width W 2 -A along the first direction D 1 (see FIG. 9A ), and the upper portion 62U of the source/drain contact 62 . ) has a width W 2 -B along the first direction D 1 (see FIG. 9A ).

도 10b에 도시된 바와 같이, 평면도에서, 각각의 소스/드레인 콘택(62)은 중앙 부분(62C), 한 쌍의 제 1 돌출 부분(62P1) 및 한 쌍의 제 2 돌출 부분(62P2)을 갖는다. 중앙 부분(62C)은 제 1 돌출 부분(62P1) 사이에 배치되어 제 1 돌출 부분(62P1)은 제 1 방향(D1)을 따라 중앙 부분(62C)으로부터 멀어지게 연장된다. 중앙 부분(62C)은 또한 제 2 돌출 부분(62P2) 사이에 배치되어 제 2 돌출 부분(62P2)은 제 2 방향(D2)을 따라 중앙 부분(62C)으로부터 멀어지게 연장된다. 중앙 부분(62C)은 제 1 방향(D1)을 따라 폭(W2-A)을 갖고, 제 2 방향(D2)을 따라 폭(W3-A)을 갖는다(도 9b 참조).As shown in FIG. 10B , in a plan view, each source/drain contact 62 has a central portion 62C, a pair of first projecting portions 62P 1 , and a pair of second projecting portions 62P 2 . has The central portion 62C is disposed between the first protruding portions 62P 1 so that the first protruding portions 62P 1 extend away from the central portion 62C along the first direction D 1 . The central portion 62C is also disposed between the second projecting portions 62P 2 such that the second projecting portions 62P 2 extend away from the central portion 62C along the second direction D 2 . The central portion 62C has a width W 2 -A along a first direction D 1 and a width W 3 -A along a second direction D 2 (see FIG. 9B ).

제 1 돌출 부분(62P1)은 소스/드레인 영역(64)의 상부면과 같은 저차원 층(56)의 상부면 바로 위에 있고 이에 접촉한다. 제 1 돌출 부분(62P1)은 또한 기판(50)의 상부면과 접촉할 수 있다. 제 1 돌출 부분(62P1)은 제 1 방향(D1)을 따라 측정된 폭(W4)을 가지며, 이는 폭(W2-B)과 폭(W2-A) 사이의 차이의 절반과 같다(도 9a 참조). 중앙 부분(62C)과 제 1 돌출 부분(62P1)의 결합된 폭은 폭(W2-B)과 동일하다(도 9b 참조).The first protruding portion 62P 1 is directly above and in contact with a top surface of the lower dimensional layer 56 , such as a top surface of the source/drain regions 64 . The first protruding portion 62P 1 may also contact the top surface of the substrate 50 . The first protruding portion 62P 1 has a width W 4 measured along the first direction D 1 , which is equal to half the difference between the width W 2 -B and the width W 2 -A . the same (see Fig. 9a). The combined width of the central portion 62C and the first protruding portion 62P 1 is equal to the width W 2 -B (see FIG. 9B ).

제 2 돌출 부분(62P2)은 기판(50)의 상부면 바로 위에 있고 이에 접촉한다. 제 2 돌출 부분(62P2)은 저차원 층(56)의 상부면과 접촉하지 않는다. 제 2 돌출 부분(62P2)은 제 2 방향(D2)을 따라 측정된 폭(W5)을 가지며, 이는 폭(W3-B)과 폭(W3-A) 사이의 차이의 절반과 같다(도 9b 참조). 중앙 부분(62C)과 제 2 돌출 부분(62P2)의 결합된 폭은 폭(W3-B)과 동일하다(도 9b 참조).The second protruding portion 62P 2 is directly above and in contact with the top surface of the substrate 50 . The second protruding portion 62P 2 does not contact the top surface of the lower dimensional layer 56 . The second protruding portion 62P 2 has a width W 5 measured along the second direction D 2 , which is equal to half the difference between the width W 3 -B and the width W 3 -A . the same (see Fig. 9b). The combined width of the central portion 62C and the second protruding portion 62P 2 is equal to the width W 3 -B (see FIG. 9B ).

형성 후, 소스/드레인 콘택(62)은 제 1 방향(D1)을 따라 거리(D3)만큼 이격된다. 거리(D3)는 약 1 nm 내지 약 50 nm의 범위일 수 있다. 소스/드레인 콘택(62) 사이의 거리(D3)는 소스/드레인 콘택(62)의 제 1 돌출 부분(62P1)의 폭(W4)에 영향을 미치는 개구(60)의 폭(W2-B)(도 8a 참조)을 제어함으로써 제어될 수 있다. 구체적으로, 큰 폭(W4)을 갖는 제 1 돌출 부분(62P1)을 갖도록 소스/드레인 콘택(62)을 형성하는 것은 소스/드레인 콘택(62) 사이의 거리(D3)가 감소될 수 있도록 한다. 아래에서 더 논의되는 바와 같이, 소스/드레인 콘택(62) 사이의 거리(D3)는 결과적인 저차원 FinFET의 채널 길이(Lch)(도 13a 참조, 아래에서 더 상세히 논의됨)에 대응한다. 소스/드레인 콘택(62) 사이의 거리(D3)를 제어하는 것은 채널 길이(Lch)가 자가 정렬 방식으로 결정될 수 있도록 한다.After formation, the source/drain contacts 62 are spaced apart by a distance D 3 along the first direction D 1 . The distance D 3 may range from about 1 nm to about 50 nm. The distance D 3 between the source/drain contacts 62 is the width W 2 of the opening 60 which affects the width W 4 of the first protruding portion 62P 1 of the source/drain contact 62 . -B ) (see Fig. 8a) can be controlled. Specifically, forming the source/drain contact 62 to have the first protruding portion 62P 1 having a large width W 4 may reduce the distance D 3 between the source/drain contacts 62 . let it be As discussed further below, the distance D 3 between the source/drain contacts 62 corresponds to the channel length L ch of the resulting low-dimensional FinFET (see FIG. 13A , discussed in more detail below). . Controlling the distance D 3 between the source/drain contacts 62 allows the channel length L ch to be determined in a self-aligning manner.

도 11a 및 도 11b에서, 스페이서(70)가 소스/드레인 콘택(62) 상에 형성된다. 스페이서(70)는 분자의 자가 조립 단층(SAM)으로 형성되며, 자가 조립 스페이서라고도 한다. 도 11c에 도시된 바와 같이, SAM의 각각의 분자는 헤드기(head group), 꼬리(tail) 및 말단기(end group)를 포함한다. 헤드기는 스페이서(70)의 표면에 앵커 고정된(anchored) 티올, 포스포네이트, 실란 등일 수 있다. 말단기는 임의의 작용기일 수 있다. 꼬리는 헤드기를 말단기에 연결하는 하나 이상의 메틸렌 다리를 포함한다. SAM의 길이는 꼬리의 사슬 길이와 헤드기와 말단기 사이의 인력에 의해 결정된다.11A and 11B , spacers 70 are formed over source/drain contacts 62 . The spacer 70 is formed of a self-assembled monolayer (SAM) of molecules, also referred to as a self-assembled spacer. As shown in FIG. 11C , each molecule of SAM includes a head group, a tail and an end group. The head group may be a thiol, phosphonate, silane, etc. anchored to the surface of the spacer 70 . The end group can be any functional group. The tail comprises one or more methylene bridges connecting the head group to the terminal group. The length of the SAM is determined by the chain length of the tail and the attraction between the head and end groups.

SAM의 분자는 소스/드레인 콘택(62)의 표면으로부터 멀어지는 수직 방향으로 연장되도록 배향된다. 따라서, SAM의 길이는 스페이서(70)의 두께를 결정한다. 형성 후, 스페이서(70)의 수직 부분은 두께(T2)를 가지며, 스페이서(70)의 수평 부분은 두께(T3)를 갖는다. 일부 실시예들에 따르면, SAM이 원하는 길이를 갖도록 말단기가 선택되고, 따라서 스페이서(70)는 원하는 두께(T2, T3)를 갖는다. 스페이서(70)는 소스/드레인 콘택(62)의 표면 상에 헤드기 각각을 흡착(예를 들어, 화학 흡착에 의해)함으로써 성장될 수 있다. 꼬리는 정렬된 2 차원 또는 3 차원 구조로 구성되고 조립될 수 있다. 그런 다음, 꼬리의 종단기는 선택된 말단기와 함께 기능화될 수 있다. 말단기는 옥타데실트리클로로 실란, SiMeCl3, SiMe2Cl2, SiMe3Cl, SiMe3Br, SiMe3I, 헥사메틸디실라잔, n-BuSiCl3, iso-BuSiCl3, tert-BuSiCl3, 벤질-SiCl3, 퍼플루로옥틸트리클로로실란 등일 수 있다.The molecules of the SAM are oriented to extend in a vertical direction away from the surface of the source/drain contact 62 . Thus, the length of the SAM determines the thickness of the spacer 70 . After formation, the vertical portion of the spacer 70 has a thickness T 2 , and the horizontal portion of the spacer 70 has a thickness T 3 . According to some embodiments, the end groups are selected such that the SAM has a desired length, and thus the spacer 70 has a desired thickness (T 2 , T 3 ). The spacers 70 may be grown by adsorbing (eg, by chemisorption) each of the head groups on the surface of the source/drain contacts 62 . The tail can be constructed and assembled into an ordered two-dimensional or three-dimensional structure. The terminal group of the tail can then be functionalized with the selected terminal group. The end groups are octadecyltrichloro silane, SiMeCl 3 , SiMe 2 Cl 2 , SiMe 3 Cl, SiMe 3 Br, SiMe 3 I, hexamethyldisilazane, n-BuSiCl 3 , iso-BuSiCl 3 , tert-BuSiCl 3 , Benzyl-SiCl 3 , perfluorooctyltrichlorosilane, and the like.

스페이서(70)는 거리(D4)만큼 분리된다. 거리(D4)는 약 1 nm 내지 약 20 nm의 범위일 수 있다. 스페이서(70) 사이의 거리(D4)는 스페이서(70)의 두께(T2)를 제어함으로써 제어될 수 있다. 구체적으로, 스페이서(70)의 두께(T2)를 두껍게 형성하는 것은 스페이서(70) 사이의 거리(D4)가 줄어들 수 있도록 한다. 아래에서 더 논의되는 바와 같이, 스페이서(70) 사이의 거리(D4)는 결과적인 저차원 FinFET의 게이트 길이(Lg)(도 13a 참조, 아래에서 더 상세히 논의됨)에 대응한다. 스페이서(70) 사이의 거리(D4)를 제어하는 것은 게이트 길이(Lg)가 자가 정렬 방식으로 결정될 수 있도록 한다. 스페이서(70)를 성장시킬 때, SAM의 말단기는 원하는 게이트 길이(Lg)에 따라 선택될 수 있으며, 더 긴 SAM은 더 두꺼운 스페이서(70)를 형성하고 결과적으로 더 짧은 게이트 길이(Lg)를 초래한다.The spacers 70 are separated by a distance D 4 . The distance D 4 may range from about 1 nm to about 20 nm. The distance D 4 between the spacers 70 may be controlled by controlling the thickness T 2 of the spacers 70 . Specifically, forming the thickness T 2 of the spacers 70 to be thick allows the distance D 4 between the spacers 70 to be reduced. As discussed further below, the distance D 4 between the spacers 70 corresponds to the gate length L g of the resulting low-dimensional FinFET (see FIG. 13A , discussed in more detail below). Controlling the distance D 4 between the spacers 70 allows the gate length L g to be determined in a self-aligning manner. When growing the spacer 70, the end groups of the SAM can be selected according to the desired gate length (L g ), with a longer SAM forming a thicker spacer ( 70 ) and consequently a shorter gate length (L g ) . ) results in

스페이서(70)의 SAM은 완전히 균일한 방식으로 형성되지 않을 수 있다. 구체적으로, 소스/드레인 콘택(62)과 소스/드레인 영역(64)의 계면 및 소스/드레인 콘택(62)의 코너에서와 같이 밀집된 영역에서 SAM의 성장이 방해를 받을 수 있다. 이와 같이, 두께(T2, T3)는 불균일할 수 있다. 구체적으로, 스페이서(70)의 수직 부분의 두께(T2)는 저차원 층(56)에서 멀어지는 방향으로 증가하지만, 한 지점까지만 증가하고, 그런 다음 동일한 방향을 따라 감소하기 시작할 수 있다. 마찬가지로, 스페이서(70)의 수평 부분의 두께(T3)는 소스/드레인 콘택(62)의 상부면의 중심에서 더 클 수 있고, 소스/드레인 콘택(62)의 상부면의 에지에서 감소할 수 있다. 따라서, 스페이서(70)는 오목한 상부면과 같은 둥근 표면을 가질 수 있다.The SAM of the spacer 70 may not be formed in a completely uniform manner. Specifically, the growth of SAM may be hampered in dense regions, such as at the interface of source/drain contact 62 and source/drain region 64 and at the corner of source/drain contact 62 . As such, the thicknesses T 2 , T 3 may be non-uniform. Specifically, the thickness T 2 of the vertical portion of the spacer 70 may increase in a direction away from the low-dimensional layer 56 , but increase only to one point, and then begin to decrease along the same direction. Likewise, the thickness T 3 of the horizontal portion of the spacer 70 may be greater at the center of the top surface of the source/drain contact 62 , and may decrease at the edge of the top surface of the source/drain contact 62 . have. Accordingly, the spacer 70 may have a rounded surface, such as a concave top surface.

도 12a 및 도 12b에서, 게이트 유전체 층(72)이 형성된다. 게이트 유전체 층(72)은 저차원 층(56) 및 스페이서(70)의 상부면 및 측벽 상에 성막된 하나 이상의 층을 포함한다. 게이트 유전체 층(72)은 또한 기판(50)의 상부면 상에 형성될 수 있다. 일부 실시예들에서, 게이트 유전체 층(72)은 실리콘 산화물, 실리콘 질화물, 금속 산화물, 금속 실리케이트 등의 하나 이상의 층과 같은 하나 이상의 유전체 층을 포함한다. 예를 들어, 일부 실시예들에서, 게이트 유전체 층(72)은 열적 또는 화학적 산화에 의해 형성된 실리콘 산화물의 계면 층과, 하프늄, 알루미늄, 지르코늄, 란탄, 망간, 바륨, 티타늄, 납 및 이들의 조합의 금속 산화물 또는 실리케이트와 같은 위에 놓인 고유전율 유전체 물질을 포함한다. 게이트 유전체 층(72)은 약 7.0보다 큰 k 값을 갖는 유전체 층을 포함할 수 있다. 게이트 유전체 층(72)의 형성 방법은 분자 빔 성막(Molecular Beam Deposition; MBD), ALD, PECVD 등을 포함할 수 있다. 게이트 유전체 층(72)은 또한 상향식 방식으로 성장될 수 있는 육방정 붕소 질화물(hBN)과 같은 큰 밴드 갭을 갖는 저차원 절연 물질로 형성될 수 있다. 게이트 유전체 층(72)은 약 0.5 nm 내지 약 15 nm 범위와 같이 얇은 두께로 형성될 수 있다. 일부 실시예들에서, 게이트 유전체 층(72)은 저차원 층(56)보다 두껍다.12A and 12B, a gate dielectric layer 72 is formed. The gate dielectric layer 72 includes a low-dimensional layer 56 and one or more layers deposited on top surfaces and sidewalls of the spacers 70 . A gate dielectric layer 72 may also be formed on the top surface of the substrate 50 . In some embodiments, gate dielectric layer 72 includes one or more dielectric layers, such as one or more layers of silicon oxide, silicon nitride, metal oxide, metal silicate, or the like. For example, in some embodiments, the gate dielectric layer 72 is an interfacial layer of silicon oxide formed by thermal or chemical oxidation, and hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof. an overlying high-k dielectric material such as a metal oxide or silicate of Gate dielectric layer 72 may include a dielectric layer having a k value greater than about 7.0. A method of forming the gate dielectric layer 72 may include Molecular Beam Deposition (MBD), ALD, PECVD, or the like. The gate dielectric layer 72 may also be formed of a low-dimensional insulating material with a large band gap, such as hexagonal boron nitride (hBN), which may be grown in a bottom-up manner. The gate dielectric layer 72 may be formed to a thin thickness, such as in the range of about 0.5 nm to about 15 nm. In some embodiments, gate dielectric layer 72 is thicker than low dimensional layer 56 .

그런 다음, 게이트 전극 층(74)이 게이트 유전체 층(72) 상에 형성된다. 게이트 전극 층(74)은 게이트 유전체 층(72) 상에 성막될 수 있다. 게이트 전극 층(74)은 티타늄 질화물, 티타늄 산화물, 탄탈럼 질화물, 탄탈럼 탄화물, 코발트, 루테늄, 알루미늄, 텅스텐, 이들의 조합, 또는 이들의 다층과 같은 금속 함유 물질을 포함할 수 있다. 예를 들어, 단일의 게이트 전극 층(74)이 도시되어 있지만, 게이트 전극 층(74)은 임의의 수의 라이너 층, 임의의 수의 일 함수 조정 층 및 충전 물질을 포함할 수 있다.A gate electrode layer 74 is then formed on the gate dielectric layer 72 . A gate electrode layer 74 may be deposited over the gate dielectric layer 72 . The gate electrode layer 74 may include a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multiple layers thereof. For example, although a single gate electrode layer 74 is shown, the gate electrode layer 74 may include any number of liner layers, any number of work function tuning layers, and fill materials.

그런 다음, 게이트 유전체 층(72) 및 게이트 전극 층(74)은 기판(50)의 주 표면을 따라 연장되는 게이트 유전체 층(72) 및 게이트 전극 층(74)의 부분을 제거하도록 패턴화되어, 기판(50)을 노출시킨다. 게이트 유전체 층(72) 및 게이트 전극 층(74)의 나머지 부분은 저차원 층(56) 및 스페이서(70)를 덮는다. 게이트 유전체 층(72) 및 게이트 전극 층(74)은 허용 가능한 포토 리소그래피 및 에칭 기술을 사용하여 패턴화될 수 있다.The gate dielectric layer 72 and gate electrode layer 74 are then patterned to remove portions of the gate dielectric layer 72 and gate electrode layer 74 extending along the major surface of the substrate 50, The substrate 50 is exposed. The remaining portions of the gate dielectric layer 72 and the gate electrode layer 74 cover the lower dimension layer 56 and the spacers 70 . Gate dielectric layer 72 and gate electrode layer 74 may be patterned using acceptable photolithography and etching techniques.

도 13a 및 도 13b에서, 게이트 유전체 층(72) 및 게이트 전극 층(74)은 각각 게이트 유전체(82) 및 게이트 전극(84)을 형성하도록 패턴화된다. 패턴화는 스페이서(70) 위의 게이트 유전체 층(72) 및 게이트 전극 층(74)의 부분을 제거하여 스페이서(70) 및 저차원 층(56)의 일부를 노출하는 개구(78)를 형성한다. 게이트 유전체(82) 및 게이트 전극(84)은 결과적인 저차원 FinFET의 게이트 구조물(80)을 형성한다. 게이트 구조물(80)은 또한 "게이트 스택"으로 지칭될 수 있다.13A and 13B, gate dielectric layer 72 and gate electrode layer 74 are patterned to form gate dielectric 82 and gate electrode 84, respectively. The patterning removes portions of the gate dielectric layer 72 and gate electrode layer 74 over the spacers 70 to form openings 78 exposing portions of the spacers 70 and lower dimensional layer 56 . . Gate dielectric 82 and gate electrode 84 form the gate structure 80 of the resulting low-dimensional FinFET. Gate structure 80 may also be referred to as a “gate stack”.

게이트 유전체 층(72) 및 게이트 전극 층(74)은 임의의 허용 가능한 공정에 의해 패턴화될 수 있다. 일부 실시예들에서, 게이트 유전체 층(72) 및 게이트 전극 층(74)은 허용 가능한 포토 리소그래피 및 에칭 기술을 사용하여 패턴화될 수 있다. 일부 실시예들에서, 게이트 유전체 층(72) 및 게이트 전극 층(74)은 접착 리소그래피 공정을 사용하여 패턴화된다. 접착 리소그래피 공정에서, 접착 테이프(도시되지 않음)가 게이트 전극 층(74)의 상부면과 같은 게이트 전극 층(74)에 접착된다. 그런 다음, 테이프는 기판(50)의 주 표면에 수직인 방향으로 테이프를 당김으로써 게이트 전극 층(74)으로부터 박리된다. 따라서, 테이프의 박리 에지는 기판(50)에 걸쳐 측 방향으로 이동한다. 테이프를 박리할 때, 게이트 유전체 층(72) 및 게이트 전극 층(74)의 얇은 부분(예를 들어, 스페이서(70) 상의 부분)은 떨어져 나와 테이프에 붙지만, 게이트 유전체 층(72) 및 게이트 전극 층(74)의 두꺼운 부분(예를 들어, 저차원 층(56) 상의 부분)은 떨어지지 않고 남아 있다.Gate dielectric layer 72 and gate electrode layer 74 may be patterned by any acceptable process. In some embodiments, gate dielectric layer 72 and gate electrode layer 74 may be patterned using acceptable photolithography and etching techniques. In some embodiments, gate dielectric layer 72 and gate electrode layer 74 are patterned using an adhesion lithography process. In the adhesive lithography process, an adhesive tape (not shown) is adhered to the gate electrode layer 74 , such as the top surface of the gate electrode layer 74 . The tape is then peeled off from the gate electrode layer 74 by pulling the tape in a direction perpendicular to the major surface of the substrate 50 . Thus, the peeling edge of the tape moves laterally across the substrate 50 . When peeling off the tape, a thin portion of the gate dielectric layer 72 and gate electrode layer 74 (eg, the portion on the spacers 70) comes off and adheres to the tape, but the gate dielectric layer 72 and the gate A thick portion of the electrode layer 74 (eg, a portion on the lower dimensional layer 56 ) remains without falling off.

게이트 구조물(80)은 채널 영역(76)으로서 작용하는 저차원 층(56)의 부분을 덮는다. 구체적으로, 게이트 구조물(80)은, 예를 들어, 채널 영역(76)의 저차원 층(56)의 측벽 및 상부면을 따라 연장된다. 저차원 층(56)의 채널 영역(76)은 소스/드레인 영역(64) 사이에서 연장되고 게이트 구조물(80) 아래에 놓이는 저차원 층(56)의 부분이다. 채널 영역(76)의 채널 길이(Lch)는 소스/드레인 콘택(62) 사이의 거리(D3)(도 10a 및 도 10b 참조)에 의해 결정된다. 소스/드레인 콘택(62) 사이의 거리(D3)(도 10a 및 도 10b 참조)는 원하는 채널 길이(Lch)에 기초하여 선택될 수 있으며, 더 작은 거리(D3)는 더 작은 채널 길이(Lch)를 초래한다. 채널 길이(Lch)는 약 1 nm 내지 약 20 nm의 범위일 수 있다.Gate structure 80 covers a portion of low-dimensional layer 56 that acts as channel region 76 . Specifically, the gate structure 80 extends along, for example, sidewalls and top surfaces of the low-dimensional layer 56 of the channel region 76 . The channel region 76 of the lower dimensional layer 56 is the portion of the lower dimensional layer 56 that extends between the source/drain regions 64 and underlies the gate structure 80 . The channel length L ch of the channel region 76 is determined by the distance D 3 between the source/drain contacts 62 (see FIGS. 10A and 10B ). The distance D 3 (see FIGS. 10A and 10B ) between the source/drain contacts 62 can be selected based on the desired channel length L ch , with the smaller distance D 3 being the smaller channel length. (L ch ) The channel length (L ch ) may range from about 1 nm to about 20 nm.

게이트 구조물(80)은 스페이서(70) 사이의 거리(D4)(도 11a 및 도 11b 참조)에 의해 결정되는 게이트 길이(Lg)를 갖는다. 게이트 길이(Lg)는 2 개의 처리 단계에서 제어될 수 있다. 첫째, 소스/드레인 콘택(62) 사이의 거리(D3)(도 10a 및 도 10b 참조)는 원하는 게이트 길이(Lg)에 기초하여 선택될 수 있으며, 더 작은 거리(D3)는 더 작은 게이트 길이(Lg)를 초래한다. 둘째, 스페이서(70)의 두께(T2)는 원하는 게이트 길이(Lg)에 기초하여 선택될 수 있으며, 스페이서(70)의 두꺼운 두께(T2)는 더 작은 게이트 길이(Lg)를 초래한다. 게이트 길이(Lg)는 약 1 nm 내지 약 20 nm의 범위일 수 있다.The gate structure 80 has a gate length L g determined by the distance D 4 between the spacers 70 (see FIGS. 11A and 11B ). The gate length L g can be controlled in two processing steps. First, the distance D 3 (see FIGS. 10A and 10B ) between the source/drain contacts 62 can be selected based on the desired gate length L g , with the smaller distance D 3 being the smaller resulting in a gate length (L g ). Second, the thickness T 2 of the spacer 70 can be selected based on the desired gate length L g , with a higher thickness T 2 of the spacer 70 resulting in a smaller gate length L g . do. The gate length (L g ) may range from about 1 nm to about 20 nm.

스페이서(70)가 오목한 표면을 갖기 때문에, 게이트 구조물(80)(예를 들어, 게이트 전극(84))은 볼록한 측벽을 갖는다. 구체적으로, 게이트 구조물(80)의 게이트 길이(Lg)는 저차원 층(56)으로부터 멀어지는 방향으로 감소하지만, 한 지점까지만 감소하고, 그런 다음 동일한 방향을 따라 증가하기 시작할 수 있다. 이러한 모양은 또한 "푸터" 또는 "모래 시계" 모양으로 지칭될 수 있다. 이러한 모양으로, 각각의 게이트 구조물(80)은 게이트 구조물(80)의 상부에서 상부 폭, 게이트 구조물(80)의 중앙에서 중앙 폭, 및 게이트 구조물(80)의 하부에서 하부 폭을 가지며, 중앙 폭은 상부 폭과 하부 폭 각각보다 작다. 일부 실시예들에서, 게이트 전극(84)과 소스/드레인 영역(62) 사이의 거리는 대응하는 게이트 유전체(82)와 소스/드레인 영역(62) 사이의 거리보다 작다.Because spacer 70 has a concave surface, gate structure 80 (eg, gate electrode 84) has convex sidewalls. Specifically, the gate length L g of the gate structure 80 may decrease in a direction away from the lower dimensional layer 56 , but decrease only to one point, and then begin to increase along the same direction. This shape may also be referred to as a “footer” or “hourglass” shape. With this shape, each gate structure 80 has a top width at the top of the gate structure 80 , a central width at the center of the gate structure 80 , and a bottom width at the bottom of the gate structure 80 , and has a central width. is smaller than the upper and lower widths, respectively. In some embodiments, the distance between the gate electrode 84 and the source/drain region 62 is less than the distance between the corresponding gate dielectric 82 and the source/drain region 62 .

도 14a 및 도 14b에서, 스페이서(70)는 개구(78)에서 소스/드레인 콘택(62)을 노출시키기 위해 제거된다. 스페이서(70)는 저차원 층(56), 소스/드레인 콘택(62), 게이트 유전체(82) 및 게이트 전극(84)의 물질보다 더 빠른 속도로 스페이서(70)의 물질을 선택적으로 에칭하는 것과 같은 허용 가능한 에칭 공정에 의해 제거될 수 있다. 에칭은 등방성일 수 있다. 예를 들어, 에칭은 습식 에칭을 포함할 수 있다. 에칭액은 스페이서(70)를 형성하는 데 사용되는 SAM의 분자를 기반으로 선택될 수 있다.14A and 14B , spacers 70 are removed to expose source/drain contacts 62 at openings 78 . The spacer 70 is formed by selectively etching the material of the spacer 70 at a higher rate than the material of the lower dimensional layer 56, the source/drain contacts 62, the gate dielectric 82, and the gate electrode 84. It can be removed by the same acceptable etching process. The etching may be isotropic. For example, the etching may include a wet etching. The etchant may be selected based on the molecules of the SAM used to form the spacers 70 .

도 15a 및 도 15b에서, 소스/드레인 연장부(86)가 저차원 층(56)에 형성된다. 소스/드레인 연장부(86)는 또한 고농도 도핑된 연장된 소스/드레인 영역으로 지칭될 수 있다. 소스/드레인 연장부(86)는 게이트 구조물(80)(도 13a 참조)과 소스/드레인 콘택(62) 사이의 저차원 층(56)의 부분과 같은 저차원 층(56)의 노출된 부분에 적절한 불순물(예를 들어, p 형 또는 n 형 도펀트)을 주입함으로써 형성될 수 있다. 예시적인 n 형 불순물은 인, 비소, 안티몬 등을 포함하며, 이는 TiOx 용액 도핑, Cl 용액 도핑, SiNx 층 도핑 등에 의해 주입될 수 있다. 예시적인 p 형 불순물은 붕소, 불화 붕소, 인듐 등을 포함하며, 이는 산화 질소 가스 도핑, AuCl3 용액 도핑, WOx 및 MoOx 층 도핑 등에 의해 주입될 수 있다. 개별적으로 도시되었지만, 각각의 소스/드레인 영역(64) 및 대응하는 소스/드레인 연장부(86)는 집합적으로 소스/드레인 영역으로서 기능할 수 있다.15A and 15B , source/drain extensions 86 are formed in lower dimension layer 56 . Source/drain extensions 86 may also be referred to as heavily doped extended source/drain regions. The source/drain extensions 86 are in exposed portions of the lower dimensional layer 56, such as the portion of the lower dimensional layer 56 between the gate structure 80 (see FIG. 13A ) and the source/drain contacts 62 . may be formed by implanting appropriate impurities (eg, p-type or n-type dopants). Exemplary n-type impurities include phosphorus, arsenic, antimony, and the like, which may be implanted by TiOx solution doping, Cl solution doping, SiNx layer doping, or the like. Exemplary p-type impurities include boron, boron fluoride, indium, and the like, which may be implanted by nitric oxide gas doping, AuCl 3 solution doping, WOx and MoOx layer doping, and the like. Although shown separately, each source/drain region 64 and corresponding source/drain extension 86 may collectively function as a source/drain region.

도 16a 및 도 16b에서, 제 1 층간 유전체(interlayer dielectric; ILD) 층(92)이 소스/드레인 콘택(62), 소스/드레인 연장부(86), 게이트 유전체(82) 및 게이트 전극(84) 위에 성막된다. 제 1 ILD 층(92)은 유전체 물질로 형성될 수 있으며, CVD, 플라즈마 강화 CVD(PECVD) 또는 FCVD와 같은 임의의 적합한 방법에 의해 성막될 수 있다. 유전체 물질은 포스포 실리케이트 유리(PSG), 보로 실리케이트 유리(BSG), 붕소 도핑된 포스포 실리케이트 유리(BPSG), 비도핑된 실리케이트 유리(USG) 등을 포함할 수 있다. 임의의 허용 가능한 공정에 의해 형성된 다른 절연 물질이 사용될 수 있다. 예를 들어, 제 1 ILD 층(92)은 또한 탄소 도핑된 산화물과 같은 패시베이션 물질, 다공성 탄소 도핑된 실리콘 이산화물과 같은 극저유전율 유전체, 폴리이미드, 솔더 레지스트, 폴리벤조옥사졸(polybenzoxazole; PBO), 벤조시클로부텐(benzocyclobutene; BCB) 기반 폴리머, 몰딩 화합물과 같은 폴리머 또는 이들의 조합 등으로 형성될 수 있다. 패시베이션 물질은 스핀 코팅, 라미네이션, 성막 공정 또는 이들의 조합 등에 의해 형성될 수 있다. 패시베이션 물질은 또한 상향식 방식으로 성장될 수 있는 육방정 붕소 질화물(hBN)과 같은 큰 밴드 갭을 갖는 저차원 절연 물질로 형성될 수 있다.16A and 16B , a first interlayer dielectric (ILD) layer 92 includes source/drain contacts 62 , source/drain extensions 86 , gate dielectric 82 and gate electrode 84 . is deposited on top The first ILD layer 92 may be formed of a dielectric material and deposited by any suitable method, such as CVD, plasma enhanced CVD (PECVD), or FCVD. The dielectric material may include phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG), undoped silicate glass (USG), and the like. Other insulating materials formed by any acceptable process may be used. For example, the first ILD layer 92 may also include a passivating material such as carbon doped oxide, an extremely low permittivity dielectric such as porous carbon doped silicon dioxide, polyimide, solder resist, polybenzoxazole (PBO), It may be formed of a benzocyclobutene (BCB)-based polymer, a polymer such as a molding compound, or a combination thereof. The passivation material may be formed by a spin coating, lamination, deposition process, or a combination thereof, or the like. The passivation material can also be formed of a low-dimensional insulating material with a large band gap, such as hexagonal boron nitride (hBN), which can be grown in a bottom-up manner.

도 17a 및 도 17b에서, 제 2 소스/드레인 콘택(94)이 제 1 ILD 층(92)을 통해 소스/드레인 콘택(62)에 형성된다. 제 2 소스/드레인 콘택(94)을 위한 개구가 제 1 ILD 층(92)을 통해 형성된다. 개구는 허용 가능한 포토 리소그래피 및 에칭 기술을 사용하여 형성될 수 있다. 확산 장벽 층, 접착 층 등과 같은 라이너(도시되지 않음) 및 전도성 물질이 개구에서 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈럼, 탄탈럼 질화물 등을 포함할 수 있다. 전도성 물질은 구리, 구리 합금, 은, 금, 텅스텐, 코발트, 알루미늄, 니켈 등일 수 있다. 제 1 ILD 층(92)의 상부면으로부터의 초과 물질을 제거하기 위해 CMP와 같은 평탄화 공정이 수행될 수 있다. 나머지 라이너 및 전도성 물질은 개구에서 제 2 소스/드레인 콘택(94)을 형성한다. 제 2 소스/드레인 콘택(94)은 소스/드레인 콘택(62)에 물리적으로 그리고 전기적으로 결합된다. 형성 후, 제 2 소스/드레인 콘택(94) 및 게이트 전극(84)의 상부면은 (공정 변동 내에서) 동일 평면 상에 있다. 일부 실시예들에서, 제 2 소스/드레인 콘택(94)을 형성하기 전에, 게이트 전극(84)의 상부면으로부터의 제 1 ILD 층(92)의 초과 물질을 제거하기 위해 CMP와 같은 추가 평탄화 공정이 수행된다. 다른 실시예에서, 제 2 소스/드레인 콘택(94)을 형성할 때 수행되는 평탄화 공정은 또한 게이트 전극(84)의 상부면으로부터의 제 1 ILD 층(92)의 초과 물질을 제거한다.17A and 17B , a second source/drain contact 94 is formed in the source/drain contact 62 through the first ILD layer 92 . An opening for a second source/drain contact 94 is formed through the first ILD layer 92 . The opening may be formed using acceptable photolithography and etching techniques. A liner (not shown), such as a diffusion barrier layer, an adhesive layer, etc., and a conductive material are formed in the opening. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as CMP, may be performed to remove excess material from the top surface of the first ILD layer 92 . The remaining liner and conductive material form a second source/drain contact 94 in the opening. The second source/drain contact 94 is physically and electrically coupled to the source/drain contact 62 . After formation, the top surfaces of the second source/drain contact 94 and the gate electrode 84 are coplanar (within process variations). In some embodiments, prior to forming the second source/drain contact 94 , an additional planarization process, such as CMP, to remove excess material of the first ILD layer 92 from the top surface of the gate electrode 84 . This is done. In another embodiment, the planarization process performed when forming the second source/drain contacts 94 also removes excess material of the first ILD layer 92 from the top surface of the gate electrode 84 .

도 18a 내지 도 18d에서, 제 2 ILD 층(96)이 제 1 ILD 층(92) 위에 성막된다. 일부 실시예에서, 제 2 ILD 층(96)은 유동성 CVD 방법에 의해 형성된 유동성 막이다. 일부 실시예들에서, 제 2 ILD 층(96)는 PSG, BSG, BPSG, USG 등과 같은 유전체 물질로 형성되고, CVD 및 PECVD와 같은 임의의 적합한 방법에 의해 성막될 수 있다. 일부 실시예들에서, 제 1 ILD 층(92)과 제 2 ILD 층(96) 사이에 에칭 정지 층이 형성된다.18A-18D , a second ILD layer 96 is deposited over the first ILD layer 92 . In some embodiments, the second ILD layer 96 is a flowable film formed by a flowable CVD method. In some embodiments, the second ILD layer 96 is formed of a dielectric material such as PSG, BSG, BPSG, USG, etc., and may be deposited by any suitable method, such as CVD and PECVD. In some embodiments, an etch stop layer is formed between the first ILD layer 92 and the second ILD layer 96 .

제 3 소스/드레인 콘택(98) 및 게이트 콘택(100)이 각각 제 2 소스/드레인 콘택(94) 및 게이트 전극(84)에 형성된다. 제 3 소스/드레인 콘택(98) 및 게이트 콘택(100)을 위한 개구가 제 2 ILD 층(96)을 통해 형성된다. 개구는 허용 가능한 포토 리소그래피 및 에칭 기술을 사용하여 형성될 수 있다. 확산 장벽 층, 접착 층 등과 같은 라이너 및 전도성 물질이 개구에서 형성된다. 라이너는 티타늄, 티타늄 질화물, 탄탈럼, 탄탈럼 질화물 등을 포함할 수 있다. 전도성 물질은 구리, 구리 합금, 은, 금, 텅스텐, 코발트, 알루미늄, 니켈 등일 수 있다. 제 2 ILD 층(96)의 표면으로부터의 초과 물질을 제거하기 위해 CMP와 같은 평탄화 공정이 수행될 수 있다. 나머지 라이너 및 전도성 물질은 개구에서 제 3 소스/드레인 콘택(98) 및 게이트 콘택(100)을 형성한다. 제 3 소스/드레인 콘택(98)은 제 2 소스/드레인 콘택(94)에 물리적으로 그리고 전기적으로 결합되고, 게이트 콘택(100)은 게이트 전극(84)에 물리적으로 그리고 전기적으로 결합된다. 제 3 소스/드레인 콘택(98) 및 게이트 콘택(100)은 상이한 공정으로 형성될 수 있거나, 동일한 공정으로 형성될 수 있다. 동일한 단면에 형성되는 것으로 도시되어 있지만, 제 3 소스/드레인 콘택(98) 및 게이트 콘택(100) 각각은 상이한 단면에 형성될 수 있으며, 이는 콘택의 단락을 피할 수 있음을 이해해야 한다.A third source/drain contact 98 and a gate contact 100 are formed on the second source/drain contact 94 and the gate electrode 84, respectively. Openings for the third source/drain contact 98 and the gate contact 100 are formed through the second ILD layer 96 . The opening may be formed using acceptable photolithography and etching techniques. A liner and conductive material such as a diffusion barrier layer, an adhesive layer, and the like are formed in the opening. The liner may include titanium, titanium nitride, tantalum, tantalum nitride, and the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as CMP, may be performed to remove excess material from the surface of the second ILD layer 96 . The remaining liner and conductive material form a third source/drain contact 98 and a gate contact 100 in the opening. Third source/drain contact 98 is physically and electrically coupled to second source/drain contact 94 , and gate contact 100 is physically and electrically coupled to gate electrode 84 . The third source/drain contact 98 and the gate contact 100 may be formed by different processes or may be formed by the same process. Although shown as being formed in the same cross-section, it should be understood that each of the third source/drain contact 98 and gate contact 100 may be formed in a different cross-section, which may avoid shorting the contacts.

도 19a 내지 도 19d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다. 이 실시예는 소스/드레인 콘택(62)이 저차원 층(56)을 통해서만 형성되고 핀(54) 내로/이를 통해 연장되어 형성되지 않는다는 점을 제외하면, 도 18a 내지 도 18d의 실시예와 유사하다. 예를 들어, 이 실시예는 도 7a 및 도 7b와 관련하여 설명된 개구(60)가 핀(54)을 통해 연장되지 않는 경우에 형성될 수 있다. 소스/드레인 콘택(62)은 더 낮은 비용으로 형성될 수 있다. 이 실시예에서, 소스/드레인 콘택(62)의 하부 부분이 핀(54) 위에 있다.19A-19D illustrate a low-dimensional FinFET, in accordance with some embodiments. This embodiment is similar to the embodiment of FIGS. 18A-18D , except that the source/drain contacts 62 are formed only through the lower dimensional layer 56 and not extending into/through the fin 54 . do. For example, this embodiment may be formed where the opening 60 described with respect to FIGS. 7A and 7B does not extend through the pin 54 . The source/drain contacts 62 can be formed at a lower cost. In this embodiment, the lower portion of the source/drain contact 62 is above the fin 54 .

도 20a 내지 도 20d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다. 이 실시예는 소스/드레인 콘택(62)이 저차원 층(56) 상에 형성되고 저차원 층(56) 내로/이를 통해 연장되지 않는다는 점을 제외하면, 도 18a 내지 도 18d의 실시예와 유사하다. 예를 들어, 이 실시예는 도 6a 및 도 6b의 구조물과 유사한 구조물을 획득하고, 마스크(58)의 개구(60)에서, 예를 들어, 저차원 층(56) 상에 직접, 소스/드레인 콘택(62)을 성막하거나 성장시킴으로써 형성될 수 있다. 본 실시예에 따른 소스/드레인 콘택(62)은, 저차원 층(56)이 소스/드레인 콘택(62)에 연결하기 위한 단부를 갖는 구조물을 갖지 않는 저차원 물질로 형성될 때 형성될 수 있다. 예를 들어, 이 실시예의 저차원 층(56)은 전이 금속 디칼코게나이드(TMD) 층, 그래핀 층 등일 수 있다. 이 실시예에서, 소스/드레인 콘택(62)의 전체가 저차원 층(56) 위에 있다.20A-20D illustrate a low-dimensional FinFET, in accordance with some embodiments. This embodiment is similar to the embodiment of FIGS. 18A-18D , except that the source/drain contacts 62 are formed on the lower dimensional layer 56 and do not extend into/through the lower dimensional layer 56 . do. For example, this embodiment obtains a structure similar to that of FIGS. 6A and 6B , at the opening 60 of the mask 58 , eg, directly on the low-dimensional layer 56 , source/drain. It may be formed by depositing or growing the contact 62 . The source/drain contact 62 according to the present embodiment may be formed when the low-dimensional layer 56 is formed of a low-dimensional material that does not have a structure having an end for connecting to the source/drain contact 62 . . For example, the low-dimensional layer 56 of this embodiment may be a transition metal dichalcogenide (TMD) layer, a graphene layer, or the like. In this embodiment, all of the source/drain contacts 62 are over the low-dimensional layer 56 .

도 21a 내지 도 21d는 일부 실시예들에 따라, 저차원 FinFET를 도시한다. 이 실시예는 제 2 소스/드레인 콘택(102)이 제 1 ILD 층(92) 및 제 2 ILD 층(96) 모두를 통해 연장되어 형성된다는 점을 제외하면, 도 18a 내지 도 18d의 실시예와 유사하다. 제 2 소스/드레인 콘택(102)은 게이트 콘택(100)과 동일한 공정으로 형성될 수 있다. 제 2 소스/드레인 콘택(102)을 위한 개구는 제 1 ILD 층(92) 및 제 2 ILD 층(96) 모두를 통해 형성될 수 있고, 제 2 소스/드레인 콘택(102)은 도 18a 및 도 18b와 관련하여 논의된 것과 유사한 방식으로 개구에 형성될 수 있다. 즉, 이 실시예에서, 소스/드레인 콘택(62)에 대한 접촉은 제 1 ILD 층(92) 및 제 2 ILD 층(96)을 통해 단일의 연속적인 전도성 피처를 형성함으로써 달성되지만, 도 18a 내지 도 18d의 실시예에서는 소스/드레인 콘택(62)에 대한 접촉은 제 1 ILD 층(92) 및 제 2 ILD 층(96)을 통해 별도의 전도성 피처를 형성함으로써 달성된다. 유사한 제 2 소스/드레인 콘택(102)이 또한 도 19a 내지 도 19d의 실시예 및 도 20a 내지 도 20d의 실시예에서 형성될 수 있음을 이해해야 한다.21A-21D illustrate a low-dimensional FinFET, in accordance with some embodiments. This embodiment is similar to the embodiment of FIGS. 18A-18D except that a second source/drain contact 102 is formed extending through both the first ILD layer 92 and the second ILD layer 96 . similar. The second source/drain contact 102 may be formed in the same process as the gate contact 100 . An opening for the second source/drain contact 102 may be formed through both the first ILD layer 92 and the second ILD layer 96 , the second source/drain contact 102 being shown in FIGS. 18A and 18A and FIG. It may be formed in the opening in a manner similar to that discussed with respect to 18b. That is, in this embodiment, contact to the source/drain contacts 62 is achieved by forming a single continuous conductive feature through the first ILD layer 92 and the second ILD layer 96 , although FIGS. In the embodiment of FIG. 18D contact to the source/drain contacts 62 is achieved by forming separate conductive features through the first ILD layer 92 and the second ILD layer 96 . It should be understood that a similar second source/drain contact 102 may also be formed in the embodiments of FIGS. 19A-19D and 20A-20D.

실시예들은 장점을 달성할 수 있다. 저차원 층(56)을 통해 소스/드레인 콘택(62)을 형성하는 것은 접촉 면적을 증가시키고, 접촉 저항을 감소시킬 수 있으며, 또한 소스/드레인 콘택(62)이 저차원 층(56)의 탄소 나노 튜브의 단부에 연결될 수 있도록 한다. 따라서, 결과적인 저차원 FinFET의 성능은 개선될 수 있다. 또한, 스페이서(70)를 자가 조립 스페이서로 형성하는 것은 결과적인 게이트 구조물(80)의 길이가 자가 정렬 방식으로 제어될 수 있도록 한다. 따라서, 제조의 유연성이 개선될 수 있다.Embodiments may achieve advantages. Forming the source/drain contacts 62 through the low-dimensional layer 56 may increase the contact area and decrease the contact resistance, and also the source/drain contacts 62 may reduce the carbon in the low-dimensional layer 56 . to be connected to the end of the nanotube. Accordingly, the performance of the resulting low-dimensional FinFET can be improved. Also, forming the spacers 70 as self-assembled spacers allows the length of the resulting gate structures 80 to be controlled in a self-aligning manner. Accordingly, the flexibility of manufacturing can be improved.

일 실시예에서, 방법은: 기판 상에 유전체 핀을 형성하는 단계; 유전체 핀 상에 저차원 층을 형성하는 단계; 저차원 층 상에 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택을 형성하는 단계; 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택 상에 각각 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서를 성장시키는 단계 - 제 1 자가 조립 스페이서와 제 2 자가 조립 스페이서 사이에 저차원 층의 채널 영역이 배치됨 - ; 채널 영역 상에 게이트 구조물을 형성하는 단계; 및 게이트 구조물을 형성한 후, 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서를 제거하는 단계를 포함한다.In one embodiment, a method includes: forming a dielectric fin on a substrate; forming a low-dimensional layer on the dielectric fin; forming a first source/drain contact and a second source/drain contact on the low-dimensional layer; growing a first self-assembling spacer and a second self-assembling spacer on the first source/drain contact and the second source/drain contact, respectively, a channel of a low-dimensional layer between the first self-assembling spacer and the second self-assembling spacer area is placed - ; forming a gate structure on the channel region; and after forming the gate structure, removing the first self-assembling spacer and the second self-assembling spacer.

상기 방법의 일부 실시예들에서, 저차원 층을 형성하는 단계는: 침지 공정에 의해 탄소 나노 튜브 네트워크를 성장시키는 단계; 탄소 함유 전구체를 분해하여 정렬된 탄소 나노 튜브를 성장시키는 단계; 또는 복수의 전이 금속 디칼코게나이드(transition metal dichalcogenide; TMD) 층을 성막하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 게이트 구조물을 형성하는 단계는: 제 1 자가 조립 스페이서, 제 2 자가 조립 스페이서 및 채널 영역 상에 게이트 유전체 층을 성막하는 단계; 게이트 유전체 층 상에 게이트 전극 층을 성막하는 단계; 및 접착 리소그래피 공정을 사용하여 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서 상의 게이트 유전체 층 및 게이트 전극 층의 일부를 제거하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 접착 리소그래피 공정은: 게이트 전극 층에 테이프를 접착하는 단계; 및 기판의 주 표면에 수직인 방향으로 테이프를 당겨서 게이트 전극 층으로부터 테이프를 박리하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서를 성장시키는 단계는: 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택 상에 분자의 자가 조립 단층을 성장시키는 단계를 포함하고, 각각의 분자는 헤드기, 꼬리 및 말단기를 포함하고, 헤드기는 제 1 소스/드레인 콘택 또는 제 2 소스/드레인 콘택 중 하나의 표면에 앵커 고정되며(anchored), 꼬리는 헤드기를 말단기에 연결한다. 상기 방법의 일부 실시예들에서, 분자의 자가 조립 단층을 성장시키는 단계는: 게이트 구조물의 원하는 길이에 따라 말단기를 선택하는 단계; 각각의 분자에 대해: 표면 상에 헤드기를 흡착하는 단계; 꼬리를 조립하는 단계; 및 선택된 말단기와 함께 꼬리의 종단기를 기능화하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택을 형성하는 단계는: 저차원 층 상에 포토레지스트를 형성하는 단계; 포토레지스트를 패턴화된 광원에 노출시키는 단계; 저차원 층을 노출시키는 개구를 포토레지스트에 형성하기 위해 포토레지스트에 현상제를 도포하는 단계; 및 개구에 그리고 및 저차원 층 상에 전도성 물질을 형성하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택을 형성하는 단계는: 저차원 층 상에 포토레지스트를 형성하는 단계; 포토레지스트를 패턴화된 광원에 노출시키는 단계; 저차원 층을 노출시키는 개구를 포토레지스트에 형성하기 위해 포토레지스트에 현상제를 도포하는 단계; 개구를 저차원 층으로 연장하기 위해 포토레지스트를 에칭 마스크로 사용하여 저차원 층을 에칭하는 단계; 포토레지스트의 개구를 넓히기 위해 포토레지스트에 현상제를 재도포하는 단계; 및 포토레지스트의 개구 및 저차원 층의 개구에 전도성 물질을 형성하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 전도성 물질을 형성하는 단계는 유전체 핀 상에 전도성 물질을 형성하는 단계를 포함한다. 일부 실시예들에서, 상기 방법은: 개구를 유전체 핀으로 연장하기 위해 포토레지스트를 에칭 마스크로 사용하여 유전체 핀을 에칭하는 단계를 더 포함하며, 여기서 전도성 물질을 형성하는 단계는 유전체 핀의 개구에 전도성 물질을 형성하는 단계를 포함한다. 상기 방법의 일부 실시예들에서, 포토레지스트에 현상제를 재도포하는 단계는: 채널 영역의 원하는 길이에 따라 지속 시간을 선택하는 단계; 및 선택된 지속 시간 동안 포토레지스트에 현상제를 재도포하는 단계를 포함한다.In some embodiments of the method, forming the low-dimensional layer comprises: growing a carbon nanotube network by an immersion process; decomposing the carbon-containing precursor to grow aligned carbon nanotubes; or depositing a plurality of transition metal dichalcogenide (TMD) layers. In some embodiments of the method, forming the gate structure comprises: depositing a gate dielectric layer over the first self-assembling spacer, the second self-assembling spacer, and the channel region; depositing a gate electrode layer over the gate dielectric layer; and removing a portion of the gate dielectric layer and gate electrode layer on the first self-assembling spacer and the second self-assembling spacer using an adhesion lithography process. In some embodiments of the method, the adhesive lithography process comprises: adhering a tape to the gate electrode layer; and peeling the tape from the gate electrode layer by pulling the tape in a direction perpendicular to the major surface of the substrate. In some embodiments of the method, growing the first self-assembling spacer and the second self-assembling spacer comprises: growing a self-assembled monolayer of molecules on the first source/drain contact and the second source/drain contact. wherein each molecule comprises a head group, a tail and a terminal group, the head group anchored to the surface of one of the first source/drain contact or the second source/drain contact, the tail comprising the head group connected to the terminal group. In some embodiments of the method, growing a self-assembled monolayer of a molecule comprises: selecting an end group according to a desired length of the gate structure; For each molecule: adsorbing the head group on the surface; assembling the tail; and functionalizing the terminal group of the tail with the selected terminal group. In some embodiments of the method, forming the first source/drain contact and the second source/drain contact comprises: forming a photoresist on the low-dimensional layer; exposing the photoresist to a patterned light source; applying a developer to the photoresist to form openings in the photoresist exposing the lower dimensional layer; and forming a conductive material in the opening and on the lower dimensional layer. In some embodiments of the method, forming the first source/drain contact and the second source/drain contact comprises: forming a photoresist on the low-dimensional layer; exposing the photoresist to a patterned light source; applying a developer to the photoresist to form openings in the photoresist exposing the lower dimensional layer; etching the lower dimensional layer using the photoresist as an etch mask to extend the opening into the lower dimensional layer; re-applying a developer to the photoresist to widen the openings in the photoresist; and forming a conductive material in the opening in the photoresist and in the opening in the lower dimensional layer. In some embodiments of the method, forming the conductive material includes forming the conductive material on the dielectric fin. In some embodiments, the method further comprises: etching the dielectric fin using a photoresist as an etch mask to extend the opening into the dielectric fin, wherein forming the conductive material in the opening of the dielectric fin forming a conductive material. In some embodiments of the method, re-applying the developer to the photoresist comprises: selecting a duration according to a desired length of the channel region; and re-applying the developer to the photoresist for the selected duration.

일 실시예에서, 디바이스는: 기판 상의 유전체 핀; 유전체 핀 상의 저차원 층 - 저차원 층은 소스/드레인 영역 및 채널 영역을 포함함 - ; 소스/드레인 영역 상의 소스/드레인 콘택; 및 소스/드레인 콘택에 인접한 채널 영역 상의 게이트 구조물을 포함하고, 게이트 구조물은 게이트 구조물의 상부에서 제 1 폭, 게이트 구조물의 중간에서 제 2 폭, 및 게이트 구조물의 하부에서 제 3 폭을 가지며, 제 2 폭은 제 1 폭 및 제 3 폭 각각보다 작다.In one embodiment, the device comprises: a dielectric fin on a substrate; a low-dimensional layer on the dielectric fin, the low-dimensional layer comprising a source/drain region and a channel region; source/drain contacts on source/drain regions; and a gate structure on the channel region adjacent the source/drain contact, the gate structure having a first width at the top of the gate structure, a second width at the middle of the gate structure, and a third width at the bottom of the gate structure, The second width is smaller than each of the first width and the third width.

상기 디바이스의 일부 실시예들에서, 소스/드레인 콘택의 전체가 저차원 층 위에 놓인다. 상기 디바이스의 일부 실시예들에서, 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 제 1 부분은 저차원 층 위에 놓이고, 제 2 부분은 저차원 층을 통해 연장되고 유전체 핀 위에 놓이며, 제 1 부분은 제 2 부분보다 더 큰 폭을 갖는다. 상기 디바이스의 일부 실시예들에서, 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 제 1 부분은 저차원 층 위에 놓이고, 제 2 부분은 저차원 층 및 유전체 핀을 통해 연장되고, 제 1 부분은 제 2 부분보다 더 큰 폭을 갖는다. 일부 실시예들에서, 상기 디바이스는 저차원 층에 소스/드레인 연장부를 더 포함하고, 소스/드레인 연장부는 소스/드레인 콘택과 게이트 구조물 사이에 측 방향으로 배치된다.In some embodiments of the device, the entirety of the source/drain contact overlies the low-dimensional layer. In some embodiments of the device, the source/drain contact has a first portion and a second portion, the first portion overlying the low-dimensional layer, the second portion extending through the low-dimensional layer and overlying the dielectric fin. , and the first portion has a greater width than the second portion. In some embodiments of the device, the source/drain contact has a first portion and a second portion, the first portion overlying the lower dimensional layer, the second portion extending through the lower dimensional layer and the dielectric fin; The first portion has a greater width than the second portion. In some embodiments, the device further comprises a source/drain extension in the lower dimensional layer, the source/drain extension disposed laterally between the source/drain contact and the gate structure.

일 실시예에서, 디바이스는: 기판 상의 유전체 핀; 유전체 핀 상의 저차원 층; 저차원 층 상의 게이트 유전체; 게이트 유전체 상의 게이트 전극 - 게이트 전극은 볼록한 측벽을 가짐 - ; 및 게이트 전극 및 게이트 유전체에 인접한 소스/드레인 콘택을 포함하고, 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 제 1 부분은 저차원 층의 상부면과 접촉하고, 제 2 부분은 저차원 층을 통해 연장되고 저차원 층의 측벽과 접촉하며, 제 1 부분은 제 2 부분보다 넓고, 소스/드레인 콘택은 저차원 층에 전기적으로 연결된다.In one embodiment, the device comprises: a dielectric fin on a substrate; a low-dimensional layer on the dielectric fin; a gate dielectric on the lower dimensional layer; a gate electrode on the gate dielectric, the gate electrode having convex sidewalls; and a source/drain contact adjacent the gate electrode and the gate dielectric, the source/drain contact having a first portion and a second portion, the first portion in contact with a top surface of the lower dimensional layer, the second portion having a lower dimensional layer extending through the dimensional layer and in contact with sidewalls of the lower dimensional layer, the first portion being wider than the second portion, and source/drain contacts being electrically connected to the lower dimensional layer.

상기 디바이스의 일부 실시예들에서, 저차원 층은 탄소 나노 튜브 층이다. 상기 디바이스의 일부 실시예들에서, 저차원 층은 전이 금속 디칼코게나이드(TMD) 층이다. 상기 디바이스의 일부 실시예들에서, 게이트 전극은 게이트 전극의 상부에서 제 1 폭, 게이트 전극의 중간에서 제 2 폭, 및 게이트 전극의 하부에서 제 3 폭을 가지며, 제 2 폭은 제 1 폭 및 제 3 폭 각각보다 작다.In some embodiments of the device, the low-dimensional layer is a carbon nanotube layer. In some embodiments of the device, the low-dimensional layer is a transition metal dichalcogenide (TMD) layer. In some embodiments of the device, the gate electrode has a first width at the top of the gate electrode, a second width at the middle of the gate electrode, and a third width at the bottom of the gate electrode, wherein the second width comprises the first width and smaller than each of the third widths.

본 개시의 양태들을 본 발명 기술 분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 피처들을 약술했다. 본 발명 기술 분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조들을 설계하거나 또는 수정하기 위한 기초로서 본 개시를 자신들이 손쉽게 사용할 수 있다는 것을 알아야 한다. 본 발명 기술 분야의 당업자는 또한 이와 같은 등가적 구성들이 본 개시의 사상과 범위를 이탈하지 않는다는 것과, 본 개시의 사상과 범위를 이탈하지 않고서 본 발명 기술 분야의 당업자가 다양한 변경들, 대체들, 및 변화들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.In order that aspects of the present disclosure may be better understood by those skilled in the art, features of several embodiments have been outlined above. Those skilled in the art will appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. should know Those skilled in the art will also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and substitutions may be made by those skilled in the art without departing from the spirit and scope of the present disclosure. and variations may be made in the present invention.

실시예들Examples

실시예 1. 방법에 있어서,Example 1. A method comprising:

기판 상에 유전체 핀을 형성하는 단계;forming a dielectric fin on the substrate;

상기 유전체 핀 상에 저차원 층을 형성하는 단계;forming a low-dimensional layer on the dielectric fin;

상기 저차원 층 상에 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택을 형성하는 단계;forming a first source/drain contact and a second source/drain contact on the low-dimensional layer;

상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택 상에 각각 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서를 성장시키는 단계 ― 상기 제 1 자가 조립 스페이서와 상기 제 2 자가 조립 스페이서 사이에 상기 저차원 층의 채널 영역이 배치됨 ― ;growing a first self-assembling spacer and a second self-assembling spacer on the first source/drain contact and the second source/drain contact, respectively, between the first self-assembling spacer and the second self-assembling spacer; a channel region of a low-dimensional layer is disposed;

상기 채널 영역 상에 게이트 구조물을 형성하는 단계; 및forming a gate structure on the channel region; and

상기 게이트 구조물을 형성한 후, 상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서를 제거하는 단계After forming the gate structure, removing the first self-assembled spacer and the second self-assembled spacer

를 포함하는 방법.How to include.

실시예 2. 실시예 1에 있어서,Example 2. The method of Example 1,

상기 저차원 층을 형성하는 단계는:The step of forming the low-dimensional layer comprises:

침지 공정에 의해 탄소 나노 튜브 네트워크를 성장시키는 단계;growing a carbon nanotube network by an immersion process;

탄소 함유 전구체를 분해함으로써, 정렬된 탄소 나노 튜브를 성장시키는 단계; 또는growing aligned carbon nanotubes by decomposing the carbon-containing precursor; or

복수의 전이 금속 디칼코게나이드(transition metal dichalcogenide; TMD) 층을 성막하는 단계depositing a plurality of transition metal dichalcogenide (TMD) layers;

를 포함하는 것인, 방법.A method comprising:

실시예 3. 실시예 1에 있어서,Example 3. The method of Example 1,

상기 게이트 구조물을 형성하는 단계는:Forming the gate structure includes:

상기 제 1 자가 조립 스페이서, 상기 제 2 자가 조립 스페이서, 및 상기 채널 영역 상에 게이트 유전체 층을 성막하는 단계;depositing a gate dielectric layer on the first self-assembling spacer, the second self-assembling spacer, and the channel region;

상기 게이트 유전체 층 상에 게이트 전극 층을 성막하는 단계; 및depositing a gate electrode layer on the gate dielectric layer; and

접착 리소그래피 공정을 이용하여 상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서 상의 상기 게이트 유전체 층 및 상기 게이트 전극 층의 일부를 제거하는 단계removing portions of the gate dielectric layer and the gate electrode layer on the first self-assembled spacer and the second self-assembled spacer using an adhesion lithography process;

를 포함하는 것인, 방법.A method comprising:

실시예 4. 실시예 3에 있어서,Example 4. The method of Example 3,

상기 접착 리소그래피 공정은:The adhesion lithography process comprises:

상기 게이트 전극 층에 테이프를 접착하는 단계; 및adhering a tape to the gate electrode layer; and

상기 기판의 주 표면에 수직인 방향으로 상기 테이프를 당김으로써, 상기 게이트 전극 층으로부터 상기 테이프를 박리하는 단계peeling the tape from the gate electrode layer by pulling the tape in a direction perpendicular to the major surface of the substrate;

를 포함하는 것인, 방법.A method comprising:

실시예 5. 실시예 1에 있어서,Example 5. The method of Example 1,

상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서를 성장시키는 단계는:Growing the first self-assembling spacer and the second self-assembling spacer may include:

상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택 상에 분자의 자가 조립 단층을 성장시키는 단계growing a self-assembled monolayer of molecules on the first source/drain contact and the second source/drain contact;

를 포함하고, 각각의 상기 분자는 헤드기(head group), 꼬리(tail) 및 말단기(end group)를 포함하고, 상기 헤드기는 상기 제 1 소스/드레인 콘택 또는 상기 제 2 소스/드레인 콘택 중 하나의 소스/드레인 콘택의 표면에 앵커 고정되며(anchored), 상기 꼬리는 상기 헤드기를 상기 말단기에 연결하는 것인, 방법.wherein each said molecule comprises a head group, a tail and an end group, wherein the head group comprises one of the first source/drain contact or the second source/drain contact. and anchored to the surface of one source/drain contact, wherein the tail connects the head group to the end group.

실시예 6. 실시예 5에 있어서,Example 6. The method of Example 5,

상기 분자의 자가 조립 단층을 성장시키는 단계는:Growing a self-assembled monolayer of the molecule comprises:

상기 게이트 구조물의 원하는 길이에 따라 상기 말단기를 선택하는 단계; selecting the end group according to the desired length of the gate structure;

각각의 상기 분자에 대해:For each of these molecules:

상기 표면 상에 상기 헤드기를 흡착하는 단계;adsorbing the head group on the surface;

상기 꼬리를 조립하는 단계; 및assembling the tail; and

상기 선택된 말단기와 함께 상기 꼬리의 종단기(terminal group)를 기능화하는 단계functionalizing the terminal group of the tail with the selected terminal group;

를 포함하는 것인, 방법.A method comprising:

실시예 7. 실시예 1에 있어서,Example 7. The method of Example 1,

상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택을 형성하는 단계는:Forming the first source/drain contact and the second source/drain contact may include:

상기 저차원 층 상에 포토레지스트를 형성하는 단계;forming a photoresist on the low-dimensional layer;

상기 포토레지스트를 패턴화된 광원에 노출시키는 단계;exposing the photoresist to a patterned light source;

상기 저차원 층을 노출시키는 개구를 상기 포토레지스트에 형성하기 위해 상기 포토레지스트에 현상제를 도포하는 단계; 및applying a developer to the photoresist to form an opening in the photoresist exposing the low-dimensional layer; and

상기 개구에 그리고 및 상기 저차원 층 상에 전도성 물질을 형성하는 단계forming a conductive material in the opening and on the low-dimensional layer;

를 포함하는 것인, 방법.A method comprising:

실시예 8. 실시예 1에 있어서,Example 8. The method of Example 1,

상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택을 형성하는 단계는:Forming the first source/drain contact and the second source/drain contact may include:

상기 저차원 층 상에 포토레지스트를 형성하는 단계;forming a photoresist on the low-dimensional layer;

상기 포토레지스트를 패턴화된 광원에 노출시키는 단계;exposing the photoresist to a patterned light source;

상기 저차원 층을 노출시키는 개구를 상기 포토레지스트에 형성하기 위해 상기 포토레지스트에 현상제를 도포하는 단계;applying a developer to the photoresist to form an opening in the photoresist exposing the low-dimensional layer;

상기 개구를 상기 저차원 층 내로 연장하기 위해 상기 포토레지스트를 에칭 마스크로 사용하여 상기 저차원 층을 에칭하는 단계;etching the lower dimensional layer using the photoresist as an etch mask to extend the opening into the lower dimensional layer;

상기 포토레지스트의 개구를 넓히기 위해 상기 포토레지스트에 상기 현상제를 재도포하는 단계; 및re-applying the developer to the photoresist to widen the opening of the photoresist; and

상기 포토레지스트의 개구 및 상기 저차원 층의 개구에 전도성 물질을 형성하는 단계forming a conductive material in the opening in the photoresist and in the opening in the low-dimensional layer;

를 포함하는 것인, 방법.A method comprising:

실시예 9. 실시예 8에 있어서,Example 9. The method of Example 8,

상기 전도성 물질을 형성하는 단계는 상기 유전체 핀 상에 상기 전도성 물질을 형성하는 단계를 포함하는 것인, 방법.and forming the conductive material comprises forming the conductive material on the dielectric fin.

실시예 10. 실시예 8에 있어서,Example 10. The method of Example 8,

상기 개구를 상기 유전체 핀으로 연장하기 위해 상기 포토레지스트를 에칭 마스크로 사용하여 상기 유전체 핀을 에칭하는 단계etching the dielectric fin using the photoresist as an etch mask to extend the opening into the dielectric fin;

를 더 포함하며, 상기 전도성 물질을 형성하는 단계는 상기 유전체 핀의 상기 개구에 상기 전도성 물질을 형성하는 단계를 포함하는 것인, 방법.and wherein forming the conductive material comprises forming the conductive material in the opening of the dielectric fin.

실시예 11. 실시예 8에 있어서,Example 11. The method of Example 8,

상기 포토레지스트에 상기 현상제를 재도포하는 단계는:Re-applying the developer to the photoresist comprises:

상기 채널 영역의 원하는 길이에 따라 지속 시간을 선택하는 단계; 및selecting a duration according to a desired length of the channel region; and

상기 선택된 지속 시간 동안 상기 포토레지스트에 상기 현상제를 재도포하는 단계re-applying the developer to the photoresist for the selected duration.

를 포함하는 것인, 방법.A method comprising:

실시예 12. Example 12.

디바이스에 있어서,In the device,

기판 상의 유전체 핀;dielectric pins on the substrate;

유전체 핀 상의 저차원 층 - 상기 저차원 층은 소스/드레인 영역 및 채널 영역을 포함함 - ;a low-dimensional layer on the dielectric fin, the low-dimensional layer comprising source/drain regions and a channel region;

상기 소스/드레인 영역 상의 소스/드레인 콘택; 및source/drain contacts on the source/drain region; and

상기 소스/드레인 콘택에 인접한 상기 채널 영역 상의 게이트 구조물a gate structure on the channel region adjacent to the source/drain contact

을 포함하고, 상기 게이트 구조물은 상기 게이트 구조물의 상부에서 제 1 폭을, 상기 게이트 구조물의 중간에서 제 2 폭을, 그리고 상기 게이트 구조물의 하부에서 제 3 폭을 가지며, 상기 제 2 폭은 상기 제 1 폭 및 상기 제 3 폭 각각보다 작은 것인, 디바이스.wherein the gate structure has a first width at an upper portion of the gate structure, a second width at the middle of the gate structure, and a third width at a lower portion of the gate structure, wherein the second width is the second width less than each of the first width and the third width.

실시예 13. 실시예 12에 있어서,Example 13. The method of Example 12,

상기 소스/드레인 콘택의 전체가 상기 저차원 층 위에 놓이는 것인, 디바이스.and the entirety of the source/drain contacts overlies the lower dimensional layer.

실시예 14. 실시예 12에 있어서,Example 14. The method of Example 12,

상기 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 상기 제 1 부분은 상기 저차원 층 위에 놓이고, 상기 제 2 부분은 상기 저차원 층을 통해 연장되고 상기 유전체 핀 위에 놓이며, 상기 제 1 부분은 상기 제 2 부분보다 더 큰 폭을 갖는 것인, 디바이스.the source/drain contact has a first portion and a second portion, the first portion overlying the lower dimensional layer, the second portion extending through the lower dimensional layer and overlying the dielectric fin, the wherein the first portion has a greater width than the second portion.

실시예 15. 실시예 12에 있어서,Example 15. The method of Example 12,

상기 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 상기 제 1 부분은 상기 저차원 층 위에 놓이고, 상기 제 2 부분은 상기 저차원 층 및 상기 유전체 핀을 통해 연장되고, 상기 제 1 부분은 상기 제 2 부분보다 더 큰 폭을 갖는 것인, 디바이스.The source/drain contact has a first portion and a second portion, the first portion overlying the lower dimensional layer, the second portion extending through the lower dimensional layer and the dielectric fin, wherein the first portion and the portion has a greater width than the second portion.

실시예 16. 실시예 12에 있어서,Example 16. The method of Example 12,

상기 저차원 층에 소스/드레인 연장부Source/drain extensions in the lower dimensional layer

를 더 포함하고, 상기 소스/드레인 연장부는 상기 소스/드레인 콘택과 상기 게이트 구조물 사이에 측 방향으로 배치되는 것인, 디바이스.and wherein the source/drain extension is laterally disposed between the source/drain contact and the gate structure.

실시예 17. 디바이스에 있어서,Embodiment 17. A device comprising:

기판 상의 유전체 핀;dielectric pins on the substrate;

상기 유전체 핀 상의 저차원 층;a low-dimensional layer on the dielectric fin;

상기 저차원 층 상의 게이트 유전체;a gate dielectric on the lower dimensional layer;

상기 게이트 유전체 상의 게이트 전극 ― 상기 게이트 전극은 볼록한 측벽을 가짐 ― ; 및a gate electrode on the gate dielectric, the gate electrode having a convex sidewall; and

상기 게이트 전극 및 상기 게이트 유전체에 인접한 소스/드레인 콘택Source/drain contacts adjacent the gate electrode and the gate dielectric

을 포함하고, 상기 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 상기 제 1 부분은 상기 저차원 층의 상부면과 접촉하고, 상기 제 2 부분은 상기 저차원 층을 통해 연장되고 상기 저차원 층의 측벽과 접촉하며, 상기 제 1 부분은 상기 제 2 부분보다 넓고, 상기 소스/드레인 콘택은 상기 저차원 층에 전기적으로 연결되는 것인, 디바이스.wherein the source/drain contact has a first portion and a second portion, the first portion in contact with a top surface of the lower dimensional layer, the second portion extending through the lower dimensional layer and the and in contact with a sidewall of the lower dimensional layer, the first portion being wider than the second portion, and wherein the source/drain contacts are electrically connected to the lower dimensional layer.

실시예 18. 실시예 17에 있어서,Example 18. The method of Example 17,

상기 저차원 층은 탄소 나노 튜브 층인 것인, 디바이스.wherein the low-dimensional layer is a carbon nanotube layer.

실시예 19. 실시예 17에 있어서,Example 19. The method of Example 17,

상기 저차원 층은 전이 금속 디칼코게나이드(TMD) 층인 것인, 디바이스.wherein the low-dimensional layer is a transition metal dichalcogenide (TMD) layer.

실시예 20. 실시예 17에 있어서,Example 20. The method of Example 17,

상기 게이트 전극은 상기 게이트 전극의 상부에서 제 1 폭을, 상기 게이트 전극의 중간에서 제 2 폭을, 그리고 상기 게이트 전극의 하부에서 제 3 폭을 가지며, 상기 제 2 폭은 상기 제 1 폭 및 상기 제 3 폭 각각보다 작은 것인, 디바이스.The gate electrode has a first width at an upper portion of the gate electrode, a second width at a middle portion of the gate electrode, and a third width at the lower portion of the gate electrode, wherein the second width includes the first width and the second width less than each of the third widths.

Claims (10)

방법에 있어서,
기판 상에 유전체 핀을 형성하는 단계;
상기 유전체 핀 상에 저차원 층을 형성하는 단계;
상기 저차원 층 상에 제 1 소스/드레인 콘택 및 제 2 소스/드레인 콘택을 형성하는 단계;
상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택 상에 각각 제 1 자가 조립 스페이서 및 제 2 자가 조립 스페이서를 성장시키는 단계 ― 상기 제 1 자가 조립 스페이서와 상기 제 2 자가 조립 스페이서 사이에 상기 저차원 층의 채널 영역이 배치됨 ― ;
상기 채널 영역 상에 게이트 구조물을 형성하는 단계; 및
상기 게이트 구조물을 형성한 후, 상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서를 제거하는 단계
를 포함하는 방법.
In the method,
forming a dielectric fin on the substrate;
forming a low-dimensional layer on the dielectric fin;
forming a first source/drain contact and a second source/drain contact on the low-dimensional layer;
growing a first self-assembling spacer and a second self-assembling spacer on the first source/drain contact and the second source/drain contact, respectively, between the first self-assembling spacer and the second self-assembling spacer; a channel region of a low-dimensional layer is disposed;
forming a gate structure on the channel region; and
After forming the gate structure, removing the first self-assembled spacer and the second self-assembled spacer
How to include.
제 1 항에 있어서,
상기 저차원 층을 형성하는 단계는:
침지 공정에 의해 탄소 나노 튜브 네트워크를 성장시키는 단계;
탄소 함유 전구체를 분해함으로써, 정렬된 탄소 나노 튜브를 성장시키는 단계; 또는
복수의 전이 금속 디칼코게나이드(transition metal dichalcogenide; TMD) 층을 성막하는 단계
를 포함하는 것인, 방법.
The method of claim 1,
The step of forming the low-dimensional layer comprises:
growing a carbon nanotube network by an immersion process;
growing aligned carbon nanotubes by decomposing the carbon-containing precursor; or
depositing a plurality of transition metal dichalcogenide (TMD) layers;
A method comprising:
제 1 항에 있어서,
상기 게이트 구조물을 형성하는 단계는:
상기 제 1 자가 조립 스페이서, 상기 제 2 자가 조립 스페이서, 및 상기 채널 영역 상에 게이트 유전체 층을 성막하는 단계;
상기 게이트 유전체 층 상에 게이트 전극 층을 성막하는 단계; 및
접착 리소그래피 공정을 이용하여 상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서 상의 상기 게이트 유전체 층 및 상기 게이트 전극 층의 일부를 제거하는 단계
를 포함하는 것인, 방법.
The method of claim 1,
Forming the gate structure includes:
depositing a gate dielectric layer on the first self-assembling spacer, the second self-assembling spacer, and the channel region;
depositing a gate electrode layer on the gate dielectric layer; and
removing portions of the gate dielectric layer and the gate electrode layer on the first self-assembled spacer and the second self-assembled spacer using an adhesion lithography process;
A method comprising:
제 3 항에 있어서,
상기 접착 리소그래피 공정은:
상기 게이트 전극 층에 테이프를 접착하는 단계; 및
상기 기판의 주 표면에 수직인 방향으로 상기 테이프를 당김으로써, 상기 게이트 전극 층으로부터 상기 테이프를 박리하는 단계
를 포함하는 것인, 방법.
4. The method of claim 3,
The adhesion lithography process comprises:
adhering a tape to the gate electrode layer; and
peeling the tape from the gate electrode layer by pulling the tape in a direction perpendicular to the major surface of the substrate;
A method comprising:
제 1 항에 있어서,
상기 제 1 자가 조립 스페이서 및 상기 제 2 자가 조립 스페이서를 성장시키는 단계는:
상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택 상에 분자의 자가 조립 단층을 성장시키는 단계
를 포함하고, 각각의 상기 분자는 헤드기(head group), 꼬리(tail) 및 말단기(end group)를 포함하고, 상기 헤드기는 상기 제 1 소스/드레인 콘택 또는 상기 제 2 소스/드레인 콘택 중 하나의 소스/드레인 콘택의 표면에 앵커 고정되며(anchored), 상기 꼬리는 상기 헤드기를 상기 말단기에 연결하는 것인, 방법.
The method of claim 1,
Growing the first self-assembling spacer and the second self-assembling spacer may include:
growing a self-assembled monolayer of molecules on the first source/drain contact and the second source/drain contact;
wherein each said molecule comprises a head group, a tail and an end group, wherein the head group comprises one of the first source/drain contact or the second source/drain contact. and anchored to the surface of one source/drain contact, wherein the tail connects the head group to the end group.
제 5 항에 있어서,
상기 분자의 자가 조립 단층을 성장시키는 단계는:
상기 게이트 구조물의 원하는 길이에 따라 상기 말단기를 선택하는 단계;
각각의 상기 분자에 대해:
상기 표면 상에 상기 헤드기를 흡착하는 단계;
상기 꼬리를 조립하는 단계; 및
상기 선택된 말단기와 함께 상기 꼬리의 종단기(terminal group)를 기능화하는 단계
를 포함하는 것인, 방법.
6. The method of claim 5,
Growing a self-assembled monolayer of the molecule comprises:
selecting the end group according to the desired length of the gate structure;
For each of these molecules:
adsorbing the head group on the surface;
assembling the tail; and
functionalizing the terminal group of the tail with the selected terminal group;
A method comprising:
제 1 항에 있어서,
상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택을 형성하는 단계는:
상기 저차원 층 상에 포토레지스트를 형성하는 단계;
상기 포토레지스트를 패턴화된 광원에 노출시키는 단계;
상기 저차원 층을 노출시키는 개구를 상기 포토레지스트에 형성하기 위해 상기 포토레지스트에 현상제를 도포하는 단계; 및
상기 개구에 그리고 및 상기 저차원 층 상에 전도성 물질을 형성하는 단계
를 포함하는 것인, 방법.
The method of claim 1,
Forming the first source/drain contact and the second source/drain contact may include:
forming a photoresist on the low-dimensional layer;
exposing the photoresist to a patterned light source;
applying a developer to the photoresist to form an opening in the photoresist exposing the low-dimensional layer; and
forming a conductive material in the opening and on the low-dimensional layer;
A method comprising:
제 1 항에 있어서,
상기 제 1 소스/드레인 콘택 및 상기 제 2 소스/드레인 콘택을 형성하는 단계는:
상기 저차원 층 상에 포토레지스트를 형성하는 단계;
상기 포토레지스트를 패턴화된 광원에 노출시키는 단계;
상기 저차원 층을 노출시키는 개구를 상기 포토레지스트에 형성하기 위해 상기 포토레지스트에 현상제를 도포하는 단계;
상기 개구를 상기 저차원 층 내로 연장하기 위해 상기 포토레지스트를 에칭 마스크로 사용하여 상기 저차원 층을 에칭하는 단계;
상기 포토레지스트의 개구를 넓히기 위해 상기 포토레지스트에 상기 현상제를 재도포하는 단계; 및
상기 포토레지스트의 개구 및 상기 저차원 층의 개구에 전도성 물질을 형성하는 단계
를 포함하는 것인, 방법.
The method of claim 1,
Forming the first source/drain contact and the second source/drain contact may include:
forming a photoresist on the low-dimensional layer;
exposing the photoresist to a patterned light source;
applying a developer to the photoresist to form an opening in the photoresist exposing the low-dimensional layer;
etching the lower dimensional layer using the photoresist as an etch mask to extend the opening into the lower dimensional layer;
re-applying the developer to the photoresist to widen the opening of the photoresist; and
forming a conductive material in the opening in the photoresist and in the opening in the low-dimensional layer;
A method comprising:
디바이스에 있어서,
기판 상의 유전체 핀;
유전체 핀 상의 저차원 층 - 상기 저차원 층은 소스/드레인 영역 및 채널 영역을 포함함 - ;
상기 소스/드레인 영역 상의 소스/드레인 콘택; 및
상기 소스/드레인 콘택에 인접한 상기 채널 영역 상의 게이트 구조물
을 포함하고, 상기 게이트 구조물은 상기 게이트 구조물의 상부에서 제 1 폭을, 상기 게이트 구조물의 중간에서 제 2 폭을, 그리고 상기 게이트 구조물의 하부에서 제 3 폭을 가지며, 상기 제 2 폭은 상기 제 1 폭 및 상기 제 3 폭 각각보다 작은 것인, 디바이스.
In the device,
dielectric pins on the substrate;
a low-dimensional layer on the dielectric fin, the low-dimensional layer comprising source/drain regions and a channel region;
source/drain contacts on the source/drain region; and
a gate structure on the channel region adjacent to the source/drain contact
wherein the gate structure has a first width at an upper portion of the gate structure, a second width at a middle portion of the gate structure, and a third width at a lower portion of the gate structure, wherein the second width is the second width less than each of the first width and the third width.
디바이스에 있어서,
기판 상의 유전체 핀;
상기 유전체 핀 상의 저차원 층;
상기 저차원 층 상의 게이트 유전체;
상기 게이트 유전체 상의 게이트 전극 ― 상기 게이트 전극은 오목한 측벽을 가짐 ― ; 및
상기 게이트 전극 및 상기 게이트 유전체에 인접한 소스/드레인 콘택
을 포함하고, 상기 소스/드레인 콘택은 제 1 부분 및 제 2 부분을 가지며, 상기 제 1 부분은 상기 저차원 층의 상부면과 접촉하고, 상기 제 2 부분은 상기 저차원 층을 통해 연장되고 상기 저차원 층의 측벽과 접촉하며, 상기 제 1 부분은 상기 제 2 부분보다 넓고, 상기 소스/드레인 콘택은 상기 저차원 층에 전기적으로 연결되는 것인, 디바이스.
In the device,
dielectric pins on the substrate;
a low-dimensional layer on the dielectric fin;
a gate dielectric on the lower dimensional layer;
a gate electrode on the gate dielectric, the gate electrode having a concave sidewall; and
Source/drain contacts adjacent the gate electrode and the gate dielectric
wherein the source/drain contact has a first portion and a second portion, the first portion in contact with a top surface of the lower dimensional layer, the second portion extending through the lower dimensional layer and the and in contact with a sidewall of the lower dimensional layer, the first portion being wider than the second portion, and wherein the source/drain contacts are electrically connected to the lower dimensional layer.
KR1020200155598A 2020-02-26 2020-11-19 Low-dimensional material device and method KR102433143B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062981749P 2020-02-26 2020-02-26
US62/981,749 2020-02-26
US16/932,268 2020-07-17
US16/932,268 US11244866B2 (en) 2020-02-26 2020-07-17 Low dimensional material device and method

Publications (2)

Publication Number Publication Date
KR20210109430A KR20210109430A (en) 2021-09-06
KR102433143B1 true KR102433143B1 (en) 2022-08-16

Family

ID=77366808

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200155598A KR102433143B1 (en) 2020-02-26 2020-11-19 Low-dimensional material device and method

Country Status (2)

Country Link
KR (1) KR102433143B1 (en)
CN (1) CN113314419A (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160190233A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd Transistor with wurtzite channel
US20170098717A1 (en) * 2015-10-05 2017-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having transition metal dichalcogenide layers with different thicknesses and methods of manufacture

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
JP4518180B2 (en) * 2008-04-16 2010-08-04 ソニー株式会社 Semiconductor device and manufacturing method thereof
US20140306286A1 (en) * 2013-04-10 2014-10-16 International Business Machines Corporation Tapered fin field effect transistor
US10262870B2 (en) * 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US9929271B2 (en) * 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10861745B2 (en) * 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN110085519B (en) * 2018-01-25 2022-02-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160190233A1 (en) * 2014-12-30 2016-06-30 Taiwan Semiconductor Manufacturing Company, Ltd Transistor with wurtzite channel
US20170098717A1 (en) * 2015-10-05 2017-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having transition metal dichalcogenide layers with different thicknesses and methods of manufacture

Also Published As

Publication number Publication date
CN113314419A (en) 2021-08-27
KR20210109430A (en) 2021-09-06

Similar Documents

Publication Publication Date Title
US11244866B2 (en) Low dimensional material device and method
US8809957B2 (en) Nanowire FET and FinFET hybrid technology
US10374040B1 (en) Method to form low resistance contact
TWI314779B (en) Block contact architectures for nanoscale channel transistors
CN109964311B (en) Conductive cap-based method for conductive via fabrication and resulting structure
CN113410236A (en) Ferroelectric random access memory device and method
KR20160111343A (en) Field-effect transistors having transition metal dichalcogenide channels and methods of manufacture
KR20200066551A (en) Semiconductor device and method
KR102397037B1 (en) Transistors with channels formed of low-dimensional materials and method forming same
TWI817153B (en) Method of forming semiconductor device
US11764220B2 (en) Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US9548238B2 (en) Method of manufacturing a semiconductor device using a self-aligned OPL replacement contact and patterned HSQ and a semiconductor device formed by same
US20220359737A1 (en) Fin Field-Effect Transistor Device With Low-Dimensional Material And Method
KR102433143B1 (en) Low-dimensional material device and method
US11145760B2 (en) Structure having improved fin critical dimension control
CN114628239A (en) Method of forming semiconductor device
TW202131519A (en) Semiconductor device
US20220384438A1 (en) Semiconductor Device and Method
US11728218B2 (en) Semiconductor device and method
CN110783461B (en) Transistor and method for manufacturing the same
TW202310287A (en) Semiconductor device
TW202232582A (en) Semiconductor device and method
CN113314612A (en) Semiconductor device and method for manufacturing the same
CN115863409A (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant