KR102362462B1 - How to process the object - Google Patents

How to process the object Download PDF

Info

Publication number
KR102362462B1
KR102362462B1 KR1020187030670A KR20187030670A KR102362462B1 KR 102362462 B1 KR102362462 B1 KR 102362462B1 KR 1020187030670 A KR1020187030670 A KR 1020187030670A KR 20187030670 A KR20187030670 A KR 20187030670A KR 102362462 B1 KR102362462 B1 KR 102362462B1
Authority
KR
South Korea
Prior art keywords
gas
mask
plasma
layer
film
Prior art date
Application number
KR1020187030670A
Other languages
Korean (ko)
Other versions
KR20180128943A (en
Inventor
요시히데 기하라
도루 히사마츠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2016147475A external-priority patent/JP6770848B2/en
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180128943A publication Critical patent/KR20180128943A/en
Application granted granted Critical
Publication of KR102362462B1 publication Critical patent/KR102362462B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

일 실시형태에 있어서 웨이퍼(W)는 피에칭층(EL)과 피에칭층(EL) 상에 마련된 마스크(MK4)를 구비하고, 일 실시형태의 방법 MT는, 플라즈마를 발생시켜 평행 평판 전극의 상부 전극(30)에 직류 전압을 인가함으로써 이차 전자를 조사함과 함께 산화 실리콘 화합물로 마스크(MK4)를 덮는 공정 ST9a와, 플루오로카본계 가스의 플라즈마를 생성하여 라디칼을 포함하는 혼합층(MX2)을 피에칭층(EL)의 표면의 원자층에 형성하는 공정 ST9b와, Ar 가스의 플라즈마를 생성하여 바이어스 전압을 인가하여 혼합층(MX2)을 제거하는 ST9d를 포함하는 시퀀스 SQ3을 반복 실행하여, 피에칭층(EL)을 원자층마다 제거함으로써 피에칭층(EL)을 에칭한다.In one embodiment, the wafer W includes an etch target layer EL and a mask MK4 provided on the etch target layer EL, and the method MT of the embodiment generates plasma to form a parallel plate electrode. Step ST9a of irradiating secondary electrons by applying a DC voltage to the upper electrode 30 and covering the mask MK4 with a silicon oxide compound, and a mixed layer MX2 containing radicals by generating plasma of a fluorocarbon gas Sequence SQ3 including step ST9b of forming in the atomic layer on the surface of the etched layer EL and ST9d of removing the mixed layer MX2 by generating a plasma of Ar gas and applying a bias voltage is repeatedly executed, The etching target layer EL is etched by removing the etching layer EL for each atomic layer.

Description

피처리체를 처리하는 방법How to process the object

본 발명의 실시형태는, 피처리체를 처리하는 방법에 관한 것이며, 특히 마스크의 제작을 포함하는 방법에 관한 것이다.EMBODIMENT OF THE INVENTION Embodiment of this invention relates to the method of processing a to-be-processed object, and especially relates to the method including manufacture of a mask.

반도체 소자와 같은 디바이스의 미세화를 실현하기 위해서는, 지금까지의 포토리소그래피 기술을 이용한 미세 가공에 의하여 얻어지는 한계 치수보다 작은 치수를 가진 패턴을 형성할 필요가 있다. 이와 같은 치수의 패턴을 형성하기 위한 한 수법으로서, 차세대 노광 기술인 EUV(Extreme Ultraviolet) 기술 등의 개발이 진행되고 있다. EUV 기술에서는, 종래의 UV광원 파장에 비하여 현저하게 짧은 파장의 광이 이용되고 있으며, 예를 들면 13.5[nm]로 매우 짧은 파장의 광이 이용된다. 또, 종래의 리소그래피 기술을 대신하는 기술로서, 질서 패턴을 자발적으로 조직화하는 자기 조직화(self-assembled) 재료의 하나인 자기 조직화 블록·코폴리머(BCP: blockcopolymer)를 이용하여 패턴을 형성하는 유도 자기 조직화(DSA: Directed Self-Assembly) 기술이 주목받고 있다.In order to realize miniaturization of a device such as a semiconductor element, it is necessary to form a pattern having a dimension smaller than the limit dimension obtained by microfabrication using the conventional photolithography technique. As a method for forming a pattern having such a size, development of extreme ultraviolet (EUV) technology, which is a next-generation exposure technology, is in progress. In the EUV technology, light having a wavelength significantly shorter than that of a conventional UV light source is used, for example, light having a very short wavelength of 13.5 [nm] is used. In addition, as a technique replacing the conventional lithography technique, induction magnetism that forms a pattern using a self-assembled block copolymer (BCP), which is a self-assembled material that spontaneously organizes an ordered pattern Directed Self-Assembly (DSA) technology is attracting attention.

상기의 EUV 기술 및 DSA 기술 등을 이용하여 협(狹)패턴의 패턴 에칭을 행하는 경우, 협패턴에 기인해 마스크가 취약해져, 마스크의 도괴 등이 발생할 수 있다. 이에 대하여, 특허문헌 1, 2에는, 마스크를 보호하는 기술이 개시되어 있다.When pattern etching of a narrow pattern is performed using the above EUV technology and DSA technology, the mask becomes brittle due to the narrow pattern, and the mask may be destroyed. On the other hand, patent documents 1 and 2 disclose the technique of protecting a mask.

특허문헌 1에 개시되어 있는 플라즈마 에칭 성능 강화 방법은, 플라즈마를 이용하여 에칭 마스크에 의하여 정한 구조를 에칭함으로써, 반도체 웨이퍼 상의 유전층에 휨이 없는 특징부를 에칭에 의하여 형성하기 위한 방법이다. 특허문헌 1의 기술에서는, 마스크를 유전층 상에 형성하고, 보호 실리콘 함유 피복을 마스크의 노출면에 형성하여, 마스크 및 보호 실리콘 함유 피복을 통하여 특징부를 에칭한다. 또 다른 방법에 있어서는, 이 특징부는 보호 실리콘 함유 피복을 형성하기 전에 부분적으로 에칭된다. 이와 같이, 특허문헌 1의 기술에서는, 레지스트 마스크 상에 있어서, 또는, 부분적으로 에칭된 특징부의 측벽 상에 있어서, 보호 실리콘 함유 피복을 플라즈마를 이용하여 형성하고, 이와 같이 형성한 보호 실리콘 함유 피복에 의하여, 마스크를 보호하며, CD(Critical Dimension) 치수의 슈링크 제어하여, 에칭에 의한 휨을 제어하는 것이 제안되고 있다.The plasma etching performance enhancing method disclosed in Patent Document 1 is a method for forming, by etching, a feature having no warpage in a dielectric layer on a semiconductor wafer by etching a structure defined by an etching mask using plasma. In the technique of Patent Document 1, a mask is formed on the dielectric layer, a protective silicon-containing coating is formed on the exposed surface of the mask, and features are etched through the mask and the protective silicon-containing coating. In another method, the features are partially etched prior to forming the protective silicon-containing coating. As such, in the technique of Patent Document 1, on a resist mask or on the sidewall of a partially etched feature, a protective silicon-containing coating is formed using plasma, and the protective silicon-containing coating thus formed is applied to the formed protective silicon-containing coating. Accordingly, it has been proposed to protect the mask, control the shrinkage of the CD (Critical Dimension) dimension, and control the warpage due to etching.

특허문헌 2에 개시되어 있는 플라즈마 에칭 방법은, 다층 레지스트 마스크를 이용한 실리콘 산화막 등의 플라즈마 에칭 후에 패턴의 도괴 등에 의하여 발생하는 라인 위글링이나 스트라이에이션을 방지 및 억제하는 것을 목적으로 하고 있다. 특허문헌 2의 기술에서는, 다층 레지스트 마스크를 이용하여, 피에칭막을 플라즈마 에칭하는 플라즈마 에칭 방법에 있어서, 다층 레지스트 마스크는, 상층 레지스트와 무기막계 중간막과 하층 레지스트를 포함하고, 하층 레지스트의 측벽에 측벽 보호막을 형성하는 측벽 보호막 형성 공정을 갖는다.The plasma etching method disclosed in Patent Document 2 aims to prevent and suppress line wiggle and striation caused by pattern collapse or the like after plasma etching of a silicon oxide film or the like using a multilayer resist mask. In the technique of Patent Document 2, in the plasma etching method of plasma etching a film to be etched using a multilayer resist mask, the multilayer resist mask includes an upper resist layer, an inorganic film-based interlayer film, and a lower layer resist, and has a sidewall on a sidewall of the lower layer resist and a sidewall protective film forming step of forming a protective film.

이와 같이, 특허문헌 2의 기술에서는, 라인 위글링, 스트라이에이션을 방지하기 위하여, 3층 구조의 마스크에 대하여, 하층 레지스트의 처리 후에 측벽 보호막을 형성하고, 이 형성 후의 에칭 시의 라인 위글링, 스트라이에이션을 방지하는 것이 제안되고 있다.As described above, in the technique of Patent Document 2, in order to prevent line wiggling and striation, a sidewall protective film is formed on a three-layered mask after processing of the lower resist layer, and line wiggling during etching after this formation, It is proposed to prevent striation.

특허문헌 1: 일본 공개특허공보 2008-60566호Patent Document 1: Japanese Patent Laid-Open No. 2008-60566 특허문헌 2: 일본 공개특허공보 2012-15343호Patent Document 2: Japanese Patent Application Laid-Open No. 2012-15343

그러나, 상기의 특허문헌 1, 2와 같이 마스크에 보호막을 형성하는 기술에서는, 특히 무기막계의 에칭 시에 이용되는 CxFx계 가스에 의하여 탄소 및 불소의 중합막이 마스크에 형성되는 경우, 당해 중합막에 이온이 충돌함으로써 마스크에 변형(wiggling)이 발생할 수 있다. 이와 같은 마스크의 변형에 의하여, 정밀한 패턴 에칭이 저해되는 경우가 있으며, 또 마스크의 파손 등도 유인될 수 있다. 한편, 당해 중합막의 퇴적을 저감시키는 경우에는, 마스크에 대한 보호가 불충분해지고, 따라서, 마스크 선택비의 저하 등의 사태가 발생할 수 있다. 이상과 같이, 마스크를 보호하면서 마스크를 보호하는 보호막에 의하여 발생하는 마스크의 변형을 회피하는 기술의 실현이 필요하다.However, in the technique of forming a protective film on a mask as in Patent Documents 1 and 2 above, in particular, when a polymerization film of carbon and fluorine is formed on the mask by the CxFx gas used in etching of the inorganic film, the polymerization film is The ion bombardment can cause wiggling in the mask. By such deformation of the mask, precise pattern etching may be inhibited, and damage to the mask may be induced. On the other hand, in the case of reducing the deposition of the polymerization film, the protection of the mask becomes insufficient, and accordingly, situations such as a decrease in the mask selectivity may occur. As described above, while protecting the mask, it is necessary to realize a technique for avoiding the deformation of the mask caused by the protective film that protects the mask.

일 양태에 있어서는, 피처리체를 처리하는 방법이 제공된다. 피처리체는, 피에칭층과 상기 피에칭층 상에 마련된 제1 마스크를 구비하고, 당해 방법은, 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서 플라즈마를 발생시켜 상기 처리 용기에 마련된 평행 평판 전극의 상부 전극에 음의 직류 전압을 인가함으로써, 제1 마스크에 이차 전자를 조사함과 함께, 상기 상부 전극이 구비하고 실리콘을 함유하는 전극판으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 상기 제1 마스크를 덮는, 제1 공정과, 제1 공정의 실행 후에, 처리 용기 내에 있어서 제1 가스의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 포함하는 혼합층을 피에칭층의 표면의 원자층에 형성하는 제2 공정과, 제2 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제3 공정과, 제3 공정의 실행 후에, 처리 용기 내에 있어서 제2 가스의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 혼합층을 제거하는 제4 공정과, 제4 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제5 공정을 포함하는 제1 시퀀스를 반복 실행하여, 피에칭층을 원자층마다 제거함으로써, 상기 피에칭층을 에칭한다.In one aspect, a method of processing an object to be processed is provided. The object to be processed includes a layer to be etched and a first mask provided on the layer to be etched, and in this method, plasma is generated in a processing chamber of a plasma processing apparatus in which the object to be processed is accommodated, and parallel plate electrodes are provided in the processing chamber. By applying a negative DC voltage to the upper electrode of A first process for covering the first mask, and after the first process is executed, a plasma of a first gas is generated in the processing vessel, and a mixed layer containing radicals contained in the plasma is formed by forming atoms on the surface of the etching target layer. A second process of forming the layer, a third process of purging a space in the processing container after the execution of the second process, and after the execution of the third process, generating a plasma of a second gas in the processing container, A first sequence including a fourth step of removing the mixed layer by applying a bias voltage to By removing each time, the etching target layer is etched.

이와 같이, 피에칭층의 표면의 원자층을 제거하는 제1 시퀀스의 실행별로 제1 마스크에 대한 필요한 보호가 그때마다 행해지고, 이와 같은 제1 시퀀스가 반복 실행됨으로써, 피에칭층의 에칭에 대하여 필요한 보호가 제1 마스크에 형성되면서 과잉 보호가 회피될 수 있다. 따라서, 마스크를 보호하는 보호막의 막두께가 충분히 저감되므로, 당해 보호막에 의하여 발생하는 마스크의 변형이 회피될 수 있다.In this way, necessary protection for the first mask is performed each time the first sequence of removing the atomic layer on the surface of the etching target layer is executed, and this first sequence is repeatedly executed, so that the etching target layer is required for etching. Over-protection can be avoided as protection is formed on the first mask. Therefore, since the film thickness of the protective film protecting the mask is sufficiently reduced, the deformation of the mask caused by the protective film can be avoided.

제1 가스는, 플루오로카본계 가스와 희가스를 포함한다. 이와 같이, 제1 가스가 플루오로카본계 가스를 포함하므로, 제2 공정에 있어서, 피에칭층의 표면에 불소 라디칼 및 탄소 라디칼이 공급되어, 당해 표면에 당해 양 라디칼을 함유하는 혼합층이 형성될 수 있다.The first gas includes a fluorocarbon-based gas and a rare gas. In this way, since the first gas contains the fluorocarbon gas, in the second process, fluorine radicals and carbon radicals are supplied to the surface of the etching target layer, and a mixed layer containing both radicals is formed on the surface. can

제2 가스는, 희가스이다. 이와 같이, 제2 가스가 희가스를 포함하므로, 제4 공정에 있어서, 피에칭층의 표면에 형성된 혼합층은, 당해 희가스의 플라즈마가 바이어스 전압에 의하여 받는 에너지에 의하여, 당해 표면으로부터 제거될 수 있다.The second gas is a rare gas. As described above, since the second gas contains the rare gas, in the fourth step, the mixed layer formed on the surface of the etching target layer can be removed from the surface by the energy received by the plasma of the rare gas by the bias voltage.

제1 시퀀스의 실행 전에, 제1 마스크를 형성하는 공정을 더 포함하고, 상기 공정은, 제6 공정과 제7 공정을 포함하며, 상기 제6 공정과 상기 제7 공정에 있어서, 피에칭층 상에 마련되어 있던 유기막과 상기 유기막 상에 마련되어 있던 반사 방지막에 대하여 상기 반사 방지막 상에 마련되어 있던 제2 마스크를 이용하여 에칭 처리를 행함으로써, 제1 마스크를 형성하고, 제6 공정은, 반사 방지막을 에칭하며, 제7 공정은, 제6 공정의 실행 후에, 유기막을 에칭하고, 제1 마스크는, 제6 공정 및 제7 공정의 실행에 의하여 형성되며, 반사 방지막 및 유기막으로 형성된다.Prior to execution of the first sequence, the method further includes a step of forming a first mask, wherein the steps include a sixth process and a seventh process, wherein in the sixth process and the seventh process, on the etched layer A first mask is formed by etching the organic film provided in , and the antireflection film provided on the organic film using a second mask provided on the antireflection film, and the sixth step is the antireflection film. and the seventh process etches the organic film after the sixth process is performed, and the first mask is formed by performing the sixth and seventh processes, and is formed of the antireflection film and the organic film.

제6 공정은, 처리 용기 내에 있어서, 제2 마스크의 표면에 보호막을 컨포멀(conformal)하게 형성하는 공정(공정 a라고 함)과, 공정 a의 실행 후에, 상기 보호막이 형성된 제2 마스크를 이용하여, 처리 용기 내에서 발생시킨 플라즈마에 의하여 반사 방지막을 원자층마다 제거하여, 상기 반사 방지막을 에칭하는 공정(공정 b라고 함)을 포함한다. 이와 같이, 공정 a가 실행됨으로써, 마스크의 소밀차에 관계없이, 제2 마스크 상에 양호한 정밀도로 제어된 컨포멀한 막두께의 보호막이 형성되고, 마스크의 형상을 유지하면서 마스크의 에칭에 대한 내성이 강화되며, 또한 공정 b가 실행됨으로써, 마스크의 선택비가 향상되어, 마스크의 형상(LWR(Line Width Roughness) 및 LER(Line Edge Roughness))이 에칭에 의하여 받는 영향이 저감된다.The sixth step includes a step of conformally forming a protective film on the surface of the second mask in the processing container (referred to as step a), and after the execution of step a, the second mask on which the protective film is formed is used and removing the antireflection film for each atomic layer by plasma generated in the processing chamber, and etching the antireflection film (referred to as step b). In this way, by carrying out the step a, a protective film with a controlled conformal film thickness is formed on the second mask with good precision irrespective of the difference in the density of the mask, and the mask is resistant to etching while maintaining the shape of the mask. is strengthened, and as the process b is executed, the selectivity of the mask is improved, and the influence of the etching on the shape of the mask (Line Width Roughness (LWR) and Line Edge Roughness (LER)) is reduced.

제6 공정은, 공정 a의 실행 전에, 처리 용기 내에서 플라즈마를 발생시켜 처리 용기에 마련된 상부 전극에 음의 직류 전압을 인가함으로써, 제2 마스크에 이차 전자를 조사하는 공정(공정 c라고 함)을 더 포함한다. 이와 같이, 공정 a의 실행 전에 있어서, 제2 마스크에 이차 전자를 조사하므로, 보호막의 형성 전에 제2 마스크를 개질시킬 수 있어, 후속 공정에 의한 제2 마스크의 손상을 억제할 수 있다.In the sixth step, before the execution of step a, a step of irradiating secondary electrons to the second mask by generating plasma in the processing vessel and applying a negative DC voltage to the upper electrode provided in the processing vessel (referred to as step c) further includes As described above, since the second mask is irradiated with secondary electrons before the execution of the step a, the second mask can be modified before the formation of the protective film, and damage to the second mask due to the subsequent step can be suppressed.

공정 c는, 처리 용기 내에서 플라즈마를 발생시켜 상부 전극에 음의 직류 전압을 인가함으로써, 전극판으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 제2 마스크를 덮는다. 이와 같이, 공정 c에 있어서, 산화 실리콘 화합물이 제2 마스크를 덮으므로, 후속 공정에 의한 제2 마스크의 손상을 더 억제할 수 있다.In step c, the second mask is covered with the silicon oxide compound containing silicon by generating plasma in the processing vessel and applying a negative DC voltage to the upper electrode, thereby releasing silicon from the electrode plate. In this way, in the step c, since the silicon oxide compound covers the second mask, it is possible to further suppress damage to the second mask due to the subsequent step.

공정 a는, 처리 용기 내에 제3 가스를 공급하는 제8 공정과, 제8 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제9 공정과, 제9 공정의 실행 후에, 처리 용기 내에 있어서 제4 가스의 플라즈마를 생성하는 제10 공정과, 제10 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제11 공정을 포함하는 제2 시퀀스를 반복 실행함으로써, 제2 마스크의 표면에 보호막을 컨포멀하게 형성하고, 제8 공정은, 제3 가스의 플라즈마를 생성하지 않는다. 이와 같이, 공정 a는, ALD(Atomic Layer Deposition)법과 동일한 방법에 의하여, 제2 마스크의 표면에 보호막을 컨포멀하게 형성할 수 있다.Process a includes an eighth process of supplying a third gas into the processing container, a ninth process of purging a space in the processing container after the eighth process is executed, and a fourth process in the processing container after the ninth process is executed A protective film is conformally formed on the surface of the second mask by repeatedly executing a second sequence including a tenth process of generating a gas plasma and an eleventh process of purging the space in the processing vessel after the tenth process is executed and, in the eighth step, plasma of the third gas is not generated. In this way, in step a, the protective film can be conformally formed on the surface of the second mask by the same method as the Atomic Layer Deposition (ALD) method.

제3 가스는, 유기 함유된 아미노실란계 가스를 포함한다. 이와 같이 제3 가스가 유기 함유된 아미노실란계 가스를 포함하므로, 제8 공정에 의하여, 실리콘의 반응 전구체가 제2 마스크의 원자층을 따라 제2 마스크 상에 형성된다.The third gas includes an organic-containing aminosilane-based gas. As described above, since the third gas includes the organic-containing aminosilane-based gas, a reactive precursor of silicon is formed on the second mask along the atomic layer of the second mask by the eighth process.

일 실시형태에 있어서, 제3 가스의 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함할 수 있다. 제3 가스의 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 이와 같이 제3 가스의 아미노실란계 가스에는, 1~3개의 규소 원자를 포함하는 아미노실란을 이용할 수 있다. 또, 제3 가스의 아미노실란계 가스에는, 1~3개의 아미노기를 포함하는 아미노실란을 이용할 수 있다.In one embodiment, the aminosilane-based gas of the third gas may include an aminosilane having 1 to 3 silicon atoms. The aminosilane-based gas of the third gas may include an aminosilane having 1 to 3 amino groups. As described above, aminosilane containing 1 to 3 silicon atoms can be used as the aminosilane-based gas of the third gas. Moreover, the aminosilane containing 1 to 3 amino groups can be used for the aminosilane-type gas of 3rd gas.

제4 가스는, 산소 원자 및 탄소 원자를 함유하는 가스를 포함한다. 이와 같이 제4 가스가 산소 원자를 포함하므로, 제10 공정에 있어서, 당해 산소 원자가 제2 마스크 상에 마련되는 실리콘의 반응 전구체와 결합함으로써, 제2 마스크 상에 산화 실리콘의 보호막이 컨포멀하게 형성될 수 있다. 또, 제4 가스가 탄소 원자를 포함하므로, 산소 원자에 의한 제2 마스크에 대한 침식이 당해 탄소 원자에 의하여 억제될 수 있다.The fourth gas includes a gas containing an oxygen atom and a carbon atom. As described above, since the fourth gas contains oxygen atoms, in the tenth step, the oxygen atoms combine with the reactive precursor of silicon provided on the second mask, whereby a protective film of silicon oxide is conformally formed on the second mask. can be Further, since the fourth gas contains carbon atoms, erosion of the second mask by the oxygen atoms can be suppressed by the carbon atoms.

공정 b는, 공정 a의 실행 후에, 처리 용기 내에 있어서 제5 가스의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 포함하는 혼합층을 반사 방지막의 표면에 형성하는 제12 공정과, 제12 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제13 공정과, 제13 공정의 실행 후에, 처리 용기 내에 있어서 제6 가스의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 혼합층을 제거하는 제14 공정과, 제14 공정의 실행 후에, 처리 용기 내의 공간을 퍼지하는 제15 공정을 포함하는 제3 시퀀스를 반복 실행하여, 반사 방지막을 원자층마다 제거함으로써, 상기 반사 방지막을 에칭한다. 이와 같이, 공정 b는, ALE(Atomic Layer Etching)법과 동일한 방법에 의하여, 반사 방지막을 원자층마다 제거할 수 있다.Step b includes a twelfth step of generating a plasma of a fifth gas in the processing container after the execution of step a, and forming a mixed layer containing radicals contained in the plasma on the surface of the anti-reflection film; After execution, a thirteenth process of purging the space in the processing chamber; and a fourteenth operation of generating a plasma of a sixth gas in the processing chamber and applying a bias voltage to the plasma to remove the mixed layer after the execution of the thirteenth process The antireflection film is etched by repeatedly performing a third sequence including the process and a fifteenth process of purging the space in the processing container after the fourteenth process is performed to remove the antireflection film for each atomic layer. In this way, in step b, the antireflection film can be removed for each atomic layer by the same method as the ALE (Atomic Layer Etching) method.

제5 가스는, 플루오로카본계 가스와 희가스를 포함한다. 이와 같이, 제5 가스가 플루오로카본계 가스를 포함하므로, 제12 공정에 있어서, 반사 방지막의 표면에 불소 라디칼 및 탄소 라디칼이 공급되어, 당해 표면에 당해 양 라디칼을 함유하는 혼합층이 형성될 수 있다.The fifth gas includes a fluorocarbon-based gas and a rare gas. As such, since the fifth gas includes the fluorocarbon gas, in the twelfth step, fluorine radicals and carbon radicals are supplied to the surface of the anti-reflection film, and a mixed layer containing both radicals can be formed on the surface. have.

제6 가스는, 희가스를 포함한다. 이와 같이, 제6 가스가 희가스를 포함하므로, 제14 공정에 있어서, 반사 방지막의 표면에 형성된 혼합층은, 당해 희가스의 플라즈마가 바이어스 전압에 의하여 받는 에너지에 의하여, 당해 표면으로부터 제거될 수 있다.The sixth gas contains a rare gas. As described above, since the sixth gas contains the rare gas, in the fourteenth step, the mixed layer formed on the surface of the antireflection film can be removed from the surface by the energy received by the plasma of the rare gas by the bias voltage.

제7 공정은, 제6 공정의 실행 후에, 처리 용기 내에서 발생시킨 플라즈마에 의하여, 제3 마스크를 이용하여 유기막에 대하여 에칭 처리를 행하고, 제3 마스크는, 제6 공정에 있어서, 제2 마스크와 반사 방지막으로 형성된다. 이와 같이, 제6 공정의 실행에 의하여, 마스크의 소밀에 관계없이, 형상이 유지되어 선택비가 향상된 제3 마스크가 유기막 상에 형성되므로, 이와 같은 양호한 형상의 마스크에 의한 유기막의 에칭이 가능해져, 유기막의 에칭을 양호하게 행할 수 있다.In the seventh step, after the sixth step is performed, the organic film is etched using a third mask by the plasma generated in the processing container, and the third mask is used in the second step in the sixth step. It is formed of a mask and an antireflection film. In this way, by executing the sixth step, a third mask with an improved selectivity by maintaining the shape is formed on the organic film regardless of the density of the mask, so that the organic film can be etched with a mask having such a good shape. , the organic film can be etched favorably.

이상 설명한 바와 같이, 마스크를 보호하면서 마스크를 보호하는 보호막에 의하여 발생하는 마스크의 변형을 회피하는 기술이 실현 가능해진다.As described above, while protecting the mask, a technique for avoiding the deformation of the mask caused by the protective film that protects the mask can be realized.

도 1은, 일 실시형태의 방법을 나타내는 흐름도이다.
도 2는, 플라즈마 처리 장치의 일례를 나타내는 도이다.
도 3은, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체 상태를 나타내는 단면도이다.
도 4는, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 각 공정의 실시 후의 피처리체 상태를 나타내는 단면도이다.
도 5는, 도 1에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을 모식적으로 나타내는 도이다.
도 6은, 도 1에 나타내는 방법에 있어서의 에칭의 원리를 나타내는 도이다.
1 is a flowchart illustrating a method of an embodiment.
2 is a diagram illustrating an example of a plasma processing apparatus.
Fig. 3 is a cross-sectional view showing a state of a processing target object including a portion (a), a portion (b), and a portion (c), before and after implementation of each step shown in Fig. 1 .
Fig. 4 is a cross-sectional view showing a state of a target object after each step shown in Fig. 1 is provided including a section (a), a section (b), and a section (c).
FIG. 5 : is a figure which shows typically the mode of formation of the protective film in the sequence of forming the protective film shown in FIG. 1. FIG.
FIG. 6 is a diagram showing the principle of etching in the method shown in FIG. 1 .

이하, 도면을 참조하여 다양한 실시형태에 대하여 상세하게 설명한다. 또한, 도면에 있어서 동일하거나 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.Hereinafter, various embodiments will be described in detail with reference to the drawings. In addition, the same code|symbol shall be attached|subjected about the same or corresponding part in drawing.

이하, 도 1을 참조하여, 플라즈마 처리 장치(10)를 이용하여 실시할 수 있는 에칭 방법(방법 MT)에 대하여 설명한다. 도 1은, 일 실시형태의 방법을 나타내는 흐름도이다. 도 1에 나타내는 일 실시형태의 방법 MT는, 피처리체(이하, "웨이퍼"라고 하는 경우가 있음)를 처리하는 방법이다. 방법 MT는, 웨이퍼를 에칭하는 방법의 일례이다. 일 실시형태의 방법 MT에서는, 일련의 공정을 단일의 플라즈마 처리 장치를 이용하여 실행하는 것이 가능하다.Hereinafter, an etching method (method MT) that can be performed using the plasma processing apparatus 10 will be described with reference to FIG. 1 . 1 is a flowchart illustrating a method of an embodiment. The method MT of the embodiment shown in FIG. 1 is a method of processing a target object (hereinafter, sometimes referred to as a “wafer”). Method MT is an example of a method of etching a wafer. In the method MT of one embodiment, it is possible to perform a series of processes using a single plasma processing apparatus.

도 2는, 플라즈마 처리 장치의 일례를 나타내는 도이다. 도 2에는, 피처리체를 처리하는 방법의 다양한 실시형태에서 이용 가능한 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 나타나 있다. 도 2에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 평행 평판의 전극을 구비하는 플라즈마 에칭 장치이며, 처리 용기(12)를 구비하고 있다. 처리 용기(12)는, 대략 원통 형상을 갖고 있다. 처리 용기(12)는, 예를 들면 알루미늄으로 구성되어 있으며, 그 내벽면에는 양극 산화 처리가 실시되어 있다. 처리 용기(12)는 보안 접지되어 있다.2 is a diagram illustrating an example of a plasma processing apparatus. 2 schematically shows a cross-sectional structure of a plasma processing apparatus 10 usable in various embodiments of a method for processing an object. As shown in FIG. 2 , the plasma processing apparatus 10 is a plasma etching apparatus provided with parallel-plate electrodes, and includes a processing chamber 12 . The processing container 12 has a substantially cylindrical shape. The processing container 12 is made of, for example, aluminum, and the inner wall surface thereof is anodized. The processing vessel 12 is securely grounded.

처리 용기(12)의 바닥부 상에는, 대략 원통형의 지지부(14)가 마련되어 있다. 지지부(14)는, 예를 들면 절연 재료로 구성되어 있다. 지지부(14)를 구성하는 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(12) 내에 있어서, 처리 용기(12)의 바닥부로부터 연직 방향으로 뻗어 있다. 처리 용기(12) 내에는, 재치대(PD)가 마련되어 있다. 재치대(PD)는, 지지부(14)에 의하여 지지되어 있다.On the bottom of the processing container 12 , a substantially cylindrical support 14 is provided. The support part 14 is comprised with the insulating material, for example. The insulating material constituting the support 14 may contain oxygen, such as quartz. The support part 14 extends in the processing container 12 in a vertical direction from the bottom of the processing container 12 . A mounting table PD is provided in the processing container 12 . The mounting table PD is supported by the support part 14 .

재치대(PD)는, 재치대(PD)의 상면에 있어서 웨이퍼(W)를 지지한다. 재치대(PD)는, 하부 전극(LE) 및 정전 척(ESC)을 갖고 있다. 하부 전극(LE)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함하고 있다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 예를 들면 알루미늄알루미늄과 같은 금속으로 구성되어 있으며, 대략 원반 형상을 이루고 있다. 제2 플레이트(18b)는, 제1 플레이트(18a) 상에 마련되어 있고, 제1 플레이트(18a)에 전기적으로 접속되어 있다.The mounting table PD supports the wafer W on the upper surface of the mounting table PD. The mounting table PD includes a lower electrode LE and an electrostatic chuck ESC. The lower electrode LE includes a first plate 18a and a second plate 18b. The first plate 18a and the second plate 18b are made of, for example, a metal such as aluminum aluminum, and have a substantially disk shape. The second plate 18b is provided on the first plate 18a and is electrically connected to the first plate 18a.

제2 플레이트(18b) 상에는, 정전 척(ESC)이 마련되어 있다. 정전 척(ESC)은, 도전막인 전극을, 한 쌍의 절연층의 사이 또는 한 쌍의 절연 시트의 사이에 배치한 구조를 갖고 있다. 정전 척(ESC)의 전극에는, 직류 전원(22)이 스위치(23)를 통하여 전기적으로 접속되어 있다. 정전 척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의하여 발생하는 쿨롱력(coulomb force) 등의 정전력에 의하여 웨이퍼(W)를 흡착한다. 이로써, 정전 척(ESC)은, 웨이퍼(W)를 유지할 수 있다.An electrostatic chuck ESC is provided on the second plate 18b. The electrostatic chuck ESC has a structure in which an electrode, which is a conductive film, is disposed between a pair of insulating layers or between a pair of insulating sheets. A DC power supply 22 is electrically connected to the electrode of the electrostatic chuck ESC through a switch 23 . The electrostatic chuck ESC attracts the wafer W by an electrostatic force such as a coulomb force generated by a DC voltage from the DC power supply 22 . Accordingly, the electrostatic chuck ESC can hold the wafer W.

제2 플레이트(18b)의 둘레 가장자리부 상에는, 웨이퍼(W)의 에지 및 정전 척(ESC)을 감싸도록 포커스 링(FR)이 배치되어 있다. 포커스 링(FR)은, 에칭의 균일성을 향상시키기 위하여 마련되어 있다. 포커스 링(FR)은, 에칭 대상인 막의 재료에 따라 적절히 선택되는 재료로 구성되어 있으며, 예를 들면 석영으로 구성될 수 있다.A focus ring FR is disposed on the peripheral edge of the second plate 18b to surround the edge of the wafer W and the electrostatic chuck ESC. The focus ring FR is provided in order to improve the uniformity of etching. The focus ring FR is made of a material appropriately selected according to the material of the film to be etched, and may be made of, for example, quartz.

제2 플레이트(18b)의 내부에는, 냉매 유로(24)가 마련되어 있다. 냉매 유로(24)는, 온도 조절 기구를 구성하고 있다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 마련된 칠러 유닛(도시하지 않음)으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 통하여 칠러 유닛으로 되돌려진다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의하여 지지된 웨이퍼(W)의 온도가 제어된다.A refrigerant passage 24 is provided inside the second plate 18b. The refrigerant passage 24 constitutes a temperature control mechanism. A refrigerant is supplied to the refrigerant passage 24 from a chiller unit (not shown) provided outside the processing container 12 through a pipe 26a. The refrigerant supplied to the refrigerant passage 24 is returned to the chiller unit through the pipe 26b. In this way, the refrigerant is supplied to the refrigerant passage 24 so as to circulate. By controlling the temperature of this refrigerant, the temperature of the wafer W supported by the electrostatic chuck ESC is controlled.

플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스를, 정전 척(ESC)의 상면과 웨이퍼(W)의 이면의 사이에 공급한다.The plasma processing apparatus 10 is provided with a gas supply line 28 . The gas supply line 28 supplies a heat transfer gas, for example, He gas, from the heat transfer gas supply mechanism between the upper surface of the electrostatic chuck ESC and the back surface of the wafer W.

플라즈마 처리 장치(10)에는, 가열 소자인 히터(HT)가 마련되어 있다. 히터(HT)는, 예를 들면 제2 플레이트(18b) 내에 매립되어 있다. 히터(HT)에는, 히터 전원(HP)이 접속되어 있다. 히터 전원(HP)으로부터 히터(HT)에 전력이 공급됨으로써, 재치대(PD)의 온도가 조정되어, 재치대(PD) 상에 재치되는 웨이퍼(W)의 온도가 조정되도록 되어 있다. 또한, 히터(HT)는, 정전 척(ESC)에 내장되어 있어도 된다.The plasma processing apparatus 10 is provided with a heater HT serving as a heating element. The heater HT is embedded in the 2nd plate 18b, for example. A heater power supply HP is connected to the heater HT. When electric power is supplied to the heater HT from the heater power supply HP, the temperature of the mounting table PD is adjusted, and the temperature of the wafer W mounted on the mounting table PD is adjusted. In addition, the heater HT may be built in the electrostatic chuck ESC.

플라즈마 처리 장치(10)는, 상부 전극(30)을 구비하고 있다. 상부 전극(30)은, 재치대(PD)의 상방에 있어서, 재치대(PD)와 대향 배치되어 있다. 하부 전극(LE)과 상부 전극(30)은, 서로 대략 평행하게 마련되어 있다. 상부 전극(30)과 하부 전극(LE)의 사이에는, 웨이퍼(W)에 플라즈마 처리를 행하기 위한 처리 공간(S)이 제공되고 있다.The plasma processing apparatus 10 includes an upper electrode 30 . The upper electrode 30 is disposed above the mounting table PD to face the mounting table PD. The lower electrode LE and the upper electrode 30 are provided substantially parallel to each other. A processing space S for performing plasma processing on the wafer W is provided between the upper electrode 30 and the lower electrode LE.

상부 전극(30)은, 절연성 차폐 부재(32)를 통하여, 처리 용기(12)의 상부에 지지되어 있다. 절연성 차폐 부재(32)는, 절연 재료로 구성되어 있으며, 예를 들면 석영과 같이 산소를 포함할 수 있다. 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간(S)에 면하고 있으며, 당해 전극판(34)에는 복수의 가스 토출 구멍(34a)이 마련되어 있다. 전극판(34)은, 일 실시형태에서는, 실리콘을 함유한다. 다른 실시형태에서는, 전극판(34)은, 산화 실리콘을 함유할 수 있다.The upper electrode 30 is supported on the upper portion of the processing vessel 12 via the insulating shielding member 32 . The insulating shield member 32 is made of an insulating material, and may contain oxygen such as quartz. The upper electrode 30 may include an electrode plate 34 and an electrode support 36 . The electrode plate 34 faces the processing space S, and the electrode plate 34 is provided with a plurality of gas discharge holes 34a. The electrode plate 34 contains silicon in one embodiment. In another embodiment, the electrode plate 34 may contain silicon oxide.

전극 지지체(36)는, 전극판(34)을 착탈 가능하게 지지하는 것이며, 예를 들면 알루미늄과 같은 도전성 재료로 구성될 수 있다. 전극 지지체(36)는, 수랭 구조를 가질 수 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 하방으로 뻗어 있다. 전극 지지체(36)에는, 가스 확산실(36a)에 처리 가스를 유도하는 가스 도입구(36c)가 형성되어 있으며, 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.The electrode support body 36 detachably supports the electrode plate 34 and may be made of, for example, a conductive material such as aluminum. The electrode support 36 may have a water cooling structure. A gas diffusion chamber 36a is provided inside the electrode support body 36 . A plurality of gas flow holes 36b communicating with the gas discharge holes 34a extend downward from the gas diffusion chamber 36a. A gas inlet 36c for introducing a process gas to the gas diffusion chamber 36a is formed in the electrode support 36 , and a gas supply pipe 38 is connected to the gas inlet 36c.

가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 통하여, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수의 가스 소스를 갖고 있다. 복수의 가스 소스는, 유기 함유된 아미노실란계 가스의 소스, 플루오로카본계 가스(CxFy 가스(x, y는 1~10의 정수))의 소스, 산소 원자 및 탄소 원자를 갖는 가스의 소스(예를 들면 이산화 탄소 가스 등), 질소 가스의 소스, 수소 함유 가스의 소스, 및 희가스의 소스를 포함할 수 있다. 플루오로카본계 가스로서는, CF4 가스, C4F6 가스, C4F8 가스와 같은 임의의 플루오로카본계 가스가 이용될 수 있다. 아미노실란계 가스로서는, 아미노기의 수가 비교적 적은 분자 구조의 것이 이용될 수 있고, 예를 들면 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있으며, 치환되어 있어도 되는 아미노기))이 이용될 수 있다. 또, 상기의 아미노실란계 가스(후술하는 가스(G1)에 포함되는 가스)는, 1~3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있거나, 또는, 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 1~3개의 규소 원자를 갖는 아미노실란은, 1~3개의 아미노기를 갖는 모노실란(모노아미노실란), 1~3개의 아미노기를 갖는 다이실란, 또는, 1~3개의 아미노기를 갖는 트라이실란일 수 있다. 또한, 상기의 아미노실란은, 치환되어 있어도 되는 아미노기를 가질 수 있다. 또한, 상기의 아미노기는, 메틸기, 에틸기, 프로필기, 및 부틸기 중 어느 것에 의하여 치환될 수 있다. 또한, 상기의 메틸기, 에틸기, 프로필기, 또는, 부틸기는, 할로겐에 의하여 치환될 수 있다. 희가스로서는, Ar 가스, He 가스와 같은 임의의 희가스가 이용될 수 있다.A gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44 . The gas source group 40 has a plurality of gas sources. The plurality of gas sources include a source of an organic-containing aminosilane-based gas, a source of a fluorocarbon-based gas (C x F y gas (x, y is an integer from 1 to 10)), a gas having oxygen atoms and carbon atoms. (eg, carbon dioxide gas, etc.), a source of nitrogen gas, a source of hydrogen-containing gas, and a source of rare gas. As the fluorocarbon-based gas, any fluorocarbon-based gas such as CF 4 gas, C 4 F 6 gas, or C 4 F 8 gas can be used. As the aminosilane-based gas, a molecular structure having a relatively small number of amino groups can be used, for example, monoaminosilane (H 3 -Si-R (R is an organic, optionally substituted amino group)). can be used In addition, the aminosilane-based gas (gas included in the gas (G1) to be described later) may contain an aminosilane that may have 1 to 3 silicon atoms, or an amino group having 1 to 3 amino groups. silane. The aminosilane having 1 to 3 silicon atoms may be a monosilane having 1 to 3 amino groups (monoaminosilane), a disilane having 1 to 3 amino groups, or a trisilane having 1 to 3 amino groups. have. In addition, said aminosilane may have an amino group which may be substituted. In addition, the amino group may be substituted by any of a methyl group, an ethyl group, a propyl group, and a butyl group. In addition, the methyl group, ethyl group, propyl group, or butyl group may be substituted with halogen. As the rare gas, any rare gas such as Ar gas or He gas can be used.

밸브군(42)은 복수의 밸브를 포함하고 있으며, 유량 제어기군(44)은 매스 플로 컨트롤러와 같은 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스의 각각은, 밸브군(42)의 대응하는 밸브 및 유량 제어기군(44)의 대응하는 유량 제어기를 통하여, 가스 공급관(38)에 접속되어 있다. 따라서, 플라즈마 처리 장치(10)는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 1 이상의 가스 소스로부터의 가스를, 개별적으로 조정된 유량으로, 처리 용기(12) 내에 공급하는 것이 가능하다.The valve group 42 includes a plurality of valves, and the flow controller group 44 includes a plurality of flow controllers such as a mass flow controller. Each of the plurality of gas sources in the gas source group 40 is connected to the gas supply pipe 38 via a corresponding valve in the valve group 42 and a corresponding flow controller in the flow rate controller group 44 . Accordingly, the plasma processing apparatus 10 can supply gas from one or more gas sources selected from among the plurality of gas sources of the gas source group 40 into the processing vessel 12 at an individually adjusted flow rate. .

플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 디포지션 실드(46)가 착탈 가능하게 마련되어 있다. 디포지션 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 디포지션 실드(46)는, 처리 용기(12)에 에칭 부생물(디포지트)이 부착되는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 디포지션 실드는, Y2O3 외에, 예를 들면 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.In the plasma processing apparatus 10 , the deposition shield 46 is detachably provided along the inner wall of the processing chamber 12 . The deposition shield 46 is also provided on the outer periphery of the support portion 14 . The deposition shield 46 prevents etching by-products (deposits) from adhering to the processing container 12 , and can be configured by coating an aluminum material with ceramics such as Y 2 O 3 . The deposition shield, in addition to Y 2 O 3 , may be made of a material containing oxygen, such as quartz.

처리 용기(12)의 바닥부 측, 또한 지지부(14)와 처리 용기(12)의 측벽의 사이에는 배기 플레이트(48)가 마련되어 있다. 배기 플레이트(48)는, 예를 들면 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 배기 플레이트(48)의 하방, 또한 처리 용기(12)에는, 배기구(12e)가 마련되어 있다. 배기구(12e)에는, 배기관(52)을 통하여 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있으며, 처리 용기(12) 내의 공간을 원하는 진공도까지 감압할 수 있다. 처리 용기(12)의 측벽에는 웨이퍼(W)의 반입 출구(12g)가 마련되어 있고, 반입 출구(12g)는 게이트 밸브(54)에 의하여 개폐 가능하게 되어 있다.An exhaust plate 48 is provided on the bottom side of the processing vessel 12 and between the support 14 and the sidewall of the processing vessel 12 . The exhaust plate 48 can be constituted, for example, by coating an aluminum material with ceramics such as Y 2 O 3 . An exhaust port 12e is provided below the exhaust plate 48 and in the processing container 12 . An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52 . The exhaust device 50 includes a vacuum pump such as a turbo molecular pump, and can depressurize the space in the processing container 12 to a desired degree of vacuum. A carry-in outlet 12g for wafers W is provided on the side wall of the processing container 12 , and the carry-in outlet 12g is opened and closed by a gate valve 54 .

플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더 구비하고 있다. 제1 고주파 전원(62)은, 플라즈마 생성용 제1 고주파 전력을 발생시키는 전원이며, 27~100[MHz]의 주파수, 일례에 있어서는 60[MHz]의 고주파 전력을 발생시킨다. 제1 고주파 전원(62)은, 정합기(66)를 통하여 상부 전극(30)에 접속되어 있다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하 측(하부 전극(LE) 측)의 입력 임피던스를 정합시키기 위한 회로이다. 또한, 제1 고주파 전원(62)은, 정합기(66)를 통하여 하부 전극(LE)에 접속되어 있어도 된다.The plasma processing apparatus 10 further includes a first high frequency power supply 62 and a second high frequency power supply 64 . The first high frequency power supply 62 is a power supply for generating the first high frequency power for plasma generation, and generates high frequency power with a frequency of 27 to 100 [MHz], in an example, 60 [MHz]. The first high frequency power supply 62 is connected to the upper electrode 30 via a matching device 66 . The matching device 66 is a circuit for matching the output impedance of the first high frequency power supply 62 with the input impedance of the load side (lower electrode LE side). In addition, the first high frequency power supply 62 may be connected to the lower electrode LE via the matching device 66 .

제2 고주파 전원(64)은, 웨이퍼(W)에 이온을 끌어당기기 위한 제2 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400[kHz]~40.68[MHz]의 범위 내의 주파수, 일례에 있어서는 13.56[MHz]의 주파수의 고주파 바이어스 전력을 발생시킨다. 제2 고주파 전원(64)은, 정합기(68)를 통하여 하부 전극(LE)에 접속되어 있다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하 측(하부 전극(LE) 측)의 입력 임피던스를 정합시키기 위한 회로이다.The second high frequency power supply 64 is a power supply for generating a second high frequency power for attracting ions to the wafer W, that is, a high frequency bias power, at a frequency within the range of 400 [kHz] to 40.68 [MHz], for example. In this case, a high frequency bias power with a frequency of 13.56 [MHz] is generated. The second high frequency power supply 64 is connected to the lower electrode LE via a matching unit 68 . The matching device 68 is a circuit for matching the output impedance of the second high frequency power supply 64 with the input impedance of the load side (lower electrode LE side).

플라즈마 처리 장치(10)는, 전원(70)을 더 구비하고 있다. 전원(70)은, 상부 전극(30)에 접속되어 있다. 전원(70)은, 처리 공간(S) 내에 존재하는 양이온을 전극판(34)으로 끌어당기기 위한 전압을, 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은, 음의 직류 전압을 발생시키는 직류 전원이다. 이와 같은 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간(S)에 존재하는 양이온이, 전극판(34)에 충돌한다. 이로써, 전극판(34)으로부터 이차 전자 및/또는 실리콘이 방출된다.The plasma processing apparatus 10 further includes a power supply 70 . The power supply 70 is connected to the upper electrode 30 . The power supply 70 applies a voltage for attracting positive ions existing in the processing space S to the electrode plate 34 to the upper electrode 30 . In one example, the power supply 70 is a DC power supply that generates a negative DC voltage. When such a voltage is applied to the upper electrode 30 from the power source 70 , positive ions existing in the processing space S collide with the electrode plate 34 . Thereby, secondary electrons and/or silicon are emitted from the electrode plate 34 .

일 실시형태에 있어서는, 플라즈마 처리 장치(10)는, 제어부(Cnt)를 더 구비할 수 있다. 제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각부를 제어한다. 구체적으로, 제어부(Cnt)는, 밸브군(42), 유량 제어기군(44), 배기 장치(50), 제1 고주파 전원(62), 정합기(66), 제2 고주파 전원(64), 정합기(68), 전원(70), 히터 전원(HP), 및 칠러 유닛에 접속되어 있다.In one embodiment, the plasma processing apparatus 10 may further include a control unit Cnt. The control unit Cnt is a computer including a processor, a storage unit, an input device, a display device, and the like, and controls each part of the plasma processing device 10 . Specifically, the control unit Cnt includes the valve group 42 , the flow rate controller group 44 , the exhaust device 50 , the first high frequency power supply 62 , the matching unit 66 , the second high frequency power supply 64 , It is connected to the matching unit 68, the power supply 70, the heater power supply HP, and the chiller unit.

제어부(Cnt)는, 입력된 레시피에 근거하는 프로그램에 따라 동작하고, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 의하여, 가스 소스군(40)으로부터 공급되는 가스의 선택 및 유량, 배기 장치(50)의 배기, 제1 고주파 전원(62) 및 제2 고주파 전원(64)으로부터의 전력 공급, 전원(70)으로부터의 전압 인가, 히터 전원(HP)의 전력 공급, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다. 또한, 본 명세서에 있어서 개시되는 피처리체를 처리하는 방법 MT의 각 공정은, 제어부(Cnt)에 의한 제어에 의하여 플라즈마 처리 장치(10)의 각부를 동작시킴으로써 실행될 수 있다.The control unit Cnt operates according to a program based on the input recipe, and transmits a control signal. In response to a control signal from the control unit Cnt, selection and flow rate of gas supplied from the gas source group 40, exhaust of the exhaust device 50, and the first high frequency power supply 62 and the second high frequency power supply 64 are It is possible to control the power supply of , voltage application from the power supply 70, power supply of the heater power supply HP, the refrigerant flow rate and the refrigerant temperature from the chiller unit. In addition, each process of the method MT for processing an object disclosed in this specification can be executed by operating each part of the plasma processing apparatus 10 under the control of the control unit Cnt.

도 3의 (a)부를 참조하여, 도 1에 나타내는 방법 MT의 공정 ST1에서 준비되는 웨이퍼(W)의 주요한 구성을 설명한다. 도 3은, 도 1에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체 상태를 나타내는 단면도이다.With reference to part (a) of FIG. 3, the main structure of the wafer W prepared in step ST1 of method MT shown in FIG. 1 is demonstrated. 3 is a cross-sectional view showing a state of a target object before and after implementation of each step shown in FIG. 1 .

공정 ST1에 있어서 준비되는 웨이퍼(W)는, 도 3의 (a)부에 나타내는 바와 같이, 기판(SB)과, 피에칭층(EL)과, 유기막(OL)과, 반사 방지막(AL)과, 마스크(MK1)(제2 마스크)를 구비한다. 피에칭층(EL)은, 기판(SB) 상에 마련된다. 피에칭층(EL)은, 유기막(OL)에 대하여 선택적으로 에칭되는 재료로 구성되는 층이며 절연막이 이용된다. 피에칭층(EL)은, 예를 들면 산화 실리콘(SiO2)으로 구성될 수 있다. 또한, 피에칭층(EL)은, 다결정 실리콘과 같은 다른 재료로 구성될 수 있다.As shown in part (a) of FIG. 3 , the wafer W prepared in step ST1 includes a substrate SB, an etching target layer EL, an organic film OL, and an antireflection film AL. and a mask MK1 (a second mask). The etching target layer EL is provided on the substrate SB. The etching target layer EL is a layer made of a material selectively etched with respect to the organic film OL, and an insulating film is used. The etching target layer EL may be made of, for example, silicon oxide (SiO 2 ). In addition, the etching target layer EL may be made of another material such as polycrystalline silicon.

유기막(OL)은, 피에칭층(EL) 상에 마련된다. 유기막(OL)은, 탄소를 포함하는 층이며, 예를 들면 SOH(스핀 온 하드마스크)층이다. 반사 방지막(AL)은, 실리콘 함유의 반사 방지막이며, 유기막(OL) 상에 마련된다.The organic film OL is provided on the etching target layer EL. The organic film OL is a layer containing carbon, for example, an SOH (spin on hard mask) layer. The antireflection film AL is an antireflection film containing silicon, and is provided on the organic film OL.

마스크(MK1)는, 반사 방지막(AL) 상에 마련된다. 마스크(MK1)는, 레지스트 재료로 구성된 레지스트 마스크이며, 포토리소그래피 기술에 의하여 레지스트층이 패터닝됨으로써 제작된다. 마스크(MK1)는, 예를 들면 ArF 레지스트일 수 있다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 덮고 있다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 노출시키는 개구(OP1)를 구획 형성하고 있다. 마스크(MK1)의 패턴은, 예를 들면 라인·앤드·스페이스 패턴인데, 평면에서 볼 때 원형인 개구를 제공하는 패턴, 평면에서 볼 때 타원 형상인 개구를 제공하는 패턴 등, 다른 다양한 형상의 패턴을 가질 수 있다.The mask MK1 is provided on the antireflection film AL. The mask MK1 is a resist mask made of a resist material, and is produced by patterning a resist layer by a photolithography technique. The mask MK1 may be, for example, an ArF resist. The mask MK1 partially covers the antireflection film AL. The mask MK1 partitions the opening OP1 through which the antireflection film AL is partially exposed. The pattern of the mask MK1 is, for example, a line-and-space pattern, and a pattern of various other shapes, such as a pattern providing a circular opening in plan view, a pattern providing an oval opening in a plan view, etc. can have

또한, 마스크(MK1)는, 예를 들면 폴리스틸렌-블록-폴리메틸메타크릴레이트(PS-b-PMMA) 등의 블록·코폴리머를 이용하고, 또한 이 PS 및 PMMA의 상분리 구조를 이용하여 형성된 것일 수 있다.In addition, the mask MK1 is formed using, for example, a block copolymer such as polystyrene-block-polymethyl methacrylate (PS-b-PMMA) and a phase-separated structure of PS and PMMA. can

도 1로 되돌아와, 방법 MT에 대한 설명을 계속한다. 이하의 설명에서는, 도 1과 함께, 도 3, 도 4, 도 5를 참조하여 설명한다. 도 3은, 도 1에 나타내는 각 공정의 실시 전 및 실시 후의 피처리체 상태를 나타내는 단면도이다. 도 4는, 도 1에 나타내는 방법의 각 공정의 실시 후의 피처리체 상태를 나타내는 단면도이다. 도 5는, 도 1에 나타내는 보호막을 형성하는 시퀀스에 있어서의 보호막의 형성의 모습을 모식적으로 나타내는 도이다.Returning to FIG. 1 , the description of the method MT continues. In the following description, it will be described with reference to FIG. 3, FIG. 4, and FIG. 5 together with FIG. 3 is a cross-sectional view showing a state of a target object before and after implementation of each step shown in FIG. 1 . Fig. 4 is a cross-sectional view showing the state of the object to be processed after each step of the method shown in Fig. 1 is performed. FIG. 5 : is a figure which shows typically the mode of formation of the protective film in the sequence of forming the protective film shown in FIG. 1. FIG.

공정 ST1에서는, 도 3의 (a)부에 나타내는 웨이퍼(W)가 준비되고, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(12) 내에 수용되어, 정전 척(ESC) 상에 재치된다. 공정 ST1에 있어서 도 2에 나타내는 웨이퍼(W)로서 도 3의 (a)부에 나타내는 상기의 웨이퍼(W)를 준비한 후에, 공정 ST2 이후의 각 공정을 실행한다. 공정 ST2~ST7의 일련의 공정(제6 공정)은, 반사 방지막(AL)을 에칭하는 공정이다.In step ST1 , the wafer W shown in part (a) of FIG. 3 is prepared, and the wafer W is accommodated in the processing container 12 of the plasma processing apparatus 10 and placed on the electrostatic chuck ESC. do. In step ST1, after preparing the wafer W shown in part (a) of FIG. 3 as the wafer W shown in FIG. 2, each step after step ST2 is performed. A series of steps (the sixth step) of steps ST2 to ST7 is a step of etching the antireflection film AL.

공정 ST1에 계속되는 공정 ST2에서는, 웨이퍼(W)에 이차 전자가 조사된다. 공정 ST2는, 산화 실리콘의 보호막(보호막(SX))을 마스크(MK1)에 컨포멀(conformal)하게 형성하는 시퀀스 SQ1(제2 시퀀스) 및 공정 ST4의 실행 전에, 처리 용기(12) 내에서 플라즈마를 발생시켜 상부 전극(30)에 음의 직류 전압을 인가함으로써, 마스크(MK1)에 이차 전자를 조사하는 공정이다.In step ST2 subsequent to step ST1, the wafer W is irradiated with secondary electrons. In step ST2, before execution of the sequence SQ1 (second sequence) and step ST4 for conformally forming a protective film (protective film SX) of silicon oxide to the mask MK1, plasma in the processing chamber 12 is performed. This is a process of irradiating secondary electrons to the mask MK1 by generating a negative DC voltage and applying a negative DC voltage to the upper electrode 30 .

이상과 같이, 보호막(SX)을 형성하는 시퀀스 SQ1~공정 ST4의 일련의 공정의 실행 전에 있어서, 마스크(MK1)에 이차 전자를 조사하므로, 보호막(SX)의 형성 전에 마스크(MK1)를 개질시킬 수 있어, 후속 공정에 의한 마스크(MK1)의 손상을 억제할 수 있다.As described above, since secondary electrons are irradiated to the mask MK1 before the execution of the series of steps of the sequence SQ1 to step ST4 for forming the protective film SX, the mask MK1 is modified before the formation of the protective film SX. Therefore, it is possible to suppress damage to the mask MK1 due to a subsequent process.

공정 ST2의 처리 내용을 구체적으로 설명한다. 먼저, 처리 용기(12) 내에 수소 함유 가스 및 희가스가 공급되고, 제1 고주파 전원(62)으로부터 고주파 전력이 공급됨으로써, 처리 용기(12) 내에 플라즈마가 생성된다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 수소 함유 가스 및 희가스를 처리 용기(12) 내에 공급한다. 따라서, 처리 공간(S) 중의 양이온이 상부 전극(30)으로 끌어당겨져, 당해 양이온이 상부 전극(30)에 충돌한다. 양이온이 상부 전극(30)에 충돌함으로써, 상부 전극(30)으로부터는 이차 전자가 방출된다. 방출된 이차 전자가 웨이퍼(W)에 조사됨으로써, 마스크(MK1)가 개질된다. 또, 전극판(34)에 양이온이 충돌함으로써, 전극판(34)의 구성 재료인 실리콘이, 이차 전자와 함께 방출된다. 방출된 실리콘은, 플라즈마에 노출된 플라즈마 처리 장치(10)의 구성 부품으로부터 방출되는 산소와 결합한다. 당해 산소는, 예를 들면 지지부(14), 절연성 차폐 부재(32), 및 디포지션 실드(46)와 같은 부재로부터 방출된다. 실리콘과 산소의 결합에 의하여, 산화 실리콘 화합물이 생성되고, 당해 산화 실리콘 화합물이 웨이퍼(W) 상에 퇴적되어 마스크(MK1)를 덮어 보호한다. 이와 같이, 마스크(MK1)에 이차 전자를 조사하는 공정 ST2에서는, 처리 용기(12) 내에서 플라즈마를 발생시켜 상부 전극(30)에 음의 직류 전압을 인가함으로써, 마스크(MK1)에 이차 전자를 조사함과 함께, 전극판(34)으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 마스크(MK1)를 덮는다. 그리고, 마스크(MK1)에 이차 전자를 조사하고, 마스크(MK1)를 산화 실리콘 화합물로 덮은 후에 처리 용기(12) 내의 공간을 퍼지하여, 공정 ST2a로 이행한다.The processing contents of step ST2 will be specifically described. First, a hydrogen-containing gas and a rare gas are supplied into the processing vessel 12 , and high frequency power is supplied from the first high frequency power supply 62 , thereby generating plasma in the processing vessel 12 . A hydrogen-containing gas and a rare gas are supplied into the processing vessel 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . Accordingly, positive ions in the processing space S are attracted to the upper electrode 30 , and the positive ions collide with the upper electrode 30 . As positive ions collide with the upper electrode 30 , secondary electrons are emitted from the upper electrode 30 . By irradiating the emitted secondary electrons to the wafer W, the mask MK1 is modified. In addition, when positive ions collide with the electrode plate 34 , silicon, which is a constituent material of the electrode plate 34 , is emitted together with secondary electrons. The released silicon combines with oxygen released from the components of the plasma processing apparatus 10 exposed to the plasma. The oxygen is released from members such as support 14 , insulating shield member 32 , and deposition shield 46 , for example. A silicon oxide compound is generated by bonding silicon and oxygen, and the silicon oxide compound is deposited on the wafer W to cover and protect the mask MK1. As described above, in step ST2 of irradiating secondary electrons to the mask MK1 , plasma is generated in the processing chamber 12 and a negative DC voltage is applied to the upper electrode 30 , thereby introducing secondary electrons to the mask MK1 . Upon irradiation, silicon is released from the electrode plate 34 to cover the mask MK1 with the silicon oxide compound containing silicon. Then, the mask MK1 is irradiated with secondary electrons, and after the mask MK1 is covered with a silicon oxide compound, the space in the processing container 12 is purged, and the process proceeds to step ST2a.

이상과 같이, 공정 ST2에 있어서, 산화 실리콘 화합물이 마스크(MK1)를 덮으므로, 후속 공정에 의한 마스크(MK1)의 손상을 더 억제할 수 있다.As described above, in step ST2, since the silicon oxide compound covers the mask MK1, damage to the mask MK1 due to the subsequent step can be further suppressed.

공정 ST2에 계속해서, 시퀀스 SQ1, 공정 ST5, 시퀀스 SQ2(제3 시퀀스), 공정 ST7(시퀀스 SQ1~공정 ST7)을 순차 실행한다. 시퀀스 SQ1~공정 ST5의 일련의 공정은, 마스크(MK1)의 표면에 실리콘 산화막의 보호막(SX)을 컨포멀하게 형성하는 공정이며, 시퀀스 SQ2~공정 ST7의 일련의 공정은, 시퀀스 SQ1~공정 ST5의 일련의 공정의 실행 후에, 실리콘 산화막의 보호막(SX)이 형성된 마스크(MK1)를 이용하여 반사 방지막(AL)을 원자층마다 제거함으로써, 반사 방지막(AL)을 정밀하게 에칭하는 공정이다. 이와 같이, 시퀀스 SQ1~공정 ST5의 일련의 공정이 실행됨으로써, 마스크의 소밀차에 관계없이, 마스크 상에 양호한 정밀도로 제어된 컨포멀한 막두께의 보호막(SX)이 형성되어, 마스크의 형상을 유지하면서 마스크의 에칭에 대한 내성이 강화되며, 또 시퀀스 SQ2~공정 ST7의 일련의 공정이 실행됨으로써, 마스크의 선택비가 향상되어, 마스크의 형상(LWR(Line Width Roughness) 및 LER(Line Edge Roughness))이 에칭에 의하여 받는 영향이 저감된다.Following step ST2, sequence SQ1, step ST5, sequence SQ2 (third sequence), and step ST7 (sequence SQ1 to step ST7) are sequentially executed. A series of steps in sequence SQ1 to step ST5 is a step of conformally forming a protective film SX of a silicon oxide film on the surface of mask MK1, and a series of steps in sequence SQ2 to step ST7 is sequence SQ1 to step ST5 After execution of a series of steps, the antireflection film AL is precisely etched by removing the antireflection film AL for each atomic layer using the mask MK1 in which the silicon oxide protective film SX is formed. In this way, by performing a series of steps of sequence SQ1 to step ST5, a protective film SX with a controlled conformal film thickness is formed on the mask with high precision regardless of the difference in density of the mask, and the shape of the mask is changed. The mask's resistance to etching is strengthened while maintaining ) by etching is reduced.

공정 ST2에 계속해서, 시퀀스 SQ1을 1회 이상 실행한다. 시퀀스 SQ1, 공정 ST4는, ALD(Atomic Layer Deposition)법과 동일한 방법에 의하여 웨이퍼(W) 상에 산화 실리콘의 보호막(SX)을 균일한 두께로 컨포멀하게 형성하는 공정이며, 시퀀스 SQ1에 있어서 순차 실행되는 공정 ST3a(제8 공정), 공정 ST3b(제9 공정), 공정 ST3c(제10 공정), 공정 ST3d(제11 공정)를 포함한다.Following step ST2, the sequence SQ1 is executed one or more times. Sequences SQ1 and Step ST4 are steps of conformally forming a protective film SX of silicon oxide with a uniform thickness on the wafer W by the same method as the ALD (Atomic Layer Deposition) method, which are sequentially executed in sequence SQ1 process ST3a (8th process), process ST3b (9th process), ST3c (10th process), and ST3d (11th process) are included.

공정 ST3a는, 처리 용기(12) 내에 가스(G1)(제3 가스)를 공급한다. 구체적으로는, 공정 ST3a에서는, 도 5의 (a)부에 나타내는 바와 같이, 처리 용기(12) 내에, 실리콘을 함유하는 가스(G1)를 도입한다. 가스(G1)는, 유기 함유의 아미노실란계 가스를 포함한다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 유기 함유의 아미노실란계 가스의 가스(G1)를 처리 용기(12) 내에 공급한다. 가스(G1)는, 유기 함유의 아미노실란계 가스로서, 예를 들면 모노아미노실란(H3-Si-R(R은 유기 함유의 아미노기))이 이용된다. 공정 ST3a에서는, 가스(G1)의 플라즈마를 생성하지 않는다.In step ST3a, the gas G1 (third gas) is supplied into the processing container 12 . Specifically, in step ST3a, as shown in part (a) of FIG. 5 , the gas G1 containing silicon is introduced into the processing container 12 . The gas G1 contains an organic-containing aminosilane-based gas. The organic-containing aminosilane-based gas gas G1 is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . The gas G1 is an organic-containing aminosilane-based gas, and for example, monoaminosilane (H 3 -Si-R (R is an organic-containing amino group)) is used. In step ST3a, plasma of the gas G1 is not generated.

가스(G1)의 분자는, 도 5의 (b)부에 나타내는 바와 같이, 반응 전구체(층(Ly1))로서 웨이퍼(W)의 표면에 부착된다. 가스(G1)의 분자(모노아미노실란)는, 화학 결합에 근거하는 화학 흡착에 의하여 웨이퍼(W)의 표면에 부착되는 것이며, 플라즈마는 이용되지 않는다. 공정 ST3a에서는, 웨이퍼(W)의 온도는, 섭씨 0도 이상이며 또한 마스크(MK1)에 포함되는 재료의 유리 전이 온도 이하(예를 들면 섭씨 200도 이하)의 정도이다. 또한, 당해 온도 범위에서 화학 결합에 의하여 표면에 부착 가능하며 또한 실리콘을 함유하는 것이면, 모노아미노실란 이외의 가스의 이용도 가능하다.The molecules of the gas G1 adhere to the surface of the wafer W as a reactive precursor (layer Ly1), as shown in part (b) of FIG. 5 . Molecules (monoaminosilane) of the gas G1 adhere to the surface of the wafer W by chemical adsorption based on chemical bonding, and plasma is not used. In step ST3a, the temperature of the wafer W is 0 degrees Celsius or more and is about equal to or less than the glass transition temperature of the material contained in the mask MK1 (for example, 200 degrees Celsius or less). Moreover, as long as it can adhere to the surface by a chemical bond in the said temperature range, and contains silicone, it is also possible to use gas other than monoaminosilane.

이상과 같이, 가스(G1)가 유기 함유의 아미노실란계 가스를 포함하므로, 공정 ST3a에 의하여, 실리콘의 반응 전구체(층(Ly1))가 마스크(MK1)의 표면의 원자층을 따라 마스크(MK1) 상에 형성된다.As described above, since the gas G1 contains an organic-containing aminosilane-based gas, by step ST3a, the reactive precursor of silicon (layer Ly1) is formed along the atomic layer on the surface of the mask MK1 to the mask MK1. ) is formed on

공정 ST3a에 계속되는 공정 ST3b는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST3a에 있어서 공급된 가스(G1)가 배기된다. 공정 ST3b에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 등) 가스와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST3b의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다. 공정 ST3b에서는, 웨이퍼(W) 상에 과잉으로 부착된 분자도 제거될 수 있다. 이상에 의하여, 반응 전구체의 층(Ly1)은, 매우 얇은 단분자층이 된다.In step ST3b following step ST3a, the space in the processing container 12 is purged. Specifically, the gas G1 supplied in step ST3a is exhausted. In step ST3b, an inert gas such as nitrogen gas or a rare gas (eg, Ar) gas may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST3b may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum exhaust. In step ST3b, molecules excessively attached to the wafer W can also be removed. As a result of the above, the layer Ly1 of the reactive precursor becomes a very thin monomolecular layer.

공정 ST3b에 계속되는 공정 ST3c에서는, 도 5의 (b)부에 나타내는 바와 같이, 처리 용기(12) 내에 있어서 가스(G2)(제4 가스)의 플라즈마(P1)를 생성한다. 가스(G2)는, 산소 원자 및 탄소 원자를 함유하는 가스를 포함하고, 예를 들면 이산화 탄소 가스를 포함할 수 있다. 공정 ST3c에 있어서, 가스(G2)의 플라즈마(P1)가 생성될 때의 웨이퍼(W)의 온도는, 섭씨 0도 이상이며 또한 마스크(MK1)에 포함되는 재료의 유리 전이 온도 이하(예를 들면 섭씨 200도 이하)이다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 산소 원자 및 탄소 원자를 함유하는 가스를 포함하는 가스(G2)가 처리 용기(12) 내에 공급된다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 이 경우, 제2 고주파 전원(64)의 바이어스 전력을 인가할 수도 있다. 또, 제1 고주파 전원(62)을 이용하지 않고 제2 고주파 전원(64)만을 이용하여 플라즈마를 생성할 수도 있다. 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 가스(G2)의 플라즈마(P1)가 처리 용기(12) 내에 있어서 생성된다.In step ST3c subsequent to step ST3b, as shown in part (b) of FIG. 5 , plasma P1 of the gas G2 (fourth gas) is generated in the processing chamber 12 . The gas G2 includes a gas containing an oxygen atom and a carbon atom, and may include, for example, carbon dioxide gas. In step ST3c, the temperature of the wafer W when the plasma P1 of the gas G2 is generated is 0 degrees Celsius or more and less than or equal to the glass transition temperature of the material contained in the mask MK1 (for example, less than 200 degrees Celsius). A gas G2 containing a gas containing oxygen atoms and carbon atoms is supplied into the processing vessel 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . Then, the high frequency power is supplied from the first high frequency power supply 62 . In this case, the bias power of the second high frequency power supply 64 may be applied. In addition, the plasma may be generated using only the second high frequency power supply 64 without using the first high frequency power supply 62 . By operating the exhaust device 50 , the pressure of the space in the processing vessel 12 is set to a preset pressure. In this way, the plasma P1 of the gas G2 is generated in the processing vessel 12 .

도 5의 (b)부에 나타내는 바와 같이, 가스(G2)의 플라즈마(P1)가 생성되면, 산소의 활성종 및 탄소의 활성종, 예를 들면 산소 라디칼, 탄소 라디칼이 생성되고, 도 5의 (c)부에 나타내는 바와 같이, 실리콘 산화막인 층(Ly2)(보호막(SX)에 대응하고 있음)이 단분자층으로서 형성된다. 탄소 라디칼은, 마스크(MK1)에 대한 산소 침식을 억제하는 기능을 나타낼 수 있으므로, 실리콘 산화막이 보호막으로서 마스크(MK1)의 표면에 있어서 안정적으로 형성될 수 있다. 실리콘 산화막의 Si-O 결합의 결합 에너지는, 192[kcal] 정도이며, C-C 결합, C-H 결합, C-F 결합 각각의 결합 에너지(50-110[kcal] 정도, 70-110[kcal] 정도, 100-120[kcal] 정도)보다 높기 때문에, 실리콘 산화막은, 보호막으로서의 기능을 나타낼 수 있다.As shown in part (b) of FIG. 5 , when the plasma P1 of the gas G2 is generated, active species of oxygen and active species of carbon, for example, oxygen radicals and carbon radicals are generated, as shown in FIG. As shown in part (c), the layer Ly2 (corresponding to the protective film SX) which is a silicon oxide film is formed as a monomolecular layer. Since carbon radicals can exhibit a function of suppressing oxygen erosion to the mask MK1, a silicon oxide film can be stably formed on the surface of the mask MK1 as a protective film. The bonding energy of the Si-O bond of the silicon oxide film is about 192 [kcal], and the binding energy of each of the CC bond, CH bond, and CF bond (about 50-110 [kcal], about 70-110 [kcal], 100- 120 [kcal]), so that the silicon oxide film can exhibit a function as a protective film.

이상과 같이, 가스(G2)가 산소 원자를 포함하므로, 공정 ST3c에 있어서, 당해 산소 원자가 마스크(MK1) 상에 마련되는 실리콘의 반응 전구체(층(Ly1))와 결합함으로써, 마스크(MK1) 상에 산화 실리콘막의 층(Ly2)이 컨포멀하게 형성될 수 있다. 또, 가스(G2)가 탄소 원자를 포함하므로, 산소 원자에 의한 마스크(MK1)에 대한 침식이 당해 탄소 원자에 의하여 억제될 수 있다. 따라서, 시퀀스 SQ1에 있어서는, ALD법과 마찬가지로, 1회(단위 사이클)의 시퀀스 SQ1의 실행에 의하여, 실리콘 산화막의 층(Ly2)을, 웨이퍼(W)의 표면 상에, 마스크(MK1)의 소밀에 관계없이 얇고 균일한 막두께로 컨포멀하게, 형성할 수 있다.As described above, since the gas G2 contains oxygen atoms, in step ST3c, the oxygen atoms combine with the reactive precursor of silicon (layer Ly1) provided on the mask MK1, so that on the mask MK1 The layer (Ly2) of the silicon oxide film may be conformally formed. Further, since the gas G2 contains carbon atoms, erosion of the mask MK1 by the oxygen atoms can be suppressed by the carbon atoms. Accordingly, in the sequence SQ1, similarly to the ALD method, by executing the sequence SQ1 once (unit cycle), the silicon oxide layer Ly2 is applied to the surface of the wafer W and the mask MK1 is densely packed. Regardless, it can be conformally formed with a thin and uniform film thickness.

공정 ST3c에 계속되는 공정 ST3d에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST3c에 있어서 공급된 가스(G2)가 배기된다. 공정 ST3d에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 등)와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST3d의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.In step ST3d following step ST3c, the space in the processing container 12 is purged. Specifically, the gas G2 supplied in step ST3c is exhausted. In step ST3d, an inert gas such as nitrogen gas or a rare gas (eg, Ar) may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST3d may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum evacuation.

시퀀스 SQ1에 계속되는 공정 ST4에서는, 시퀀스 SQ1의 실행을 종료하는지 여부를 판정한다. 구체적으로는, 공정 ST4에서는, 시퀀스 SQ1의 실행 횟수가 미리 설정된 횟수에 도달했는지 여부를 판정한다. 시퀀스 SQ1의 실행 횟수의 결정은, 도 3의 (b)부에 나타내는 웨이퍼(W) 상에 형성되는 보호막(SX)의 막의 두께를 결정하는 것이다. 즉, 1회(단위 사이클)의 시퀀스 SQ1의 실행에 의하여 형성되는 실리콘 산화막의 막두께와 시퀀스 SQ1의 실행 횟수의 곱에 의하여, 최종적으로 웨이퍼(W) 상에 형성되는 보호막(SX)의 막의 두께가 실질적으로 결정될 수 있다. 따라서, 웨이퍼(W) 상에 형성되는 보호막(SX)의 원하는 두께에 따라, 시퀀스 SQ1의 실행 횟수가 설정될 수 있다. 이와 같이, 시퀀스 SQ1이 반복 실행됨으로써, 마스크(MK1)의 표면에 실리콘 산화막의 보호막(SX)이 컨포멀하게 형성된다.In step ST4 following the sequence SQ1, it is determined whether or not the execution of the sequence SQ1 is finished. Specifically, in step ST4, it is determined whether or not the number of executions of the sequence SQ1 has reached a preset number of times. The determination of the number of executions of the sequence SQ1 is to determine the thickness of the protective film SX formed on the wafer W shown in the portion (b) of FIG. 3 . That is, the thickness of the protective film SX finally formed on the wafer W by the product of the film thickness of the silicon oxide film formed by one execution of the sequence SQ1 (unit cycle) and the number of times the sequence SQ1 is executed. can be substantially determined. Therefore, according to the desired thickness of the protective film SX formed on the wafer W, the number of times of execution of the sequence SQ1 can be set. In this way, as the sequence SQ1 is repeatedly executed, the protective film SX of the silicon oxide film is conformally formed on the surface of the mask MK1.

공정 ST4에 있어서 시퀀스 SQ1의 실행 횟수가 미리 설정된 횟수에 도달하지 않았다고 판정되는 경우에는(공정 ST4: NO), 시퀀스 SQ1의 실행이 다시 반복된다. 한편, 공정 ST4에 있어서 시퀀스 SQ1의 실행 횟수가 미리 설정된 횟수에 도달했다고 판정되는 경우에는(공정 ST4: YES), 시퀀스 SQ1의 실행이 종료된다. 이로써, 도 3의 (b)부에 나타내는 바와 같이, 웨이퍼(W)의 표면 상에 실리콘 산화막인 보호막(SX)이 형성된다. 즉, 시퀀스 SQ1이 미리 설정된 횟수만큼 반복됨으로써, 미리 설정된 막두께를 갖는 보호막(SX)이, 마스크(MK1)의 소밀에 관계없이 균일한 막두께로 컨포멀하게, 웨이퍼(W)의 표면에 형성된다. 마스크(MK1) 상에 마련하는 보호막(SX)의 막의 두께는, 시퀀스 SQ1을 반복 실행함으로써, 양호한 정밀도로 제어된다.When it is determined in step ST4 that the number of executions of the sequence SQ1 has not reached the preset number of times (step ST4: NO), the execution of the sequence SQ1 is repeated again. On the other hand, when it is determined in step ST4 that the number of times of execution of sequence SQ1 has reached the preset number of times (step ST4: YES), execution of sequence SQ1 is finished. As a result, as shown in part (b) of FIG. 3 , a protective film SX serving as a silicon oxide film is formed on the surface of the wafer W. As shown in FIG. That is, by repeating the sequence SQ1 a preset number of times, the protective film SX having a preset film thickness is conformally formed on the surface of the wafer W with a uniform film thickness regardless of the density of the mask MK1. do. The thickness of the protective film SX provided on the mask MK1 is controlled with high precision by repeatedly executing the sequence SQ1.

이상과 같이, 시퀀스 SQ1 및 공정 ST4의 일련의 공정은, ALD법과 동일한 방법에 의하여, 마스크(MK1)의 표면에 보호막(SX)을 컨포멀하게 형성할 수 있다.As described above, in the series of steps of the sequence SQ1 and the step ST4, the protective film SX can be conformally formed on the surface of the mask MK1 by the same method as the ALD method.

시퀀스 SQ1 및 공정 ST4의 일련의 공정에 의하여 형성된 보호막(SX)은, 도 3의 (b)부에 나타내는 바와 같이, 영역(R1), 영역(R2) 및 영역(R3)을 포함한다. 영역(R3)은, 마스크(MK1)의 측면 상에서 당해 측면을 따라 뻗어 있는 영역이다. 영역(R3)은, 반사 방지막(AL)의 표면에서 영역(R1)의 하측까지 뻗어 있다. 영역(R1)은, 마스크(MK1)의 상면의 위 및 영역(R3) 상에서 뻗어 있다. 영역(R2)은, 인접하는 영역(R3)의 사이, 또한 반사 방지막(AL)의 표면 상에서 뻗어 있다. 상술한 바와 같이, 시퀀스 SQ1은, ALD법과 마찬가지로 보호막(SX)을 형성하므로, 마스크(MK1)의 소밀에 관계없이, 영역(R1), 영역(R2), 및 영역(R3)의 각각의 막두께는, 서로 대략 동일한 막두께가 된다.The protective film SX formed by the series of steps of the sequence SQ1 and step ST4 includes a region R1 , a region R2 , and a region R3 as shown in part (b) of FIG. 3 . The region R3 is a region extending along the side surface on the side surface of the mask MK1. The region R3 extends from the surface of the anti-reflection film AL to the lower side of the region R1 . Region R1 extends above the upper surface of mask MK1 and on region R3. The region R2 extends between the adjacent regions R3 and on the surface of the antireflection film AL. As described above, since the sequence SQ1 forms the protective film SX similarly to the ALD method, the respective film thicknesses of the regions R1, R2, and R3 are irrespective of the density of the mask MK1. are approximately equal to each other.

공정 ST4에 계속되는 공정 ST5에서는, 영역(R1) 및 영역(R2)을 제거하도록, 보호막(SX)을 에칭(에치 백)한다. 영역(R1) 및 영역(R2)의 제거를 위해서는, 이방성의 에칭 조건이 필요하다. 이로 인하여, 공정 ST5에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 플루오로카본계 가스를 포함하는 처리 가스(CxFy는 CF4, C4F8, CHF3)를 처리 용기(12) 내에 공급한다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하여, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이때 이방성 에칭을 촉진시키기 위하여, 평균 자유 행정을 길게 하기 위하여 저압 방향(20[mT] 이하)이 바람직하다. 이와 같이 하여, 플루오로카본계 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어당김에 의하여, 영역(R1) 및 영역(R2)을 우선적으로 에칭한다. 이 결과, 도 3의 (c)부에 나타내는 바와 같이, 영역(R1) 및 영역(R2)이 선택적으로 제거되며, 남겨진 영역(R3)에 의하여 마스크(MS)가 형성된다. 마스크(MS)와 마스크(MK1)는, 반사 방지막(AL)의 표면 상의 마스크(MK2)를 구성한다.In step ST5 following step ST4, the protective film SX is etched (etched back) to remove the regions R1 and R2. In order to remove the regions R1 and R2, anisotropic etching conditions are required. For this reason, in step ST5, the process gas (C x F y is CF 4 , C 4 F 8 , CHF 3 ) containing a fluorocarbon gas from a gas source selected from among the plurality of gas sources of the gas source group 40 . is supplied into the processing vessel 12 . Then, by supplying high frequency power from the first high frequency power supply 62 , and supplying high frequency bias power from the second high frequency power supply 64 , and operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is previously reduced. Set to the set pressure. At this time, in order to promote anisotropic etching, a low pressure direction (20 [mT] or less) is preferable in order to lengthen the average free path. In this way, plasma of the fluorocarbon-based gas is generated. Active species containing fluorine in the generated plasma preferentially etch the regions R1 and R2 by attraction in the vertical direction by the high frequency bias power. As a result, as shown in part (c) of FIG. 3 , the region R1 and the region R2 are selectively removed, and a mask MS is formed of the remaining region R3. The mask MS and the mask MK1 constitute the mask MK2 on the surface of the antireflection film AL.

공정 ST5에 계속해서, 시퀀스 SQ2~공정 ST7의 일련의 공정을 실행한다. 시퀀스 SQ2~공정 ST7의 일련의 공정은, 반사 방지막(AL)을 에칭하는 공정이다.Following step ST5, a series of steps of sequence SQ2 to step ST7 are executed. A series of steps of sequence SQ2 to step ST7 is a step of etching the antireflection film AL.

먼저, 공정 ST5에 계속해서 시퀀스 SQ2를 1회 이상 실행한다. 시퀀스 SQ2는, ALE(Atomic Layer Etching)법과 동일한 방법에 의하여, 반사 방지막(AL) 중 마스크(MK2)로 덮여 있지 않은 영역을 마스크(MK2)의 소밀에 관계없이 고선택비로 정밀하게 에칭하는 일련의 공정이며, 시퀀스 SQ2에 있어서 순차 실행되는 공정 ST6a(제12 공정), 공정 ST6b(제13 공정), 공정 ST6c(제14 공정), 공정 ST6d(제15 공정)를 포함한다.First, following step ST5, the sequence SQ2 is executed one or more times. Sequence SQ2 is a series of precisely etched regions of the antireflection film AL that are not covered by the mask MK2 with high selectivity regardless of the density of the mask MK2 by the same method as the ALE (Atomic Layer Etching) method. It is a process, and includes process ST6a (12th process), process ST6b (13th process), process ST6c (14th process), and process ST6d (15th process) which are sequentially performed in sequence SQ2.

공정 ST6a는, 처리 용기(12) 내에 있어서 가스(G3)(제5 가스)의 플라즈마를 생성하고, 이 플라즈마에 포함되는 라디칼을 포함하는 혼합층(MX1)을 반사 방지막(AL)의 표면의 원자층에 형성한다. 공정 ST6a에 있어서, 웨이퍼(W)가 정전 척(ESC) 상에 재치되어 있는 상태에서, 처리 용기(12) 내에 가스(G3)를 공급하고, 당해 가스(G3)의 플라즈마를 생성한다. 가스(G3)는, 실리콘을 함유하는 반사 방지막(AL)의 에칭에 적합한 에천트 가스이며, 플루오로카본계 가스와 희가스를 포함하고, 예를 들면 CxFy/Ar 가스일 수 있다. CxFy는 CF4일 수 있다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 플루오로카본계 가스와 희가스를 포함하는 가스(G3)를 처리 용기(12) 내에 공급한다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하여, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 가스(G3)의 플라즈마가 처리 용기(12) 내에 있어서 생성된다. 가스(G3)의 플라즈마는 탄소 라디칼 및 불소 라디칼을 포함한다.In step ST6a, a plasma of the gas G3 (fifth gas) is generated in the processing vessel 12 , and the mixed layer MX1 containing radicals contained in the plasma is applied as an atomic layer on the surface of the antireflection film AL. to form on In step ST6a, while the wafer W is placed on the electrostatic chuck ESC, the gas G3 is supplied into the processing container 12, and a plasma of the gas G3 is generated. The gas G3 is an etchant gas suitable for etching the silicon-containing antireflection film AL, and includes a fluorocarbon-based gas and a rare gas, and may be, for example, C x F y /Ar gas. C x F y may be CF 4 . Specifically, the gas G3 containing the fluorocarbon-based gas and the rare gas is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . Then, by supplying high frequency power from the first high frequency power supply 62 , and supplying high frequency bias power from the second high frequency power supply 64 , and operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is previously reduced. Set to the set pressure. In this way, the plasma of the gas G3 is generated in the processing vessel 12 . The plasma of the gas G3 contains carbon radicals and fluorine radicals.

도 6은, 도 1에 나타내는 방법(시퀀스 SQ2, 및 후술하는 시퀀스 SQ3)에 있어서의 에칭의 원리를 나타내는 도이다. 도 6에 있어서, 흰색의 원(하얀 동그라미)은, 반사 방지막(AL)을 구성하는 원자를 나타내고 있고, 검은색의 원(까만 동그라미)은 라디칼을 나타내고 있으며, 원으로 감싸진 "+"는 후술하는 가스(G4)(제6 가스)에 포함되는 희가스의 원자의 이온(예를 들면 Ar 원자의 이온)을 나타내고 있다. 도 6의 (a)부에 나타내는 바와 같이, 공정 ST6a에 의하여, 가스(G3)의 플라즈마에 포함되는 탄소 라디칼 및 불소 라디칼이, 반사 방지막(AL)의 표면에 공급된다. 이와 같이, 공정 ST6a에 의하여, 반사 방지막(AL)을 구성하는 원자와 탄소 라디칼 및 불소 라디칼을 포함하는 혼합층(MX1)이, 반사 방지막(AL)의 표면에 형성된다(도 6의 (a)부와 함께 도 3의 (c)부도 참조).FIG. 6 is a diagram showing the principle of etching in the method shown in FIG. 1 (sequence SQ2 and sequence SQ3 to be described later). In FIG. 6 , white circles (white circles) indicate atoms constituting the antireflection film AL, black circles (black circles) indicate radicals, and “+” surrounded by circles will be described later. An ion of an atom of a rare gas (eg, an ion of an Ar atom) contained in the gas G4 (sixth gas) is shown. As shown in part (a) of FIG. 6 , in step ST6a, carbon radicals and fluorine radicals contained in the plasma of the gas G3 are supplied to the surface of the antireflection film AL. In this way, by step ST6a, a mixed layer MX1 containing atoms constituting the antireflection film AL, carbon radicals, and fluorine radicals is formed on the surface of the antireflection film AL (part (a) of FIG. 6 ). (See also the part (c) of FIG. 3).

이상과 같이, 가스(G3)가 플루오로카본계 가스를 포함하므로, 공정 ST6a에 있어서, 반사 방지막(AL)의 표면의 원자층에 불소 라디칼 및 탄소 라디칼이 공급되어, 당해 원자층에 당해 양 라디칼을 함유하는 혼합층(MX1)이 형성될 수 있다.As described above, since the gas G3 contains the fluorocarbon gas, in step ST6a, fluorine radicals and carbon radicals are supplied to the atomic layer on the surface of the antireflection film AL, and both radicals are supplied to the atomic layer. A mixed layer MX1 containing

또한, ArF 레지스트의 마스크(MK1)에 있어서는, 마스크(MK2)에 포함되는 마스크(MS)의 Si나, 가스(G3)의 플라즈마에 포함되는 탄소 라디칼이, 보호막으로서 기능한다. 또, 불소 라디칼량의 조정에는, 전원(70)에 의한 직류 전압에 의하여 제어될 수 있다.In the ArF resist mask MK1, Si in the mask MS included in the mask MK2 and carbon radicals included in the plasma of the gas G3 function as a protective film. Moreover, the adjustment of the amount of fluorine radicals can be controlled by the DC voltage from the power supply 70 .

공정 ST6a에 계속되는 공정 ST6b에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST6a에 있어서 공급된 가스(G3)가 배기된다. 공정 ST6b에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 가스 등)와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST6b의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.In step ST6b following step ST6a, the space in the processing container 12 is purged. Specifically, the gas G3 supplied in step ST6a is exhausted. In step ST6b, an inert gas such as nitrogen gas or a rare gas (eg, Ar gas) may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST6b may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum exhaust.

공정 ST6b에 계속되는 공정 ST6c에 있어서, 처리 용기(12) 내에 있어서 가스(G4)의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 혼합층(MX1)을 제거한다. 가스(G4)는, 희가스를 포함하고, 예를 들면 Ar 가스를 포함할 수 있다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 희가스(예를 들면 Ar 가스)를 포함하는 가스(G4)가 처리 용기(12) 내에 공급되며, 제1 고주파 전원(62)으로부터 고주파 전력이 공급되고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력이 공급되어, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력이 미리 설정된 압력으로 설정된다. 이와 같이 하여, 가스(G4)의 플라즈마가 처리 용기(12) 내에 있어서 생성된다. 생성된 플라즈마 중의 가스(G4)의 원자의 이온(예를 들면 Ar 원자의 이온)은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어당김에 의하여, 반사 방지막(AL)의 표면의 혼합층(MX1)에 충돌하여, 당해 혼합층(MX1)에 에너지를 공급한다. 도 6의 (b)부에 나타내는 바와 같이, 공정 ST6c에 의하여, 반사 방지막(AL)의 표면에 형성된 혼합층(MX1)에 가스(G4)의 원자의 이온을 통하여 에너지가 공급되고, 이 에너지에 의하여 반사 방지막(AL)으로부터 혼합층(MX1)이 제거될 수 있다.In step ST6c following step ST6b, a plasma of the gas G4 is generated in the processing chamber 12 and a bias voltage is applied to the plasma to remove the mixed layer MX1. The gas G4 may include a noble gas, for example, Ar gas. Specifically, a gas G4 containing a rare gas (for example, Ar gas) is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 , and the first high frequency power supply ( The high frequency power is supplied from 62 , and the high frequency bias power is supplied from the second high frequency power supply 64 , and by operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is set to a preset pressure. In this way, the plasma of the gas G4 is generated in the processing vessel 12 . The ions of the atoms of the gas G4 in the generated plasma (for example, ions of Ar atoms) are attracted to the mixed layer MX1 on the surface of the antireflection film AL in the vertical direction by the high frequency bias power. It collides, and energy is supplied to the said mixed layer MX1. As shown in part (b) of FIG. 6 , in step ST6c, energy is supplied to the mixed layer MX1 formed on the surface of the antireflection film AL through the ions of atoms of the gas G4, and by this energy The mixed layer MX1 may be removed from the anti-reflection layer AL.

이상과 같이, 가스(G4)가 희가스를 포함하므로, 공정 ST6c에 있어서, 반사 방지막(AL)의 표면에 형성된 혼합층(MX1)은, 당해 희가스의 플라즈마가 바이어스 전압에 의하여 받는 에너지에 의하여, 당해 표면으로부터 제거될 수 있다.As described above, since the gas G4 contains the rare gas, in step ST6c, the mixed layer MX1 formed on the surface of the anti-reflection film AL is formed on the surface by the energy received by the plasma of the rare gas by the bias voltage. can be removed from

공정 ST6c에 계속되는 공정 ST6d에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST6c에 있어서 공급된 가스(G4)가 배기된다. 공정 ST6d에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 가스 등)와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST6d의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다. 도 6의 (c)부에 나타내는 바와 같이, 공정 ST6c에서 행해지는 퍼지에 의하여, 반사 방지막(AL)의 표면의 혼합층을 구성하는 원자, 및 가스(G4)의 플라즈마에 포함되는 과잉의 이온(예를 들면 Ar 원자의 이온)도 충분히 제거될 수 있다.In step ST6d following step ST6c, the space in the processing container 12 is purged. Specifically, the gas G4 supplied in step ST6c is exhausted. In step ST6d, an inert gas such as nitrogen gas or a rare gas (eg, Ar gas, etc.) may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST6d may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum evacuation. As shown in part (c) of FIG. 6 , by the purging performed in step ST6c, atoms constituting the mixed layer on the surface of the antireflection film AL and excess ions contained in the plasma of the gas G4 (eg, For example, ions of Ar atoms) can also be sufficiently removed.

시퀀스 SQ2에 계속되는 공정 ST7에서는, 시퀀스 SQ2의 실행을 종료하는지 여부를 판정한다. 구체적으로는, 공정 ST7에서는, 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달했는지 여부를 판정한다. 시퀀스 SQ2의 실행 횟수의 결정은, 반사 방지막(AL)에 대한 에칭의 정도(깊이)를 결정하는 것이다. 시퀀스 SQ2는, 유기막(OL)의 표면에 이를 때까지 반사 방지막(AL)을 에칭하도록, 반복 실행될 수 있다. 즉, 1회(단위 사이클)의 시퀀스 SQ2의 실행에 의하여 에칭되는 반사 방지막(AL)의 두께와 시퀀스 SQ2의 실행 횟수의 곱이 반사 방지막(AL) 자체의 전체 두께가 되도록, 시퀀스 SQ2의 실행 횟수가 결정될 수 있다. 따라서, 반사 방지막(AL)의 두께에 따라, 시퀀스 SQ2의 실행 횟수가 설정될 수 있다.In step ST7 following the sequence SQ2, it is determined whether or not the execution of the sequence SQ2 is finished. Specifically, in step ST7, it is determined whether or not the number of executions of the sequence SQ2 has reached a preset number of times. The determination of the number of executions of the sequence SQ2 determines the degree (depth) of etching to the antireflection film AL. The sequence SQ2 may be repeatedly executed to etch the antireflection film AL until it reaches the surface of the organic film OL. That is, the number of executions of the sequence SQ2 is such that the product of the thickness of the antireflection film AL etched by execution of the sequence SQ2 once (unit cycle) and the number of executions of the sequence SQ2 becomes the total thickness of the antireflection film AL itself. can be decided. Accordingly, the number of executions of the sequence SQ2 may be set according to the thickness of the antireflection film AL.

공정 ST7에 있어서 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달하지 않았다고 판정되는 경우에는(공정 ST7: NO), 시퀀스 SQ2의 실행이 다시 반복된다. 한편, 공정 ST7에 있어서 시퀀스 SQ2의 실행 횟수가 미리 설정된 횟수에 도달했다고 판정되는 경우에는(공정 ST7: YES), 시퀀스 SQ2의 실행이 종료된다. 이로써, 도 4의 (a)부에 나타내는 바와 같이, 반사 방지막(AL)이 에칭되어, 마스크(ALM)가 형성된다. 즉, 시퀀스 SQ2가 미리 설정된 횟수만큼 반복됨으로써, 반사 방지막(AL)이, 마스크(MK2)의 소밀(마스크(MK1)의 소밀)에 관계없이, 마스크(MK2)가 제공하는 개구(OP2)의 폭과 동일 및 균일한 폭으로 에칭되며, 또 선택비도 향상된다.When it is determined in step ST7 that the number of executions of the sequence SQ2 has not reached the preset number (Step ST7: NO), the execution of the sequence SQ2 is repeated again. On the other hand, when it is determined in step ST7 that the number of times of execution of sequence SQ2 has reached the preset number of times (step ST7: YES), execution of sequence SQ2 is ended. Thereby, as shown in part (a) of FIG. 4, the antireflection film AL is etched, and the mask ALM is formed. That is, the sequence SQ2 is repeated a preset number of times so that the antireflection film AL is the width of the opening OP2 provided by the mask MK2 irrespective of the tightness of the mask MK2 (the tightness of the mask MK1). It is etched with the same and uniform width as , and the selectivity is also improved.

마스크(ALM)는, 마스크(MK2)와 함께, 개구(OP3)를 제공한다. 개구(OP3)는, 마스크(MK2)가 제공하는 개구(OP2)의 폭(도 3의 (c)부를 참조)과 동일한 폭을 구비한다. 마스크(MK2)와 마스크(ALM)는, 유기막(OL)에 대한 마스크(MK3)(제3 마스크)를 구성한다. 반사 방지막(AL)의 에칭에 의하여 형성되는 개구(OP3)의 폭은, 시퀀스 SQ2를 반복 실행함으로써 양호한 정밀도로 제어된다.Mask ALM, together with mask MK2, provides an opening OP3. The opening OP3 has a width equal to the width of the opening OP2 provided by the mask MK2 (refer to the portion (c) of FIG. 3 ). The mask MK2 and the mask ALM constitute a mask MK3 (third mask) for the organic film OL. The width of the opening OP3 formed by the etching of the antireflection film AL is controlled with high precision by repeatedly executing the sequence SQ2.

또, 균일하며 양호한 정밀도로 제어된 막두께로 안정된 실리콘 산화막이 공정 ST5까지의 일련의 공정에서 반사 방지막(AL) 상의 마스크(MK2)의 측면에 형성되어 있으므로, 반사 방지막(AL)에 대한 시퀀스 SQ2의 에칭에 의하여 마스크(MK2)의 형상(LWR 및 LER)이 받는 영향을 저감시킬 수 있다. 이와 같이 마스크(MK2)의 형상이 시퀀스 SQ2의 에칭에 의하여 받는 영향을 저감시킬 수 있으므로, 에칭에 의하여 형성되는 개구(OP3)의 폭도, 시퀀스 SQ2의 에칭에 의한 영향을 저감시킬 수 있고, 마스크(MK2)의 소밀(마스크(MK1)의 소밀)에 의한 영향도 저감시킬 수 있다.In addition, since a stable silicon oxide film with a uniform and precisely controlled film thickness is formed on the side surface of the mask MK2 on the antireflection film AL in a series of steps up to step ST5, the sequence SQ2 for the antireflection film AL It is possible to reduce the influence on the shapes LWR and LER of the mask MK2 by the etching. In this way, since the influence of the etching of the sequence SQ2 on the shape of the mask MK2 can be reduced, the width of the opening OP3 formed by the etching can also reduce the influence of the etching of the sequence SQ2, and the mask ( The influence by the roughness of MK2 (roughness of the mask MK1) can also be reduced.

이상과 같이, 시퀀스 SQ2~공정 ST7의 일련의 공정은, 마스크(MK1)의 표면에 실리콘 산화막(보호막(SX)의 영역(R3)(마스크(MS))을 컨포멀하게 형성하는 공정의 실행 후(공정 ST5의 실행 후)에 행해지는 공정이며, 마스크(MS)가 형성된 마스크(MK1)(마스크(MK2))를 이용하여 시퀀스 SQ2를 반복 실행하여 반사 방지막(AL)을 원자층마다 제거함으로써 반사 방지막(AL)을 정밀하게 에칭하는 공정이다. 따라서, 시퀀스 SQ2~공정 ST7의 일련의 공정은, ALE법과 동일한 방법에 의하여, 반사 방지막(AL)을 원자층마다 제거할 수 있다.As described above, in the series of steps of sequence SQ2 to step ST7, after the step of conformally forming a silicon oxide film (region R3 (mask MS) of the protective film SX) on the surface of the mask MK1 is performed, This is a step performed (after the execution of step ST5), and the sequence SQ2 is repeatedly performed using the mask MK1 (mask MK2) on which the mask MS is formed, and the antireflection film AL is removed for each atomic layer to reflect reflection. This is a step of precisely etching the anti-reflection film AL, so that in the series of steps SQ2 to ST7, the anti-reflection film AL can be removed for each atomic layer by the same method as the ALE method.

공정 ST7: YES에 계속되는 공정 ST8(제7 공정)에서는, 유기막(OL)을 에칭한다. 공정 ST8은, 반사 방지막(AL)에 대한 에칭 처리를 행하는 시퀀스 SQ1~공정 ST7의 실행 후에(공정 ST7: YES 후에), 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여, 마스크(MK3)를 이용하여 유기막(OL)에 대하여 에칭 처리를 행하는 공정이다. 마스크(MK3)는, 반사 방지막(AL)을 에칭하는 공정(시퀀스 SQ1~공정 ST7)에 있어서, 반사 방지막(AL)으로 형성된다.Step ST7: In step ST8 (seventh step) following YES, the organic film OL is etched. In step ST8, after the sequence SQ1 to step ST7 for etching the antireflection film AL is executed (after step ST7: YES), the mask MK3 is used by the plasma generated in the processing chamber 12 . This is a step of performing an etching process on the organic film OL. The mask MK3 is formed of the antireflection film AL in the step of etching the antireflection film AL (sequences SQ1 to ST7).

공정 ST8의 처리를 구체적으로 설명한다. 먼저, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 질소 가스와 수소 함유 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 당해 가스로서는, 산소를 포함하는 처리 가스를 이용해도 된다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하여, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이로써, 질소 가스와 수소 함유 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 수소의 활성종인 수소 라디칼은, 유기막(OL)의 전체 영역 중 마스크(MK3)로부터 노출된 영역을 에칭한다. 이상에 의하여, 도 4의 (b)부에 나타내는 바와 같이, 유기막(OL)이 에칭되어, 마스크(MK3)가 제공하는 개구(OP3)의 폭(도 4의 (a)부를 참조)과 동일한 폭의 개구(OP4)를 갖는 마스크(OLM)가 유기막(OL)으로부터 형성된다. 마스크(ALM)와 마스크(OLM)는, 피에칭층(EL)에 대한 마스크(MK4)(제1 마스크)를 구성한다. 시퀀스 SQ2에 의하여 마스크(MK3)의 개구(OP3)의 폭의 균일성이 마스크(MK3)의 소밀(마스크(MK2)의 소밀)에 관계없이 향상되어 있고, 또 마스크(MK3)의 형상(LWR 및 LER)도 양호하므로, 마스크(MK4)의 개구(OP4)의 폭의 균일성도 마스크(MK4)의 소밀(마스크(MK3)의 소밀)에 관계없이 향상되고, 또 마스크(MK4)의 형상(LWR 및 LER)도 양호해진다.The process of step ST8 is demonstrated concretely. First, a processing gas including nitrogen gas and hydrogen-containing gas is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . As the gas, a processing gas containing oxygen may be used. Then, by supplying high-frequency power from the first high-frequency power supply 62 , and supplying high-frequency bias power from the second high-frequency power supply 64 , and operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is set to a predetermined value. set to the pressure of As a result, a plasma of a processing gas containing nitrogen gas and hydrogen-containing gas is generated. Hydrogen radicals, which are active species of hydrogen in the generated plasma, etch a region exposed from the mask MK3 among the entire region of the organic layer OL. As a result, as shown in the portion (b) of FIG. 4 , the organic film OL is etched to be equal to the width of the opening OP3 provided by the mask MK3 (refer to portion (a) of FIG. 4 ). A mask OLM having a wide opening OP4 is formed from the organic layer OL. The mask ALM and the mask OLM constitute a mask MK4 (first mask) for the etching target layer EL. By the sequence SQ2, the uniformity of the width of the opening OP3 of the mask MK3 is improved regardless of the roughness of the mask MK3 (the tightness of the mask MK2), and the shape LWR and the shape of the mask MK3 LER) is also good, so the uniformity of the width of the opening OP4 of the mask MK4 is also improved regardless of the tightness of the mask MK4 (the tightness of the mask MK3), and the shape LWR and the shape of the mask MK4 LER) is also improved.

이상과 같이, 공정 ST2~ST7의 일련의 공정의 실행에 의하여, 마스크의 소밀에 관계없이, 형상이 유지되어 선택비가 향상된 마스크(MK3)가 유기막(OL) 상에 형성되므로, 이와 같은 양호한 형상의 마스크(MK3)에 의한 유기막(OL)의 에칭이 가능해져, 유기막(OL)의 에칭을 양호하게 행할 수 있다.As described above, by executing a series of steps of steps ST2 to ST7, the mask MK3 with improved selectivity by maintaining the shape regardless of the density of the mask is formed on the organic film OL. The organic film OL can be etched by the mask MK3 of

공정 ST18에 계속해서 시퀀스 SQ3(제1 시퀀스), 공정 ST10을 실행한다. 시퀀스 SQ3 및 공정 ST10은, 피에칭층(EL)을 원자층마다 제거함으로써, 피에칭층(EL)을 에칭하는 일련의 공정이다. 시퀀스 SQ3은, 공정 ST9a(제1 공정), 공정 ST9b(제2 공정), 공정 ST9c(제3 공정), 공정 ST9d(제4 공정), 공정 ST9(제5 공정)를 포함한다.Following step ST18, sequence SQ3 (first sequence) and step ST10 are executed. The sequence SQ3 and the step ST10 are a series of steps of etching the etching target layer EL by removing the etching target layer EL for each atomic layer. The sequence SQ3 includes a step ST9a (a first step), a step ST9b (a second step), a step ST9c (a third step), a step ST9d (a fourth step), and a step ST9 (a fifth step).

공정 ST9a에서는, 플라즈마 처리 장치(10)의 처리 용기(12) 내에 있어서 플라즈마를 발생시켜 처리 용기(12)에 마련된 평행 평판 전극의 상부 전극(30)에 음의 직류 전압을 인가함으로써, 마스크(MK4)에 이차 전자를 조사함과 함께, 상부 전극(30)이 구비하고 실리콘을 함유하는 전극판(34)으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 마스크(MK4)를 덮는다. 그리고, 산화 실리콘 화합물로 마스크(MK4)를 덮은 후에 처리 용기(12) 내의 공간을 퍼지한 후에 공정 ST9b로 이행한다.In step ST9a, the mask MK4 is generated by generating plasma in the processing chamber 12 of the plasma processing apparatus 10 and applying a negative DC voltage to the upper electrode 30 of the parallel plate electrode provided in the processing chamber 12 . ), the upper electrode 30 is provided and silicon is emitted from the electrode plate 34 containing silicon to cover the mask MK4 with the silicon oxide compound containing silicon. Then, after the mask MK4 is covered with the silicon oxide compound and the space in the processing container 12 is purged, the process proceeds to step ST9b.

공정 ST9a의 처리 내용을 구체적으로 설명한다. 먼저, 처리 용기(12) 내에 수소 함유 가스 및 희가스(예를 들면 Ar 가스)가 공급되고, 제1 고주파 전원(62)으로부터 고주파 전력이 공급됨으로써, 처리 용기(12) 내에 플라즈마가 생성된다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 수소 함유 가스 및 희가스(예를 들면 Ar 가스)를 처리 용기(12) 내에 공급한다. 따라서, 처리 공간(S) 중의 양이온이 상부 전극(30)으로 끌어당겨져, 당해 양이온이 상부 전극(30)에 충돌한다. 양이온이 상부 전극(30)에 충돌함으로써, 상부 전극(30)으로부터는 이차 전자가 방출된다. 방출된 이차 전자가 웨이퍼(W)에 조사됨으로써, 마스크(MK1)가 개질된다. 또, 전극판(34)에 양이온이 충돌함으로써, 전극판(34)의 구성 재료인 실리콘이, 이차 전자와 함께 방출된다. 방출된 실리콘은, 플라즈마에 노출된 플라즈마 처리 장치(10)의 구성 부품으로부터 방출되는 산소와 결합한다. 당해 산소는, 예를 들면 지지부(14), 절연성 차폐 부재(32), 및 디포지션 실드(46)와 같은 부재로부터 방출된다. 실리콘과 산소의 결합에 의하여, 산화 실리콘 화합물이 생성되고, 당해 산화 실리콘 화합물이 웨이퍼(W) 상에 퇴적되어 마스크(MK4)를 덮어 보호한다. 그리고, 마스크(MK4)에 이차 전자를 조사하고, 마스크(MK4)를 산화 실리콘 화합물로 덮은 후에 처리 용기(12) 내의 공간을 퍼지하여, 공정 ST9b로 이행한다.The processing contents of step ST9a will be specifically described. First, a hydrogen-containing gas and a rare gas (for example, Ar gas) are supplied into the processing vessel 12 , and high frequency power is supplied from the first high frequency power supply 62 , thereby generating plasma in the processing vessel 12 . A hydrogen-containing gas and a rare gas (for example, Ar gas) are supplied into the processing vessel 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . Accordingly, positive ions in the processing space S are attracted to the upper electrode 30 , and the positive ions collide with the upper electrode 30 . As positive ions collide with the upper electrode 30 , secondary electrons are emitted from the upper electrode 30 . By irradiating the emitted secondary electrons to the wafer W, the mask MK1 is modified. Further, when positive ions collide with the electrode plate 34 , silicon, which is a constituent material of the electrode plate 34 , is emitted together with secondary electrons. The released silicon combines with oxygen released from the components of the plasma processing apparatus 10 exposed to the plasma. The oxygen is released from members such as support 14 , insulating shield member 32 , and deposition shield 46 , for example. A silicon oxide compound is generated by bonding silicon and oxygen, and the silicon oxide compound is deposited on the wafer W to cover and protect the mask MK4. Then, the mask MK4 is irradiated with secondary electrons, and after the mask MK4 is covered with a silicon oxide compound, the space in the processing container 12 is purged, and the process proceeds to step ST9b.

이와 같이, 공정 ST9a에서는, 처리 용기(12) 내에서 플라즈마를 발생시켜 상부 전극(30)에 음의 직류 전압을 인가함으로써, 마스크(MK4)에 이차 전자를 조사함과 함께, 전극판(34)으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 마스크(MK4)를 덮는다. 따라서, 공정 ST9a에 있어서, 산화 실리콘 화합물이 마스크(MK4)를 덮으므로, 후속 공정에 의한 마스크(MK4)의 손상을 억제할 수 있다.As described above, in step ST9a , the mask MK4 is irradiated with secondary electrons by generating plasma in the processing chamber 12 and applying a negative DC voltage to the upper electrode 30 , and the electrode plate 34 . The mask MK4 is covered with a silicon oxide compound including silicon by releasing silicon from the silicon. Therefore, in step ST9a, since the silicon oxide compound covers the mask MK4, damage to the mask MK4 due to the subsequent step can be suppressed.

공정 ST9a에 계속되는 공정 ST9b에서는, 공정 ST6a와 동일한 방법에 의하여, 처리 용기(12) 내에 있어서 가스(G5)(제1 가스)의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 포함하는 혼합층(MX2)을 피에칭층(EL)의 표면의 원자층에 형성한다. 공정 ST9b에 있어서, 웨이퍼(W)가 정전 척(ESC) 상에 재치되어 있는 상태에서, 처리 용기(12) 내에 가스(G5)를 공급하여, 당해 가스(G5)의 플라즈마를 생성한다. 가스(G5)는, 피에칭층(EL)의 에칭에 적합한 에천트 가스이며, 플루오로카본계 가스와 희가스를 포함하고, 예를 들면 CxFy/Ar 가스일 수 있다. CxFy는 CF4일 수 있다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 플루오로카본계 가스와 희가스를 포함하는 가스(G5)를 처리 용기(12) 내에 공급한다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하여, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 가스(G5)의 플라즈마가 처리 용기(12) 내에 있어서 생성된다. 가스(G5)의 플라즈마는 탄소 라디칼 및 불소 라디칼을 포함한다. 공정 ST9b에 의하여, 탄소 라디칼 및 불소 라디칼을 포함하는 혼합층(MX2)이 피에칭층(EL)의 표면의 원자층에 형성된다(도 6의 (a)부와 함께 도 4의 (b)부를 참조). 따라서, 가스(G5)가 플루오로카본계 가스를 포함하므로, 공정 ST9b에 있어서, 피에칭층(EL)의 표면의 원자층에 불소 라디칼 및 탄소 라디칼이 공급되어, 당해 원자층에 당해 양 라디칼을 함유하는 혼합층(MX2)이 형성될 수 있다.In step ST9b following step ST9a, a plasma of the gas G5 (first gas) is generated in the processing container 12 by the same method as in step ST6a, and the mixed layer MX2 containing radicals contained in the plasma ) is formed in the atomic layer on the surface of the etching target layer EL. In step ST9b, while the wafer W is placed on the electrostatic chuck ESC, the gas G5 is supplied into the processing container 12 to generate plasma of the gas G5. The gas G5 is an etchant gas suitable for etching the etching target layer EL, and includes a fluorocarbon-based gas and a rare gas, and may be, for example, a C x F y /Ar gas. C x F y may be CF 4 . Specifically, the gas G5 containing the fluorocarbon-based gas and the rare gas is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 . Then, by supplying high frequency power from the first high frequency power supply 62 , and supplying high frequency bias power from the second high frequency power supply 64 , and operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is previously reduced. Set to the set pressure. In this way, the plasma of the gas G5 is generated in the processing vessel 12 . The plasma of the gas G5 contains carbon radicals and fluorine radicals. By step ST9b, the mixed layer MX2 containing carbon radicals and fluorine radicals is formed in the atomic layer on the surface of the etching target layer EL (refer to the part (b) of FIG. 4 together with part (a) of FIG. 6 ) ). Therefore, since the gas G5 contains a fluorocarbon gas, in step ST9b, fluorine radicals and carbon radicals are supplied to the atomic layer on the surface of the etching target layer EL, and both radicals are supplied to the atomic layer. The containing mixed layer MX2 may be formed.

공정 ST9b에 계속되는 공정 ST9c에서는, 공정 ST6b와 동일한 방법에 의하여, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST9b에 있어서 공급된 가스(G5)가 배기된다. 공정 ST9c에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 가스 등)와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST9c의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.In step ST9c following step ST9b, the space in the processing container 12 is purged by the same method as in step ST6b. Specifically, the gas G5 supplied in step ST9b is exhausted. In step ST9c, an inert gas such as nitrogen gas or a rare gas (eg, Ar gas) may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST9c may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum exhaust.

공정 ST9c에 계속되는 공정 ST9d에서는, 공정 ST6c와 동일한 방법에 의하여, 처리 용기(12) 내에 있어서 가스(G6)(제2 가스)의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 혼합층(MX2)을 제거한다. 가스(G6)는, 희가스를 포함하고, 예를 들면 Ar 가스를 포함할 수 있다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터 희가스(예를 들면 Ar 가스)를 포함하는 가스(G6)가 처리 용기(12) 내에 공급되고, 제1 고주파 전원(62)으로부터 고주파 전력이 공급되며, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력이 공급되어, 배기 장치(50)를 동작시킴으로써 처리 용기(12) 내의 공간의 압력이 미리 설정된 압력으로 설정된다. 이와 같이 하여, 가스(G6)의 플라즈마가 처리 용기(12) 내에 있어서 생성된다. 생성된 플라즈마 중의 가스(G6)의 원자의 이온(예를 들면 Ar 원자의 이온)은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어당김에 의하여, 피에칭층(EL)의 표면의 혼합층(MX2)에 충돌하여, 당해 혼합층(MX2)에 에너지를 공급한다. 도 6의 (b)부에 나타내는 바와 같이, 공정 ST6c에 의하여, 피에칭층(EL)의 표면에 형성된 혼합층(MX2)에 가스(G6)의 원자의 이온을 통하여 에너지가 공급되고, 이 에너지에 의하여 피에칭층(EL)으로부터 혼합층(MX2)이 제거될 수 있다.In step ST9d following step ST9c, in the same manner as in step ST6c, a plasma of the gas G6 (second gas) is generated in the processing chamber 12, a bias voltage is applied to the plasma, and the mixed layer MX2 ) is removed. The gas G6 may include a rare gas, for example, Ar gas. Specifically, a gas G6 containing a rare gas (eg, Ar gas) is supplied into the processing container 12 from a gas source selected from among the plurality of gas sources of the gas source group 40 , and the first high frequency power supply ( The high frequency power is supplied from 62 , and the high frequency bias power is supplied from the second high frequency power supply 64 , and by operating the exhaust device 50 , the pressure in the space in the processing vessel 12 is set to a preset pressure. In this way, the plasma of the gas G6 is generated in the processing vessel 12 . The ions of the atoms of the gas G6 in the generated plasma (eg, ions of Ar atoms) are attracted to the vertical direction by the high frequency bias power, so that the mixed layer MX2 on the surface of the etched layer EL is , and energy is supplied to the mixed layer MX2. As shown in part (b) of FIG. 6 , in step ST6c, energy is supplied to the mixed layer MX2 formed on the surface of the etching target layer EL through ions of atoms of the gas G6, and this energy is Accordingly, the mixed layer MX2 may be removed from the etching target layer EL.

이상과 같이, 가스(G6)가 희가스를 포함하므로, 공정 ST9d에 있어서, 피에칭층(EL)의 표면에 형성된 혼합층(MX2)은, 당해 희가스의 플라즈마가 바이어스 전압에 의하여 받는 에너지에 의하여, 당해 표면으로부터 제거될 수 있다.As described above, since the gas G6 contains the rare gas, in step ST9d, the mixed layer MX2 formed on the surface of the etching target layer EL is formed by the energy received by the plasma of the rare gas by the bias voltage. can be removed from the surface.

공정 ST9d에 계속되는 공정 ST9e에서는, 공정 ST6d와 동일한 방법에 의하여, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST9d에 있어서 공급된 가스(G6)가 배기된다. 공정 ST9e에서는, 퍼지 가스로서 질소 가스 또는 희가스(예를 들면 Ar 가스 등)와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST9e의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다. 도 6의 (c)부에 나타내는 바와 같이, 공정 ST9e에서 행해지는 퍼지에 의하여, 피에칭층(EL)의 표면의 혼합층(MX2)을 구성하는 원자, 및 가스(G6)의 플라즈마에 포함되는 과잉의 이온(예를 들면 Ar 원자의 이온)도 충분히 제거될 수 있다. 따라서, 시퀀스 SQ3~공정 ST10의 일련의 공정은, ALE와 동일한 방법에 의하여, 피에칭층(EL)을 원자층마다 제거할 수 있다.In step ST9e following step ST9d, the space in the processing container 12 is purged by the same method as in step ST6d. Specifically, the gas G6 supplied in step ST9d is exhausted. In step ST9e, an inert gas such as nitrogen gas or a rare gas (eg, Ar gas, etc.) may be supplied to the processing container 12 as a purge gas. That is, the purge in step ST9e may be either a gas purge in which an inert gas flows into the processing container 12 or a purge by vacuum exhaust. As shown in part (c) of FIG. 6 , by the purging performed in step ST9e, the atoms constituting the mixed layer MX2 on the surface of the etching target layer EL and the excess contained in the plasma of the gas G6 ions (eg, ions of Ar atoms) can also be sufficiently removed. Accordingly, in the series of steps of the sequence SQ3 to step ST10, the etching target layer EL can be removed for each atomic layer by the same method as the ALE.

시퀀스 SQ3에 계속되는 공정 ST10에서는, 공정 ST7과 동일한 방법에 의하여, 시퀀스 SQ3의 실행을 종료하는지 여부를 판정한다. 구체적으로는, 공정 ST10에서는, 시퀀스 SQ3의 실행 횟수가 미리 설정된 횟수에 도달했는지 여부를 판정한다. 시퀀스 SQ3의 실행 횟수의 결정은, 피에칭층(EL)에 대한 에칭의 정도(깊이)를 결정하는 것이다. 시퀀스 SQ3은, 기판(SB)의 표면에 이를 때까지 피에칭층(EL)을 에칭하도록, 반복 실행될 수 있다. 즉, 1회(단위 사이클)의 시퀀스 SQ3의 실행에 의하여 에칭되는 피에칭층(EL)의 두께와 시퀀스 SQ3의 실행 횟수의 곱이 피에칭층(EL) 자체의 전체 두께가 되도록, 시퀀스 SQ3의 실행 횟수가 결정될 수 있다. 따라서, 피에칭층(EL)의 두께에 따라, 시퀀스 SQ3의 실행 횟수가 설정될 수 있다.In step ST10 following the sequence SQ3, it is determined whether or not the execution of the sequence SQ3 is finished by the same method as in step ST7. Specifically, in step ST10, it is determined whether or not the number of executions of the sequence SQ3 has reached a preset number of times. The determination of the number of executions of the sequence SQ3 determines the degree (depth) of etching with respect to the etching target layer EL. The sequence SQ3 may be repeatedly executed to etch the etching target layer EL until it reaches the surface of the substrate SB. That is, the execution of the sequence SQ3 is such that the product of the thickness of the etched layer EL etched by the execution of the sequence SQ3 once (unit cycle) and the number of executions of the sequence SQ3 becomes the total thickness of the etched layer EL itself. The number may be determined. Accordingly, the number of executions of the sequence SQ3 may be set according to the thickness of the etching target layer EL.

공정 ST10에 있어서 시퀀스 SQ3의 실행 횟수가 미리 설정된 횟수에 도달하지 않았다고 판정되는 경우에는(공정 ST10: NO), 시퀀스 SQ3의 실행이 다시 반복된다. 한편, 공정 ST10에 있어서 시퀀스 SQ3의 실행 횟수가 미리 설정된 횟수에 도달했다고 판정되는 경우에는(공정 ST10: YES), 시퀀스 SQ3의 실행이 종료된다. 이로써, 도 4의 (c)부에 나타내는 바와 같이, 피에칭층(EL)이 에칭된다. 즉, 시퀀스 SQ3이 미리 설정된 횟수만큼 반복됨으로써, 피에칭층(EL)이, 마스크(MK4)의 소밀(마스크(MK1)의 소밀)에 관계없이, 마스크(MK4)가 제공하는 개구(OP4)(도 4의 (b)부를 참조)의 폭과 동일 및 균일한 폭으로 에칭되며, 또 선택비도 향상된다. 피에칭층(EL)의 에칭에 의해 형성되는 개구(OP4)의 폭은, 시퀀스 SQ3을 반복 실행함으로써 양호한 정밀도로 제어된다.When it is determined in step ST10 that the number of executions of the sequence SQ3 has not reached the preset number (step ST10: NO), the execution of the sequence SQ3 is repeated again. On the other hand, when it is determined in step ST10 that the number of times of execution of sequence SQ3 has reached the preset number of times (step ST10: YES), execution of sequence SQ3 is ended. As a result, as shown in the portion (c) of FIG. 4 , the etching target layer EL is etched. That is, the sequence SQ3 is repeated a preset number of times so that the etching target layer EL is formed with an opening OP4 ( It is etched to have the same width and uniform width as that of Fig. 4(b)), and the selectivity is also improved. The width of the opening OP4 formed by the etching of the etching target layer EL is controlled with high precision by repeatedly executing the sequence SQ3.

산화 실리콘 화합물이 공정 ST9a에서 피에칭층(EL) 상의 마스크(MK4)의 측면에 형성되어 있으므로, 피에칭층(EL)에 대한 시퀀스 SQ3의 에칭에 의하여 마스크(MK4)의 형상(LWR 및 LER)이 받는 영향을 저감시킬 수 있다. 이와 같이 마스크(MK4)의 형상이 시퀀스 SQ3의 에칭에 의하여 받는 영향을 저감시킬 수 있으므로, 에칭에 의하여 형성되는 개구(OP4)의 폭도, 시퀀스 SQ3의 에칭에 의한 영향을 저감시킬 수 있고, 마스크(MK4)의 소밀(마스크(MK1)의 소밀)에 의한 영향도 저감시킬 수 있다.Since the silicon oxide compound is formed on the side surface of the mask MK4 on the etching target layer EL in step ST9a, the shape (LWR and LER) of the mask MK4 by etching the sequence SQ3 on the etching target layer EL This effect can be reduced. In this way, since the influence of the etching of the sequence SQ3 on the shape of the mask MK4 can be reduced, the width of the opening OP4 formed by the etching can also reduce the influence of the etching of the sequence SQ3, and the mask ( The influence by the roughness of MK4 (roughness of the mask MK1) can also be reduced.

이상과 같이, 피에칭층(EL)의 표면의 원자층을 제거하는 시퀀스 SQ3의 실행별로 마스크(MK4)에 대한 필요한 보호가 그때마다 행해지고, 이와 같은 시퀀스 SQ3이 반복 실행됨으로써, 피에칭층(EL)의 에칭에 대하여 필요한 보호가 마스크(MK4)에 형성되면서 과잉 보호가 회피될 수 있다. 따라서, 마스크(MK4)를 보호하는 보호막의 막두께가 충분히 저감되므로, 당해 보호막에 의하여 발생하는 마스크(MK4)의 변형이 회피될 수 있다.As described above, the necessary protection for the mask MK4 is performed for each execution of the sequence SQ3 for removing the atomic layer on the surface of the etching target layer EL, and by repeating this sequence SQ3, the etching target layer EL ), over-protection can be avoided while the necessary protection is formed in the mask MK4. Accordingly, since the film thickness of the protective film protecting the mask MK4 is sufficiently reduced, deformation of the mask MK4 caused by the protective film can be avoided.

또한, 공정 ST9b에 있어서, 가스(G5)가 예를 들면 CF4 및 Ar을 포함하는 경우, Ar의 가스 유량이 CF4의 가스 유량보다 많을수록, 또한 Ar 가스를 처리 용기(12) 내에 공급하는 시간이 CF4 가스를 처리 용기(12) 내에 공급하는 시간보다 많을수록, LWR이 저감되어, 마스크(MK4)의 형상 유지가 양호해진다. 또, 공정 ST9a에 있어서 이차 전자 및 실리콘의 방출에 이용되는 희가스로서 Ar 가스가 이용되는 경우, Ar 가스를 처리 용기(12)에 공급하는 시간(공정 ST9a의 실시 시간)이 길수록, LWR이 저감되어, 마스크(MK4)의 형상 유지가 양호해진다.In step ST9b, when the gas G5 contains, for example, CF 4 and Ar, the more the Ar gas flow rate is greater than the CF 4 gas flow rate, the more time the Ar gas is supplied into the processing vessel 12 . The longer the time for which the CF 4 gas is supplied into the processing container 12 , the lower the LWR and the better the shape retention of the mask MK4 is. In addition, when Ar gas is used as the rare gas used for emission of secondary electrons and silicon in step ST9a, the longer the time (implementation time of step ST9a) for supplying the Ar gas to the processing vessel 12 is, the lower the LWR is. , the shape retention of the mask MK4 becomes favorable.

이상, 적합한 실시형태에 있어서 본 발명의 원리를 도시하여 설명해 왔지만, 본 발명은, 그와 같은 원리로부터 일탈하는 일 없이 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의하여 인식된다. 본 발명은, 본 실시형태에 개시된 특정 구성에 한정되는 것은 아니다. 따라서, 특허 청구범위 및 그 정신의 범위로부터 오는 모든 수정 및 변경에 권리를 청구한다. 예를 들면, 반사 방지막(AL)을 에칭하기 위하여, 공정 ST2~ST7의 일련의 공정을 마련했지만, 반사 방지막(AL)의 에칭은, 공정 ST2~ST7의 일련의 공정을 행하지 않거나, 또는, 시퀀스 SQ2~공정 ST7의 일련의 공정을 행하지 않고, 공지의 RIE(Reactive Ion Etching)에 의하여 에칭할 수 있다. 또, 공정 ST2~ST7의 일련의 공정 중, 예를 들면 공정 ST2를 행하지 않는 경우가 가능하며, 시퀀스 SQ1~공정 ST5를 행하지 않는 경우도 가능하다.As mentioned above, although the principle of this invention was shown and demonstrated in suitable embodiment, it is recognized by those skilled in the art that this invention can be changed in arrangement|positioning and detail without deviating from such a principle. The present invention is not limited to the specific configuration disclosed in the present embodiment. Accordingly, we claim all modifications and variations that come from the scope of the claims and their spirit. For example, in order to etch the antireflection film AL, a series of steps ST2 to ST7 is provided, but the etching of the antireflection film AL does not perform the series of steps ST2 to ST7, or a sequence It can be etched by well-known RIE (Reactive Ion Etching) without performing a series of steps from SQ2 to step ST7. In addition, among the series of steps of steps ST2 to ST7, for example, it is possible to not perform step ST2, and it is also possible to not perform sequence SQ1 to step ST5.

10…플라즈마 처리 장치
12…처리 용기
12e…배기구
12g…반입 출구
14…지지부
18a…제1 플레이트
18b…제2 플레이트
22…직류 전원
23…스위치
24…냉매 유로
26a…배관
26b…배관
28…가스 공급 라인
30…상부 전극
32…절연성 차폐 부재
34…전극판
34a…가스 토출 구멍
36…전극 지지체
36a…가스 확산실
36b…가스 통류 구멍
36c…가스 도입구
38…가스 공급관
40…가스 소스군
42…밸브군
44…유량 제어기군
46…디포지션 실드
48…배기 플레이트
50…배기 장치
52…배기관
54…게이트 밸브
62…제1 고주파 전원
64…제2 고주파 전원
66…정합기
68…정합기
70…전원
AL…반사 방지막
ALM…마스크
Cnt…제어부
EL…피에칭층
ESC…정전 척
FR…포커스 링
G1…가스
HP…히터 전원
HT…히터
LE…하부 전극
Ly1…층
Ly2…층
MK1…마스크
MK2…마스크
MK3…마스크
MK4…마스크
MS…마스크
MX1…혼합층
MX2…혼합층
OL…유기막
OLM…마스크
OP1…개구
OP2…개구
OP3…개구
OP4…개구
P1…플라즈마
PD…재치대
R1…영역
R2…영역
R3…영역
S…처리 공간
SB…기판
SX…보호막
W…웨이퍼
10… plasma processing device
12… processing vessel
12e… exhaust vent
12g… entry exit
14… support
18a… first plate
18b… second plate
22… DC power
23… switch
24… refrigerant flow
26a… plumbing
26b… plumbing
28… gas supply line
30… upper electrode
32… insulating shielding member
34… electrode plate
34a… gas outlet hole
36… electrode support
36a… gas diffusion chamber
36b... gas flow hole
36c… gas inlet
38… gas pipeline
40… gas source
42… valve group
44… flow control group
46… Deposition Shield
48… exhaust plate
50… exhaust
52... vent pipe
54… gate valve
62... first high frequency power supply
64… 2nd high frequency power supply
66… matcher
68… matcher
70… everyone
AL… anti-reflection film
ALM… Mask
Cnt… control
EL… etched layer
ESC… electrostatic chuck
FR… focus ring
G1… gas
HP… heater power
HT… heater
LE… lower electrode
Ly1… floor
Ly2… floor
MK1… Mask
MK2… Mask
MK3… Mask
MK4… Mask
MS… Mask
MX1… mixed layer
MX2… mixed layer
OL… organic film
OLM… Mask
OP1… opening
OP2… opening
OP3… opening
OP4… opening
P1… plasma
PD… wit
R1… area
R2… area
R3… area
S… processing space
SB… Board
SX… shield
W… wafer

Claims (16)

피처리체를 처리하는 방법으로서,
상기 피처리체는, 피에칭층과 상기 피에칭층 상에 마련된 제1 마스크를 구비하고,
당해 방법은,
상기 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서 플라즈마를 발생시켜 상기 처리 용기에 마련된 평행 평판 전극의 상부 전극에 음의 직류 전압을 인가함으로써, 상기 제1 마스크에 이차 전자를 조사함과 함께 상기 상부 전극이 구비하고 실리콘을 함유하는 전극판으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 상기 제1 마스크를 덮는, 제1 공정과,
상기 제1 공정의 실행 후에, 상기 처리 용기 내에 있어서 제1 가스의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 포함하는 혼합층을 상기 피에칭층의 표면의 원자층에 형성하는 제2 공정과,
상기 제2 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제3 공정과,
상기 제3 공정의 실행 후에, 상기 처리 용기 내에 있어서 제2 가스의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 상기 혼합층을 제거하는 제4 공정과,
상기 제4 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제5 공정을 포함하는 제1 시퀀스를 반복 실행하여, 상기 피에칭층을 원자층마다 제거함으로써, 상기 피에칭층을 에칭하는, 방법.
A method of processing an object, comprising:
The object to be processed includes an etching target layer and a first mask provided on the etching target layer;
The method comprises:
The first mask is irradiated with secondary electrons by generating plasma in the processing chamber of the plasma processing apparatus in which the object to be processed is accommodated and applying a negative DC voltage to the upper electrode of the parallel plate electrode provided in the processing chamber. A first step of discharging silicon from an electrode plate provided with an upper electrode and containing silicon to cover the first mask with a silicon oxide compound containing silicon;
a second step of generating plasma of a first gas in the processing vessel after execution of the first step, and forming a mixed layer containing radicals contained in the plasma on an atomic layer on the surface of the etched layer;
a third step of purging the space in the processing vessel after the second step is executed;
a fourth process of generating plasma of a second gas in the processing chamber after execution of the third process and applying a bias voltage to the plasma to remove the mixed layer;
After the fourth step is performed, the etching target layer is etched by repeatedly executing a first sequence including a fifth step of purging the space in the processing container to remove the etch target layer for each atomic layer. .
청구항 1에 있어서,
상기 제1 가스는, 플루오로카본계 가스와 희가스를 포함하는, 방법.
The method according to claim 1,
The first gas includes a fluorocarbon-based gas and a rare gas.
청구항 1 또는 청구항 2에 있어서,
상기 제2 가스는, 희가스인, 방법.
The method according to claim 1 or 2,
The second gas is a rare gas, the method.
청구항 1 또는 청구항 2에 있어서,
상기 제1 시퀀스의 실행 전에, 상기 제1 마스크를 형성하는 공정을 더 포함하고,
상기 제1 마스크를 형성하는 상기 공정은, 제6 공정과 제7 공정을 포함하며, 상기 제6 공정과 상기 제7 공정에 있어서, 상기 피에칭층 상에 마련되어 있던 유기막과 상기 유기막 상에 마련되어 있던 반사 방지막에 대하여 상기 반사 방지막 상에 마련되어 있던 제2 마스크를 이용하여 에칭 처리를 행함으로써, 상기 제1 마스크를 형성하고,
상기 제6 공정은, 상기 반사 방지막을 에칭하며,
상기 제7 공정은, 상기 제6 공정의 실행 후에, 상기 유기막을 에칭하고,
상기 제1 마스크는, 상기 제6 공정 및 상기 제7 공정의 실행에 의하여 형성되며, 상기 반사 방지막 및 상기 유기막으로 형성되는, 방법.
The method according to claim 1 or 2,
prior to execution of the first sequence, further comprising forming the first mask;
The step of forming the first mask includes a sixth step and a seventh step, and in the sixth step and the seventh step, the organic layer provided on the etch target layer and the organic layer are formed on the organic layer. The first mask is formed by etching the provided anti-reflection film using a second mask provided on the anti-reflection film;
In the sixth step, the anti-reflection film is etched,
In the seventh step, after the sixth step is performed, the organic film is etched;
and the first mask is formed by executing the sixth process and the seventh process, and is formed of the antireflection film and the organic film.
청구항 4에 있어서,
상기 제6 공정은,
상기 처리 용기 내에 있어서, 상기 제2 마스크의 표면에 보호막을 컨포멀하게 형성하는 공정과,
상기 보호막을 컨포멀하게 형성하는 상기 공정의 실행 후에, 상기 보호막이 형성된 상기 제2 마스크를 이용하여, 상기 처리 용기 내에서 발생시킨 플라즈마에 의하여 상기 반사 방지막을 원자층마다 제거하여, 상기 반사 방지막을 에칭하는 공정을 포함하는, 방법.
5. The method according to claim 4,
The sixth step is
forming a protective film conformally on the surface of the second mask in the processing container;
After execution of the step of conformally forming the protective film, the anti-reflection film is removed for each atomic layer by plasma generated in the processing vessel using the second mask on which the protective film is formed, and the anti-reflection film is A method comprising: etching.
청구항 5에 있어서,
상기 제6 공정은,
상기 보호막을 컨포멀하게 형성하는 상기 공정의 실행 전에, 상기 처리 용기 내에서 플라즈마를 발생시켜 상기 처리 용기에 마련된 상부 전극에 음의 직류 전압을 인가함으로써, 상기 제2 마스크에 이차 전자를 조사하는 공정을 더 포함하는, 방법.
6. The method of claim 5,
The sixth step is
A process of irradiating secondary electrons to the second mask by generating plasma in the processing chamber and applying a negative DC voltage to the upper electrode provided in the processing chamber before performing the process of conformally forming the protective film A method further comprising:
청구항 6에 있어서,
상기 제2 마스크에 이차 전자를 조사하는 상기 공정은, 상기 처리 용기 내에서 플라즈마를 발생시켜 상기 상부 전극에 음의 직류 전압을 인가함으로써, 상기 전극판으로부터 실리콘을 방출시켜 상기 실리콘을 포함하는 산화 실리콘 화합물로 상기 제2 마스크를 덮는, 방법.
7. The method of claim 6,
In the process of irradiating secondary electrons to the second mask, a plasma is generated in the processing vessel and a negative DC voltage is applied to the upper electrode, thereby releasing silicon from the electrode plate and containing the silicon oxide. covering the second mask with a compound.
청구항 5에 있어서,
상기 보호막을 컨포멀하게 형성하는 상기 공정은,
상기 처리 용기 내에 제3 가스를 공급하는 제8 공정과,
상기 제8 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제9 공정과,
상기 제9 공정의 실행 후에, 상기 처리 용기 내에 있어서 제4 가스의 플라즈마를 생성하는 제10 공정과,
상기 제10 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제11 공정을 포함하는 제2 시퀀스를 반복 실행함으로써, 상기 제2 마스크의 상기 표면에 상기 보호막을 컨포멀하게 형성하고,
상기 제8 공정은, 상기 제3 가스의 플라즈마를 생성하지 않는, 방법.
6. The method of claim 5,
The process of conformally forming the protective film,
an eighth step of supplying a third gas into the processing vessel;
a ninth step of purging the space in the processing container after the eighth step is executed;
a tenth process of generating plasma of a fourth gas in the processing chamber after the ninth process is executed;
After the tenth process is executed, the protective film is conformally formed on the surface of the second mask by repeatedly executing a second sequence including an eleventh process of purging the space in the processing container;
The eighth process does not generate a plasma of the third gas.
청구항 8에 있어서,
상기 제3 가스는, 유기 함유의 아미노실란계 가스를 포함하는, 방법.
9. The method of claim 8,
The method, wherein the third gas includes an organic-containing aminosilane-based gas.
청구항 9에 있어서,
상기 제3 가스의 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함하는, 방법.
10. The method of claim 9,
The method of claim 1, wherein the aminosilane-based gas of the third gas contains an aminosilane having 1 to 3 silicon atoms.
청구항 9에 있어서,
상기 제3 가스의 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함하는, 방법.
10. The method of claim 9,
The method of claim 1, wherein the aminosilane-based gas of the third gas contains an aminosilane having 1 to 3 amino groups.
청구항 8에 있어서,
상기 제4 가스는, 산소 원자 및 탄소 원자를 함유하는 가스를 포함하는, 방법.
9. The method of claim 8,
The method of claim 1, wherein the fourth gas includes a gas containing oxygen atoms and carbon atoms.
청구항 5에 있어서,
상기 반사 방지막을 에칭하는 상기 공정은,
상기 보호막을 컨포멀하게 형성하는 상기 공정의 실행 후에, 상기 처리 용기 내에 있어서 제5 가스의 플라즈마를 생성하고, 상기 플라즈마에 포함되는 라디칼을 포함하는 혼합층을 상기 반사 방지막의 표면의 원자층에 형성하는 제12 공정과,
상기 제12 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제13 공정과,
상기 제13 공정의 실행 후에, 상기 처리 용기 내에 있어서 제6 가스의 플라즈마를 생성하고, 상기 플라즈마에 바이어스 전압을 인가하여, 상기 혼합층을 제거하는 제14 공정과,
상기 제14 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 제15 공정을 포함하는 제3 시퀀스를 반복 실행하여, 상기 반사 방지막을 원자층마다 제거함으로써, 상기 반사 방지막을 에칭하는, 방법.
6. The method of claim 5,
The step of etching the anti-reflection film,
After execution of the step of conformally forming the protective film, a plasma of a fifth gas is generated in the processing vessel, and a mixed layer containing radicals contained in the plasma is formed in an atomic layer on the surface of the anti-reflection film a twelfth process;
a thirteenth step of purging the space in the processing vessel after the twelfth step is executed;
a fourteenth process of generating plasma of a sixth gas in the processing chamber after execution of the thirteenth process, and applying a bias voltage to the plasma to remove the mixed layer;
The method of claim 1 , wherein the anti-reflection film is etched by repeatedly performing a third sequence including a fifteenth process of purging the space in the processing container after the fourteenth process is performed to remove the anti-reflection film for each atomic layer.
청구항 13에 있어서,
상기 제5 가스는, 플루오로카본계 가스와 희가스를 포함하는, 방법.
14. The method of claim 13,
The fifth gas includes a fluorocarbon-based gas and a rare gas.
청구항 13에 있어서,
상기 제6 가스는, 희가스를 포함하는, 방법.
14. The method of claim 13,
The sixth gas includes a rare gas, the method.
청구항 4에 있어서,
상기 제7 공정은, 상기 제6 공정의 실행 후에, 상기 처리 용기 내에서 발생시킨 플라즈마에 의하여, 제3 마스크를 이용하여 상기 유기막에 대하여 에칭 처리를 행하고,
상기 제3 마스크는, 상기 제6 공정에 있어서, 상기 제2 마스크와 상기 반사 방지막으로 형성되는, 방법.
5. The method according to claim 4,
In the seventh step, after the sixth step is performed, the organic film is etched using a third mask by plasma generated in the processing chamber;
In the sixth step, the third mask is formed of the second mask and the antireflection film.
KR1020187030670A 2016-03-29 2017-03-27 How to process the object KR102362462B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2016-065802 2016-03-29
JP2016065802 2016-03-29
JPJP-P-2016-147475 2016-07-27
JP2016147475A JP6770848B2 (en) 2016-03-29 2016-07-27 How to process the object to be processed
PCT/JP2017/012399 WO2017170405A1 (en) 2016-03-29 2017-03-27 Method for processing object to be processed

Publications (2)

Publication Number Publication Date
KR20180128943A KR20180128943A (en) 2018-12-04
KR102362462B1 true KR102362462B1 (en) 2022-02-14

Family

ID=59965711

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187030670A KR102362462B1 (en) 2016-03-29 2017-03-27 How to process the object

Country Status (2)

Country Link
KR (1) KR102362462B1 (en)
WO (1) WO2017170405A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7089881B2 (en) * 2018-01-10 2022-06-23 東京エレクトロン株式会社 Film formation method
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
CN110581050B (en) * 2018-06-07 2024-06-11 东京毅力科创株式会社 Processing method and plasma processing apparatus
JP7174634B2 (en) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 Method for etching a film
CN111627809B (en) * 2019-02-28 2024-03-22 东京毅力科创株式会社 Substrate processing method and substrate processing apparatus
JP7228413B2 (en) * 2019-03-11 2023-02-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100371491C (en) * 1999-08-17 2008-02-27 东京电子株式会社 Pulsed plasma processing method and apparatus
MY148830A (en) 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
JP4845978B2 (en) * 2008-02-27 2011-12-28 Hoya株式会社 Photomask blank, photomask, and photomask manufacturing method
KR101097025B1 (en) * 2008-03-31 2011-12-20 도쿄엘렉트론가부시키가이샤 Plasma processing method and computer readable storage medium
JP4815519B2 (en) * 2009-09-14 2011-11-16 東京エレクトロン株式会社 Mask pattern forming method and semiconductor device manufacturing method
JP2012015343A (en) 2010-07-01 2012-01-19 Hitachi High-Technologies Corp Plasma etching method
JP5528244B2 (en) * 2010-07-26 2014-06-25 東京エレクトロン株式会社 Plasma processing method and storage medium
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP6063264B2 (en) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 Method for processing substrate and plasma processing apparatus
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP5519059B2 (en) * 2013-05-23 2014-06-11 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus

Also Published As

Publication number Publication date
WO2017170405A1 (en) 2017-10-05
KR20180128943A (en) 2018-12-04

Similar Documents

Publication Publication Date Title
KR102362462B1 (en) How to process the object
CN108885990B (en) Method for treating object to be treated
JP6382055B2 (en) Method for processing an object
US20200381263A1 (en) Method of processing target object
US9911607B2 (en) Method of processing target object
US9911622B2 (en) Method of processing target object
CN107026081B (en) Method for processing object to be processed
JP7061653B2 (en) How to process the object to be processed
US11823903B2 (en) Method for processing workpiece
KR102461750B1 (en) Method of processing target object
KR102531901B1 (en) How to handle the target object

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant