KR102349661B1 - 건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법 - Google Patents

건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법 Download PDF

Info

Publication number
KR102349661B1
KR102349661B1 KR1020207012737A KR20207012737A KR102349661B1 KR 102349661 B1 KR102349661 B1 KR 102349661B1 KR 1020207012737 A KR1020207012737 A KR 1020207012737A KR 20207012737 A KR20207012737 A KR 20207012737A KR 102349661 B1 KR102349661 B1 KR 102349661B1
Authority
KR
South Korea
Prior art keywords
water
acid
composition
solvent system
alkylamine
Prior art date
Application number
KR1020207012737A
Other languages
English (en)
Other versions
KR20200051837A (ko
Inventor
지 쿠에이 지
이-치아 리
웬 다 리우
티아니우 첸
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200051837A publication Critical patent/KR20200051837A/ko
Application granted granted Critical
Publication of KR102349661B1 publication Critical patent/KR102349661B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/40Monoamines or polyamines; Salts thereof
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)
  • Drying Of Solid Materials (AREA)

Abstract

본 명세서에서 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하여 패턴의 붕괴를 방지하기 위한 수성 조성물로서, 물 및 수혼화성 유기 용매를 포함하는 용매 시스템; 알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및 임의의 pH 조절제를 포함하는 수성 조성물을 제공한다.

Description

건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법
본 출원은 2017년 9월 29일자로 출원된 미국 특허 가출원 제62/565,266호 및 2018년 9월 25일자로 출원된 미국 특허 정규출원 제16/141,735호에 우선권을 주장하며, 그 전문은 본 명세서에 참고로 포함된다.
본 발명은 높은 종횡비 구조물을 세정/건조하는 방법에 관한 것이며, 여기서 건조 중 구조물의 붕괴는 실질적으로 방지된다.
반도체 장치의 제조는 일반적으로 다양한 단계의 장치 패터닝 공정을 포함한다. 지속적인 스케일-다운 및 단일 반도체 장치가 이용할 수 있는 면적의 축소로 인해, 엔지니어들은 어떻게 장치 밀도 증가에 대한 시장 요구를 충족시킬지에 대한 도전에 끊임없이 직면하고 있다. 하나의 기술은 측벽 이미지 전송(SIT: sidewall image transfer)이라고 불리며, 측벽 스페이서 이미지 전송으로도 알려진 기술을 통해 형성된 finFETs의 생성이었다. 그러나 이러한 장치의 스케일링 때문에 핀 또는 게이트 모듈과 같은, 타이트한 피치 및 높은 종횡비 구성에 대해 패턴 붕괴의 위험이 있다.
예를 들어, 패턴 붕괴는 "핀" 구조물 사이에서 경험되는 높은 모세관력 때문에 습식 에칭 공정 후의 건조 단계 중에 발생할 수 있다. 이러한 붕괴를 완화하려는 최근의 시도는 린스 용액의 접촉각을 증가시키기 위해 표면 상에 화학적으로 결합하는 유기 분자의 사용을 포함하지만, 이러한 표면 개질제는 기판 표면에 결합되어 잔류물을 남길 수 있고, 다시 말해, 이들은 제거하기 어렵다. 이와 관련하여, 표면 개질제 잔류물을 제거하기 위해 애싱(ashing) 단계가 추가될 수 있지만 애싱 조건은 또한 핀 손상을 초래할 수 있다. 반도체 소자용 물질의 스택은 실리콘(silicon), 실리콘 산화물 및 실리콘 질화물과 같은 상이한 물질을 포함할 수 있다. 이들 물질은 단일층 또는 순서가 상이한 다중층 일 수 있다. 스택은 물리적 기상 증착, 화학적 기상 증착, 전기화학적 증착 및 분자 빔 에피택시(epitaxy)를 포함하는 다수의 방법에 의해 형성될 수 있다. 하나의 스택 순서는 실리콘 산화물 트렌치를 형성하기 위해 실리콘 기판 위에 실리콘 산화물층이 있을 수 있다. 기술적인 노드 수축으로, 더 많은 스택 순서가 사용되는데, 예를 들어, 스택 순서는 하부의 실리콘층 위에 중간의 실리콘 산화물층 및 최상부의 실리콘 질화물층을 포함할 수 있다. 이러한 새로운 스택 구조물의 패턴 붕괴를 방지하기 위해, 표면 개질 화학은 실리콘 산화물 표면 뿐만 아니라, 실리콘 질화물과 실리콘 표면에도 영향을 주어야 한다.
따라서, 당업계에서는 상기의 결점을 겪지 않는 핀 표면 개질 화학이 필요하다.
일 측면에서, 본 발명은 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하여 패턴의 붕괴를 방지하기 위한 수성 조성물을 제공하며, 이 조성물은 물 및 수혼화성(water-miscible) 유기 용매를 포함하는 용매 시스템; 알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및 pH 조절제를 포함한다.
다른 측면에서, 본 발명는 50㎚ 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하는 방법을 제공하며, 방법은 물 및 수혼화성 유기 용매를 포함하는 용매 시스템; 알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및 pH 조절제를 포함하는 수성 조성물로 기판을 린스하는(rinsing) 단계를 포함한다.
본 발명의 실시양태는 단독으로 또는 서로 조합하여 사용될 수 있다.
측면 1. 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하여 패턴의 붕괴를 방지하기 위한 수성 조성물로서,
물 및 수혼화성 유기 용매를 포함하는 용매 시스템;
알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및
임의의 pH 조절제를 포함하는 조성물. 
측면 2. 측면 1의 수성 조성물로서, 용매 시스템은 물 및 디프로필렌 글리콜 메틸 에테르를 포함하는 것인 수성 조성물.
측면 3. 측면 2의 수성 조성물로서, 물은 용매 시스템의 총 중량을 기준으로 70 wt%로 존재하고, 디프로필렌 글리콜 메틸 에테르는 용매 시스템의 총 중량을 기준으로 30 wt%로 존재하는 것인 수성 조성물.
측면 4. 측면 1-3 중 어느 하나에 있어서, 유기산은 p-톨루엔술폰산인 수성 조성물.
측면 5. 상기 측면들 중 어느 하나에 있어서, 알킬아민은 1차 아민인 수성 조성물.
측면 6. 측면 1-4 중 어느 하나에 있어서, 알킬아민은 2차 아민인 수성 조성물.
측면 7. 측면 1-4 중 어느 하나에 있어서, 알킬아민은 3차 아민인 수성 조성물.
측면 8. 측면 5에 있어서, 알킬아민은 도데실아민인 수성 조성물.
측면 9. 상기 측면들 중 어느 하나에 있어서, pH 조절제는 과량의(excess) 유기산을 포함하는 것인 수성 조성물.
측면 10. 전술한 측면 중 어느 하나에 있어서, 상기 유기산은 지방족/방향족 카르복실산, 아미노 카르복실산, 술폰산 및 아미노술폰산으로 이루어진 군으로부터 선택되는 것인 수성 조성물.
 측면 11. 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하는 방법으로서,
물 및 수혼화성 유기 용매를 포함하는 용매 시스템;
알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및
임의의 pH 조절제를 포함하는 수성 조성물로 기판을 린스하는 단계를 포함하며, 패턴의 붕괴가 실질적으로 방지되는 방법.
측면 12. 측면 11에 있어서, 접촉하는 단계는 약 25 내지 125 ℃의 온도에서 일어나는 것인 방법.
측면 13. 측면 11에 있어서, 접촉하는 단계는 약 25 내지 80 ℃의 온도에서 일어나는 것인 방법.
측면 14. 측면 11-13 중 어느 하나에 있어서, 용매 시스템은 물 및 디프로필렌 글리콜 메틸 에테르를 포함하는 것인 방법.
측면 15. 측면 11-14 중 어느 하나에 있어서, 물은 용매 시스템의 총 중량을 기준으로 70 wt%로 존재하고, 디프로필렌 글리콜 메틸 에테르는 용매 시스템의 총 중량을 기준으로 30 wt%로 존재하는 것인 방법.
측면 16. 측면 11-15 중 어느 하나에 있어서, 유기산은 p-톨루엔술폰산인 방법.
측면 17. 측면 11-16 중 어느 하나에 있어서, 알킬아민은 1차 아민인 방법.
측면 18. 측면 11-17 중 어느 하나에 있어서, 알킬아민은 2차 아민인 방법.
측면 19. 측면 11-18 중 어느 하나에 있어서, 알킬아민은 3차 아민인 방법.
측면 20. 측면 17에 있어서, 알킬아민은 도데실아민인 방법
측면 21. 측면 15-21 중 어느 하나에 있어서, pH 조절제는 과량의 유기산을 포함하는 것인 방법.
측면 22. 측면 11-21 중 어느 하나에 있어서, 유기산은 지방족/방향족 카르복실산, 아미노 카르복실산, 술폰산 및 아미노술폰산으로 이루어진 군으로부터 선택되는 것인 방법.
본 명세서에 인용된 출판물, 특허 출원 및 특허를 포함하는 모든 참고 문헌은 각각의 참고 문헌이 참고로 포함됨을 개별적이고 구체적으로 나타내고 본 명세서에 그 전문이 개시된 것처럼 동일한 정도로 참고로 포함된다.
본 발명을 기술하는 문맥에서 (특히 하기 청구 범위의 문맥에서) 용어 "a" 및 "an" 및 "the" 및 유사한 지시어의 사용은 본 명세서에서 달리 지시되거나 문맥에 의해 명확하게 모순되지 않는 한 단수 및 복수 모두를 포함하는 것으로 해석되어야 한다. 용어 "포함하는(comprising)", "갖는", "포함하는(including)" 및 "함유하는"은 달리 언급되지 않는 한 개방형 용어(즉, "포함하지만 이에 제한되지 않는"을 의미함)로 해석되어야 한다. 본 명세서에서 값의 범위의 열거는 단지 본 명세서에서 달리 지시되지 않는 한, 범위 내에 속하는 각각의 개별 값을 개별적으로 언급하는 약식 방법으로 사용하기 위한 것이며, 각각의 개별 값은 본 명세서에서 개별적으로 열거된 것처럼 명세서에 포함된다. 본 명세서에 기재된 모든 방법은 본 명세서에서 달리 지시되거나 달리 문맥에 의해 명확하게 모순되지 않는 한 임의의 적합한 순서로 수행될 수 있다. 본 명세서에 제공된 임의의 모든 예 또는 예시적인 언어(예를 들어, "와 같은")의 사용은 단지 본 발명을 더 잘 나타내도록 의도된 것이며 달리 청구되지 않는 한 본 발명의 범위에 제한을 두지 않는다. 명세서에서 어떠한 언어도 임의의 청구되지 않은 요소를 본 발명의 실시에 필수적인 것으로 나타내는 것으로 해석되어서는 안 된다. 명세서 및 청구범위에서의 용어 "포함하는(comprising)”의 사용은 “필수적으로 이루어지는(consisting essentially of)" 및 "이루어지는(consisting of)"의 더 좁은 언어를 포함한다.
본 발명의 실시양태는 본 명세서에서 기재되었으며, 본 발명을 수행하기 위해 본 발명자들에게 알려진 최선의 방식을 포함한다. 이러한 실시양태의 변형은 전술한 설명을 읽을 때 당업자에게 명백해질 수 있다. 본 발명자들은 숙련된 기술자가 이러한 변형을 적절하게 사용할 것으로 기대하고, 본 발명자들은 본 발명이 본 명세서에 구체적으로 기재된 것과 다르게 실시될 것을 의도한다. 따라서, 본 발명은 적용 가능한 법률에 의해 허용되는 바와 같이 본 명세서에 첨부된 청구항에 열거된 주제의 모든 변형 및 등가물을 포함한다. 또한, 가능한 모든 변형에서 전술한 요소의 임의의 조합은, 본 명세서에서 달리 지시되지 않거나 달리 문맥에 의해 명확히 모순되지 않는 한, 본 발명에 포함된다.
본 발명은 일반적으로 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하여 패턴의 붕괴를 방지하기 위한 수성 조성물에 관한 것으로, 조성물은 물 및 수혼화성 유기 용매를 포함하는 용매 시스템; 알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및 임의의 pH 조절제를 포함한다. 또한, 조성물은 패턴이 실리콘, 실리콘 산화물 및/또는 실리콘 질화물의 교번할 수 있는 하나 이상의 층을 포함하는 스택을 포함하는 경우 특히 효과적이다.
마이크로 전자 장치에서 물질로서 증착된 "실리콘"이라는 용어는 폴리실리콘을 포함하는 것으로 이해될 것이다.
언급하기 쉽도록, “마이크로 전자 장치”는 웨이퍼, 평판 디스플레이, 상 변화 메모리 장치, 태양 전지판 및 태양 기판, 태양광 발전(photovoltaics), 및 마이크로 전자 기계 시스템(MEMS: microelectromechanical systems)을 포함하는 기타 제품과 같은 반도체 기판에 해당하며, 마이크로 전자 공학, 집적 회로 또는 컴퓨터 칩 응용 분야에서 사용하기 위해 제조된다. 태양 기판은 갈륨 상에 비소화갈륨, 실리콘, 비결정성 실리콘, 다결정 실리콘, 단결정 실리콘, CdTe, 셀렌화구리인듐, 및 황화구리인듐을 포함하지만, 이에 제한되지는 않는다. 태양 기판은 도핑되거나 도핑되지 않을 수 있다. 용어 "마이크로 전자 장치"는 어떤 식으로든 제한하려는 것이 아니며, 결국에는 마이크로 전자 장치 또는 마이크로 전자 어셈블리가 될 임의의 기판을 포함한다는 것으로 이해되어질 것이다.
본 명세서에서 사용된 바와 같이, 용어 “알킬”은 직쇄 또는 분지된 포화 탄화수소기를 의미한다. 일부 실시양태에서, 알킬기는 1 내지 20개의 탄소 원자, 2 내지 20개의 탄소 원자, 1 내지 10개의 탄소 원자, 2 내지 10개의 탄소 원자, 1 내지 8개의 탄소 원자, 2 내지 8개의 탄소 원자, 1 내지 6개의 탄소 원자, 2 내지 6개의 탄소 원자, 1 내지 4개의 탄소 원자, 2 내지 4개의 탄소 원자, 1 내지 3개의 탄소 원자, 또는 2 또는 3개의 탄소 원자를 갖는다. 다른 실시양태에서, 알킬기는 6 내지 20개의 탄소 원자, 6 내지 18개의 탄소 원자, 8 내지 18개의 탄소 원자, 9 내지 16개의 탄소 원자, 9 내지 14개의 탄소 원자, 8 내지 15개의 탄소 원자, 또는 10 내지 14개의 탄소 원자를 가질 수 있다. 다른 실시양태에서, 알킬기는 다음 목록에서 종점을 가지는 임의의 범위에서 탄소 원자의 수를 가질 수 있다: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23 및 24. 알킬기의 예는 메틸(Me), 에틸(Et), 프로필(예를 들어, n-프로필 및 이소프로필), 부틸(예를 들어, n-부틸, t-부틸, 이소부틸), 펜틸(예를 들어, n-펜틸, 이소펜틸, 네오펜틸), 헥실, 이소헥실 , 헵틸, 옥틸, 노닐, 4,4 디메틸펜틸, 2,2,4-트리메틸펜틸, 데실, 운데실, 도데실, 2-메틸-1-프로필, 2-메틸-2-프로필, 2-메틸-1-부틸, 3-메틸-1-부틸, 2-메틸-3-부틸, 2-메틸-1-펜틸, 2,2-디메틸-1-프로필, 3-메틸-1-펜틸, 4-메틸-1-펜틸, 2-메틸-2-펜틸, 3-메틸-2-펜틸, 4-메틸-2-펜틸, 2,2-디메틸-1-부틸, 3,3-디메틸-1-부틸, 2-에틸-1-부틸 등을 포함하나, 이에 제한되지는 않는다.
본 명세서에서 사용된 바와 같이, 용어 "아미노"는 -NH2를 의미한다.
본 명세서에서 사용된 바와 같이, 용어 “알킬아미노”는 알킬기로 치환된 아미노기를 의미한다. 일부 실시양태에서, 알킬기는 1 내지 6개의 탄소 원자를 가지는 저급 알킬기이다. 알킬아미노기는 -NHCH2CH3, -NH(CH2)2CH3, -NH(CH2)3CH3, -NH(CH2)4CH3, 및 -NH(CH2)5CH3 등을 포함하나, 이에 제한되지는 않는다. 다른 실시양태에서, 알킬기는 8 내지 14개의 탄소 또는 9 내지 14개의 탄소와 같이, 상기 기재된 범위에서 임의의 탄소의 수를 가질 수 있다.
"실질적으로 함유하지 않는(substantially free)"은 본 명세서에서 0.001 중량% 미만으로 정의된다. "실질적으로 함유하지 않는"은 또한 0.000 중량%를 포함한다. 용어 "함유하지 않는(free of)"은 0.000 중량%를 의미한다.
본 명세서에서 사용된 바와 같이, “약”은 언급된 값의 ±5%에 해당하는 것으로 의도된다.
조성물의 특정 성분이 0의 하한을 포함한 중량% 범위를 참조하여 논의되는 이러한 모든 조성물에서, 이러한 성분이 조성물의 다양한 특정 실시양태에 존재하거나 존재하지 않을 수 있고, 이러한 성분이 존재하는 경우에는, 이러한 성분이 사용되는 조성물의 총 중량을 기준으로 0.001 중량%의 낮은 농도로 존재할 수 있다고 이해될 것이다. 달리 특정되지 않는 한, 모든 양은 중량 퍼센트이다. 모든 중량 퍼센트는 총 조성물, 즉 총 100%를 기준으로 한다.
본 발명의 일 측면에서, 수성 조성물은 물 및 수혼화성 유기 용매를 포함하는 용매 시스템; (C2-C20)알킬아민과 파라-톨루엔술폰산 사이의 반응 생성물인 표면 개질제; 및 pH 조절 화합물을 포함하거나, 이들로 이루어지거나, 이들로 필수적으로 이루어진다.
일부 실시양태에서, 본 명세서에 개시된 조성물은 다음 화학적 화합물 중 하나 이상을 함유하지 않거나, 실질적으로 함유하지 않도록 배합된다: 플루오라이드, 무기산, 알킬 티올, 및 유기 실란. 일부 실시양태에서, 본 명세서에 개시된 조성물은 4차 암모늄 플루오라이드 및/또는 4차 암모늄 하이드록사이드를 포함할 수 있는 4차 암모늄 화합물 및/또는 무기 염기를 함유하지 않거나, 실질적으로 함유하지 않도록 배합되며, 예를 들어, 조성물은 다음 중 하나 이상을 함유하지 않을 수 있다: 테트라메틸암모늄 플루오라이드, 테트라에틸암모늄 플루오라이드, 메틸트리에틸암모늄 플루오라이드, 테트라부틸암모늄 플루오라이드, 테트라메틸암모늄 하이드록사이드, 테트라에틸암모늄 하이드록사이드, 메틸트리에틸암모늄 하이드록사이드, 및/또는 테트라부틸암모늄 하이드록사이드. 일부 실시양태에서, 조성물은 트리아졸과 같은 부식 억제제, 및/또는 EDTA와 같은 킬레이트제를 함유하지 않는다. 일부 실시양태에서, 조성물은 다음 중 하나 이상을 함유하지 않거나 실질적으로 함유하지 않을 수 있다: 하이드록사이드 및/또는 KOH 또는 LiOH 또는 NaOH와 같은 금속 하이드록사이드. 다른 실시양태에서, 조성물은 할라이드-함유 화합물 중 하나 이상을 함유하지 않거나 실질적으로 함유하지 않을 수 있는데, 예를 들어, 다음 중 하나 이상을 함유하지 않거나 실질적으로 함유하지 않을 수 있다: 불소-, 브롬-, 염소- 또는 요오드-함유 화합물. 다른 실시양태에서, 조성물은 인산 및/또는 질산 및/또는 염산을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 다른 실시양태에서, 조성물은 황산염 및/또는 질산염 및/또는 아황산염 및/또는 아질산염을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 다른 실시양태에서, 조성물은 암모늄 하이드록사이드 및/또는 에틸 디아민을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 다른 실시양태에서, 조성물은 나트륨-함유 화합물 및/또는 칼슘-함유 화합물 및/또는 망간-함유 화합물 또는 마그네슘-함유 화합물 및/또는 크롬-함유 화합물 및/또는 실란-함유 화합물 및/또는 인-함유 화합물을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 일부 실시양태는 알칸올아민 및/또는 하이드록실아민을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 다른 실시양태에서, 본 발명의 조성물은 산화제, 예를 들어, 과산화물, 예컨대 과산화수소, 퍼설페이트(예를 들어, 모노퍼설페이트 및/또는 디퍼설페이트), 과탄산염 및/또는 이들의 산 및/또는 이들의 염 및/또는 이들의 혼합물을 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 다른 실시양태에서, 본 발명의 조성물은 하나 이상의 산화제, 예를 들어, 산화 할라이드(예를 들어, 요오드산염, 과요오드산염, 및/또는 이들의 산, 및/또는 이들의 혼합물), 과붕산, 과붕산염, 과탄산염, 과산화산(예를 들어, 과아세트산, 과벤조산, 이들의 염) 과망간산 염, 세륨 화합물 및/또는 페리시아나이드(예를 들어, 칼륨 페리시아나이드)를 함유하지 않거나 실질적으로 함유하지 않을 수 있다.
본 명세서에서 사용되는 표제는 한정하려는 의도가 아니며; 오히려, 구성상의 목적으로만 포함된다.
본 명세서에 개시된 조성물은 마이크로 전자 장치의 제조 동안 적층된 표면 패턴 사이의 모세관력을 낮추어 패턴의 붕괴를 방지하는 매우 우수한 능력을 발휘하며, 여기서 적층된 표면 패턴은 50nm 이하의 스택 사이의 선-공간 치수를 가진다.
용매 시스템
본 명세서에 개시된 조성물은 물 및 수혼화성 유기 용매를 포함하는 용매 시스템을 포함한다. 용매 시스템은 표면 개질제를 용해시킬 뿐만 아니라 부분적으로는 조성물의 점도 및 표면 장력을 변화시키는 기능을 한다.
물의 일부 비제한적인 예는 탈이온수, 초순수, 증류수, 이중 증류수, 또는 낮은 금속 함량을 가지는 탈이온수를 포함한다. 용매 시스템의 물 성분은 바람직하게는 탈이온(DI)수이다.
대부분의 적용을 위해, 조성물에서 (수용액에서와 같은 다른 성분이 추가된 물을 포함하는 모든 공급원으로부터의) 물의 중량 퍼센트는 다음의 숫자 그룹으로부터 선택된 시작점과 종점의 범위에서 존재할 것이라고 여겨진다: 0.5, 1, 5, 10, 15, 20, 25, 30, 40, 50, 55, 60, 65, 70, 75, 80, 85, 90, 및 95. 조성물에 사용될 수 있는 물의 범위의 예는, 예를 들어, 약 50 중량% 내지 약 90 중량%, 또는 60 중량% 내지 약 90 중량%의 물; 또는 약 70 중량% 내지 약 90 중량%, 또는 약 50 중량% 내지 약 80 중량%, 또는 약 60 중량% 내지 약 85 중량%, 또는 약 75 중량% 내지 약 90 중량%; 또는 약 20 중량% 내지 약 90 중량%; 또는 약 30 중량% 내지 약 90 중량% 또는 약 60 중량% 내지 약 80 중량%; 또는 약 55 중량% 내지 약 80 중량%; 또는 약 55 중량% 내지 약 85 중량%의 물을 포함한다. 본 발명의 또 다른 바람직한 실시양태는 다른 성분의 원하는 중량 퍼센트를 달성하기 위한 양의 물을 포함할 수 있다.
용매 시스템의 제 2 성분은 수혼화성 유기 용매이다. 수혼화성 유기 용매는 유기 아민, 아마이드, 설폭사이드, 설폰, 락탐, 이미다졸리디논, 락톤, 다가 알콜, 글리콜 에테르, 글리콜 등을 포함한다. 유기 아민의 예는 모노에탄올아민(MEA), N-메틸에탄올아민(NMEA), 에틸렌디아민, 2-(2-아미노에틸아미노)에탄올, 디에탄올아민, 디프로판올아민, 2-에틸아미노에탄올, 디메틸아미노에탄올, 시클로헥시아놀아민, 벤질아민, 피롤, 피롤리딘, 피리딘, 모폴린, 피페리딘, 옥사졸 등을 포함한다. 아마이드의 예는 N,N-디메틸포름아마이드, 디메틸아세트아마이드(DMAC), 디에틸아세트아마이드 등을 포함한다. 설폭사이드의 예는 디메틸 설폭사이드를 포함한다. 설폰의 예는 디메틸 설폰 및 디에틸 설폰을 포함한다. 락탐의 예는 N-메틸-2-피롤리돈 및 이미다졸리디논을 포함한다. 락톤의 예는 부티로락톤 및 발레로락톤을 포함한다. 다가 알콜의 예는 에틸렌 글리콜, 프로필렌 글리콜, 및 글리세롤을 포함한다. 유기 용매의 추가예는 N-메틸피롤리디논(NMP), 디메틸포름아마이드, N-메틸포름아마이드, 포름아마이드, 디메틸-2-피페리돈(DMPD), 테트라하이드로푸르푸릴 알코올, 또는 하이드록시아마이드 또는 아미노 알코올과 같은 다작용성 화합물을 포함하지만, 이에 제한되지는 않는다. 상기 열거된 수혼화성 유기 용매는 단독으로 또는 2 이상의 용매를 조합해서 사용될 수 있다.
특정 실시양태에서, 수혼화성 유기 용매는 글리콜 에테르일 수 있다. 글리콜 에테르는 글리콜 모노(C1-C6)알킬 에테르 및 글리콜 디(C1-C6)알킬 에테르, 예컨대, (C1-C20)알칸 디올, (C1-C6)알킬 에테르, 및 (C1-C20)알칸 디올 디(C1-C6)알킬 에테르를 포함하나, 이에 제한되지는 않는다. 글리콜 에테르의 예는 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노부틸 에테르, 에틸렌 글리콜 디메틸 에테르, 에틸렌 글리콜 디에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노프로필 에테르, 디에틸렌 글리콜 모노이소프로필 에테르, 디에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노이소부틸 에테르, 디에틸렌 글리콜 모노벤질 에테르, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 디에틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 디메틸 에테르, 폴리에틸렌 글리콜 모노메틸 에테르, 디에틸렌 글리콜 메틸 에틸 에테르, 트리에틸렌 글리콜 메틸 에틸 에테르, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸렌 글리콜 모노메틸 에테르 아세테이트, 디프로필렌 글리콜 메틸 에테르(DPM), 트리프로필렌 글리콜 메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 모노부틸 에테르, 프로필렌 글리콜, 모노프로필 에테르, 디프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 모노프로필 에테르, 디프로필렌 글리콜 모노이소프로필 에테르, 디프로필렌 모노부틸 에테르, 디프로필렌 글리콜 디이소프로필 에테르, 트리프로필렌 글리콜 모노메틸 에테르, 1-메톡시-2-부탄올, 2-메톡시-1-부탄올, 2-메톡시-2-메틸부탄올, 1,1-디메톡시에탄 및 2-(2-부톡시에톡시)에탄올이다. 글리콜 에테르의 보다 전형적인 예는 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 트리(프로필렌 글리콜) 모노메틸 에테르, 2-(2-부톡시에톡시)에탄올 및 DPM이다.
대부분의 적용을 위해, 조성물에서 수혼화성 유기 용매의 양은 다음의 중량 퍼센트의 목록으로부터 선택된 시작점과 종점을 가지는 범위에 있을 수 있다고 여겨진다: 0.5, 1, 5, 7, 12, 15, 17, 20, 25, 28, 30, 32, 35, 40, 50, 59.5, 60, 65, 70, 75 및 80. 이러한 용매 범위의 예는 조성물의 약 0.5 중량% 내지 약 75 중량%; 또는 약 1 중량% 내지 약 60 중량%; 또는 약 5 중량% 내지 약 50 중량%; 또는 약 5 중량% 내지 약 60 중량%; 또는 약 15 중량% 내지 약 30 중량%; 또는 약 5 중량% 내지 약 30 중량%; 또는 약 15 중량% 내지 약 35 중량%; 또는 약 17 중량% 내지 약 32 중량%; 또는 약 25 중량% 내지 약 35 중량%; 또는 약 20 중량% 내지 약 40 중량%; 또는 약 28 중량% 내지 약 32 중량%를 포함한다.
본 명세서에 개시된 조성물에서, 용매 시스템(즉, 물과 수혼화성 유기 용매의 합)은 조성물의 5 내지 99.9 중량%, 또는 10 내지 99.6 중량% 또는 50 내지 99.9 중량% 또는 60 내지 99.6 중량% 또는 90 내지 99.9 중량%를 포함할 수 있다. 대안적으로, 용매 시스템의 양은 다음의 중량 퍼센트 중 어느 하나에 의해 정의되는 임의의 범위일 수 있다: 5, 10, 50, 60, 70, 75, 80, 85, 90, 91, 92, 93, 95, 97, 98, 99, 99.3, 99.6, 99.7 및 99.9. 일 실시양태에서, 용매 시스템은 다량(즉, 50 중량% 이상)의 하나 이상의 수혼화성 유기 용매 및 소량(즉, 50 중량% 미만)의 물을 함유할 수 있다. 대안적인 실시양태에서, 용매 시스템은 용매 시스템(물과 수혼화성 유기 용매의 합)의 총 중량을 기준으로 다량(즉, 50 중량% 이상)의 물 및 소량(즉, 50 중량% 미만)의 하나 이상의 수혼화성 유기 용매를 함유할 수 있다. 일 실시양태에서, 용매 시스템은 용매 시스템(물과 수혼화성 유기 용매의 합)의 총 중량을 기준으로 약 70% 물 및 약 30% 수혼화성 유기 용매를 포함한다. 또 다른 실시양태에서, 용매 시스템은 용매 시스템(물과 수혼화성 유기 용매의 합)의 총 중량을 기준으로 약 80% 물 및 약 20% 수혼화성 유기 용매를 포함한다. 다른 실시양태에서, 용매 시스템은 용매 시스템(물과 수혼화성 유기 용매의 합)의 총 중량을 기준으로 약 60% 물 및 약 40% 수혼화성 유기 용매를 포함한다. 또 다른 실시양태에서, 용매 시스템은 용매 시스템(물과 수혼화성 유기 용매의 합)의 총 중량을 기준으로 약 75% 물 및 약 25% 수혼화성 유기 용매를 포함한다.
표면 개질제
본 명세서에 개시된 조성물은 알킬아민과 유기산의 사이의 반응 생성물인 표면 개질제를 포함한다. 알킬아민 및 유기산은 성분을 첨가할 때 인시추(in situ) 반응하거나 조성물에 첨가하기 전에 반응될 수 있다.
알킬아민은 1차, 2차, 또는 3차일 수 있다. 적합한 알킬아민의 예는 메틸아민, 에틸아민, n-프로필아민, 이소프로필아민, n-부틸아민, 이소부틸아민, s-부틸아민, t-부틸아민, 펜틸아민, 헥실아민, 헵틸아민, 옥틸아민, 노닐아민, 데실아민, 운데실아민, 도데실아민, 트리데실아민, 테트라데실아민, 펜타데실아민, 헥사데실아민, 헵타데실아민, 옥타데실아민, 노나데실아민, 이코실아민, 에이코실아민, 헤니코실아민, 헤네이코실아민, 도코실아민, 트리코실아민, 테트라코실아민, 1-메틸부틸아민, 2-메틸부틸아민, 시클로프로필메틸아민, 시클로헥실메틸아민, 벤질아민, 2-메틸벤질아민, 4-메틸벤질아민, 1-페닐에틸아민, 2-페닐에틸아민, 3-아미노메틸피리딘, 1-(4-클로로페닐)에틸아민, 2-(2-클로로페닐)에틸아민, 1-(3-메톡시페닐)에틸아민, 1-(4-메톡시페닐)에틸아민, 2-(2-메톡시페닐)에틸아민, 2-(3-메톡시페닐)에틸아민, 2-(4-메톡시페닐)에틸아민, 1-[3-(트리플루오로메틸)페닐]에틸아민, 1-(1-나프틸)에틸아민, 1-(2-나프틸)에틸아민, 1-페닐프로필아민, 및 3-페닐프로필아민, 및 이들의 혼합물을 포함한다.
바람직한 실시양태에서, 알킬아민 성분은 1차 아민이다. 일반적으로 말하자면, 알킬기에서 탄소수가 증가함에 따라, 실리콘 기판상의 DIW 접촉각은 높아지고 표면 장력은 낮아지는 것으로 밝혀졌다. 1-도데실아민은 바람직한 알킬아민이다.
대부분의 적용을 위해, 조성물에서 알킬아민 성분의 양(용액 및 표면 개질제를 형성하는 반응 생성물의 일부로서 존재하는 총량, 즉, 용액에 첨가된 총량)은 조성물의 약 0.01 중량% 내지 약 5 중량%, 구체적으로, 조성물의 약 0.05 중량% 내지 약 5.0 중량%, 또는 보다 구체적으로, 조성물의 약 0.1 중량% 내지 약 4.5 중량%를 포함할 것이라고 여겨진다. 대안적으로 조성물에서 알킬아민 성분의 중량 퍼센트는 다음의 중량 퍼센트로부터 선택된 종점을 가지는 임의의 범위에 있을 수 있다: 0.01, 0.05, 0.1, 0.15, 0.2, 0.25, 0.3, 0.35, 0.4, 0.45, 0.5, 1, 2, 2.5, 3, 3.5, 4, 4.5 및 5. 일부 실시양태에서, 알킬아민 성분은 조성물의 약 0.1 중량% 내지 약 4.0 중량% 또는 약 0.3 내지 약 3.5 중량% 또는 약 0.1 내지 0.5 중량% 또는 약 0.3 내지 약 1.0 중량% 또는 약 0.05 내지 2 중량%, 또는 약 0.05 내지 약 3.5 중량% 또는 약 0.05 내지 1 중량% 또는 약 0.1 내지 약 3.5 중량% 또는 약 0.1 내지 1 중량% 또는 약 0.2 내지 약 1.0 중량% 또는 약 0.2 내지 약 1 중량%를 포함한다.
알킬아민과 반응하기 위한 유기산의 예는 지방족/방향족 카르복실산, 아미노 카르복실산, 술폰산및 아미노술폰산을 포함한다. 예시적인 카르복실산은 아세트산, 프로피온산, 부티르산, 펜탄산, 3-메틸부탄산, 헥산산, 헵탄산, 옥탄산, 노난산, 데칸산, 도데칸산, 트리데칸산, 테트라데칸산, 펜타데칸산, 헥사데칸산, 헵타데칸산, 옥타데칸산, 도데칸이산, 2-메틸헵탄산, 2-헥실데칸산, 옥살산, 말론산, 말레산, 푸마르산, 숙신산, 이타콘산, 글루타르산, 아디프산, 말산, 타르타르산, 아크릴산, 메타크릴산, 시트르산, 락트산, 글리콜산, 아스코르브산, 안트라닐산, 갈산, 벤조산, 이소프탈산, 프탈산, 트리멜리트산, 피로멜리트산, 살리실산, 2,4-디하이드록시 벤조산 등을 포함하나, 이에 제한되지는 않는다. 예시적인 아미노 카르복실산은 글리신, 디하이드록시 에틸 글리신, 알라닌, 발린, 류신, 아스파라긴, 글루타민, 아스파르트산, 글루타르산, 리신, 아르기닌, 이미노디아세트산, 니트릴로 트리아세트산, 에틸렌디아민 테트라아세트산, 1,2-시클로헥사디아민 테트라아세트산, 디에틸렌 트리아민 펜타아세트산 등을 포함하나, 이에 제한되지는 않는다. 예시적인 술폰산/아미노술폰산은 벤질 술폰산, p-톨루엔 술폰산, 2-(N-모르폴리노)에탄술폰산, N-(2-하이드록시에틸)피페라진-N'-(에탄술폰산), 3-[N,N-비스(2-하이드록시에틸)아미노]-2-하이드록시프로판술폰산, 4-(N-모르폴리노)부탄술폰산, N-(2-하이드록시에틸)피페라진-N'-(2-하이드록시프로판술폰산), N-(2-하이드록시에틸)피페라진-N'-(3-프로판술폰산), 2-(N-시클로헥실아미노)에탄술폰산 및 이들의 혼합물을 포함하나, 이에 제한되지는 않는다. p-톨루엔 술폰산이 바람직한 유기산이다.
대부분의 적용을 위해, 조성물에서 유기산 성분의 양은 조성물의 약 0.01 중량% 내지 약 5 중량%, 구체적으로, 조성물의 약 0.05 중량% 내지 약 5.0 중량%, 보다 구체적으로, 조성물의 약 0.1 중량% 내지 약 4.5 중량%를 포함할 것이라고 여겨진다. 대안적으로 조성물에서 유기산 성분의 중량 퍼센트(용액 및 표면 개질제를 형성하는 반응 생성물의 일부로서 존재하는 총량, 즉, 용액에 첨가된 총량)는 다음의 중량 퍼센트로부터 선택된 종점을 가지는 임의의 범위에 있을 수 있다: 0.01, 0.05, 0.1, 0.15, 0.2, 0.25, 0.3, 0.35, 0.4, 0.45, 0.5, 0.7, 0.9, 1, 1.5, 2, 2.5, 3, 3.5, 4, 4.5 및 5. 일부 실시양태에서, 유기산 성분은 조성물의 약 0.1 중량% 내지 약 4.0 중량%, 및 보다 구체적으로, 약 0.2 내지 약 3.5 중량% 또는 약 0.3 내지 약 1.0 중량%를 포함한다.
일부 실시양태에서, 유기산 및 알킬아민은 1:2 내지 2:1의 중량비로 첨가되어, 반응하여 표면 개질제를 형성한다. 다른 실시양태에서, 유기산 및 알킬아민은 약 1:1의 중량비로 첨가되어 표면 개질제를 형성한다.
pH 조절제(임의 사항)
바람직하게, 개시된 사용되는 조성물의 pH는 약 1.0 내지 약 7.0이다. 더욱 바람직하게, 개시된 사용되는 조성물의 pH는 약 1.0 내지 약 5.0이다. 가장 바람직하게, 개시된 사용되는 조성물의 pH는 약 1.0 내지 약 3.0이다.
필요한 경우, 임의의 pH 조절제 성분은 전술한 범위 내의 값으로 조성물의 pH를 조절하기 위해 필요에 따라 임의의 산 또는 염기일 수 있다. pH 조절제는 조성물의 안정성 개선, 조성물의 이온 강도 조정, 및 취급 및 사용 시 안전성 개선을 위해 사용될 수 있다. 폴리싱(polishing) 조성물의 pH를 낮추기 위한 적합한 pH 조절제는 질산, 황산, 타르타르산, 숙신산, 시트르산, 말산, 말론산, 다양한 지방산, 다양한 폴리카르복실산 및 이들의 혼합물을 포함하지만, 이에 제한되지는 않는다. 조성물의 pH를 올리기 위한 적합한 pH 조절제는 수산화 칼륨, 수산화 나트륨, 암모니아, 테트라에틸암모늄 하이드록사이드, 에틸렌디아민, 피페라진, 폴리에틸렌이민, 개질된 폴리에틸렌이민 및 이들의 혼합물을 포함하지만, 이에 제한되지는 않는다.
일부 실시양태에서, 표면 개질제의 성분으로서 사용되는 여분의 유기산은 필요한 경우 pH를 낮추기 위해 첨가될 수 있고 표면 개질제의 제 2 성분으로서 사용되는 여분의 알킬아민은 필요한 경우 pH를 올리기 위해 첨가될 수 있다.
pH 조절제의 양은, 조성물에 존재하는 경우, 전형적으로 조성물의 총 중량에 대하여 약 0.0001 중량% 내지 약 5 중량% 범위이다. 바람직한 범위는 약 0.01 중량% 내지 약 1 중량%이다.
기타 임의 성분
본 발명의 조성물은 또한 다음의 첨가제를 하나 이상 포함할 수 있다: 킬레이트제, 화학적 개질제, 염료, 살생물제, 및 기타 첨가제. 첨가제(들)는 조성물의 성능에 불리하게 영향을 미치지 않는 정도로 첨가될 수 있다. 본 발명의 조성물은 또한 킬레이트제, 화학적 개질제, 염료, 살생물제 및 기타 첨가제를 함유하지 않거나 실질적으로 함유하지 않을 수 있다. 본 발명의 조성물은 계면 활성제를 함유하지 않거나 실질적으로 함유하지 않을 수 있다.
방법
또 다른 측면에서 50㎚ 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하는 방법으로서 다음을 포함하는 수성 조성물로 기판을 린스하는 단계를 포함하는 방법을 제공한다: 물 및 수혼화성 유기 용매를 포함하는 용매 시스템; 알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및 임의의 pH 조절제.
접촉하는 단계 후에는 임의의 린스하는 단계이다. 린스하는 단계는 예를 들어, 침지, 스프레이, 또는 단일 웨이퍼 공정을 통하는 것과 같은 임의의 적절한 수단에 의해 수행될 수 있다. 접촉하는 단계 동안 조성물의 온도는 바람직하게는 약 25 내지 125 ℃, 더욱 바람직하게는 약 25 내지 80 ℃이다.
접촉하는 단계 후에는 임의의 린스하는 단계이다. 린스하는 단계는 예를 들어, 침지 또는 분무 기술에 의해 탈이온수로 기판을 린스하는, 임의의 적절한 수단에 의해 수행될 수 있다. 바람직한 실시양태에서, 린스하는 단계는 탈이온수와 예를 들어, 이소프로필 알코올과 같은 유기 용매의 혼합물을 사용하여 수행될 수 있다.
접촉하는 단계 및 임의의 린스하는 단계 후에는 예를 들어, 이소프로필 알코올(IPA) 증기 건조, 열, 또는 구심력에 의한, 임의의 적절한 수단에 의해 수행되는 임의의 건조하는 단계이다.
본 발명의 표면 처리 단계는 표면 처리 단계 이전에 하나 이상의 추가 린스 및/또는 건조하는 단계와 함께 또는 없이, 기판을 에칭하여 패턴을 그 위에 형성한 후에 사용될 수 있다. 추가적으로 및 대안적으로, 본 발명의 표면 처리 단계는 표면 처리 단계 이전에 하나 이상의 추가 린스 및/또는 건조하는 단계와 함께 또는 없이, 포토레지스트 세정 단계 후에 사용될 수 있다. 이 방법은 반도체 장치를 위한 물질의 스택이 단일층 또는 임의의 순서의 다중층으로 실리콘, 실리콘 산화물 및 실리콘 질화물과 같은 상이한 물질을 포함할 때 특히 유용하다. 스택은 물리적 기상 증착, 화학적 기상 증착, 전기화학적 증착 및 분자 빔 에피택시를 포함하는 다수의 방법에 의해 형성될 수 있다. 스택 순서는 실리콘 산화물 트렌치를 형성하는 실리콘 기판 위의 실리콘 산화물층일 수 있다. 기술적 노드가 수축함에 따라, 더 많은 층이 스택에 사용될 수 있으며, 예를 들어, 스택은 하부의 실리콘층 위에 중간의 실리콘 산화물층 및 최상부의 실리콘 질화물층을 포함할 수 있다. 이러한 및 기타 새로운 스택 구조물의 패턴 붕괴를 방지하기 위해, 표면 개질 화학은 실리콘 질화물 및 실리콘과 같은 다른 층뿐만 아니라 실리콘 산화물 표면에도 영향을 미쳐야 한다.
특징 및 장점은 이하에 논의되는 예시적인 실시예에 의해 더욱 완전하게 보여준다.
실시예
세정 조성물을 제조하기위한 일반적인 절차
250 mL의 비커에서 1" 테플론(Teflon) 코팅된 교반 막대로 성분들을 혼합하여 본 실시예의 대상이 되는 모든 조성물을 제조하였다. 일반적으로, 비커에 첨가한 첫번째 물질은 탈이온(DI)수였고, 다른 성분들을 특별한 순서없이 뒤이어 첨가하였다.
기판의 조성
평가된 기판은 TEOS 또는 SiN층을 가진 실리콘 웨이퍼 및 베어(bare) 실리콘 웨이퍼였다.
공정 조건
접촉각 테스트를 위해, Si 웨이퍼를 2 cm X 3 cm 조각으로 절단하였다. DHF 전처리 및 표면 개질 공정은 모두 500 rpm으로 설정된 1" 길이 테플론 교반 막대와 함께 150 ml 비커에서 100 g의 조성물을 사용하여 수행하였다.
DHF 전처리를 위해, 자연 산화물(native oxide)을 제거하기 위해 교반하면서 테스트 Si 쿠폰(coupon)을 1분 동안 실온에서 희석된 불산(DHF: diluted hydrofluoric acid)(5% HF 9.8 g 및 DIW 91.2 g의 혼합물)에 침지하였다. 이어서, 조각을 DI 수조에서 3분 동안 린스하고 여과된 질소를 사용하여 건조시켰다.
DHF 전처리에 뒤이어 표면 개질 처리를 하였고, 교반하면서 DHF 처리된 Si 쿠폰을 5분 동안 실온에서 표면 개질제 조성물에 침지한 후, 여과된 질소를 사용하여 건조시켰다.
DHF 전처리, 린스, 건조, 본 발명의 표면 처리 조성물과의 접촉, 및 건조 후 또는 DHF 전처리 및 건조 직후, 표면 개질된 Si 쿠폰 위에서 물 접촉각을 접촉각 측정기 KRUSS MSA로 측정하였다. 각각의 경우, DIW의 액적(droplet)을 웨이퍼 조각의 표면과 접촉시킨 후 접촉각을 측정하였다.
실시예 1 : 다양한 산 및 알킬아민에서의 알킬 사슬 길이를 사용한 표면 처리 조성물의 평가
표 1은 다양한 산을 포함하는 5개의 조성물을 열거하고 접촉각에 대한 영향을 나타낸다.
표 2는 알킬아민에서의 알킬 사슬 길이를 달리하는 5개의 조성물을 열거하며, 여기서 CTAB는 세틸트리메틸암모늄 브로마이드이다. DPM은 디프로필렌 글리콜 메틸 에테르이다.
표 2는 실리콘 기판 위의 접촉각에 대한 알킬 사슬 길이의 영향을 나타낸다. 비교예에서, 웨이퍼 조각을 DHF 전처리 하였으나, 웨이퍼 조각에 표면 처리를 접촉하지 않았다.
Figure 112020044839767-pct00001
Figure 112020044839767-pct00002
Figure 112020044839767-pct00003
표 1 및 표 3은 표면 처리 조성물에 대한 결과를 제공한다. "C.A."는 접촉각이다. "C.A. 베어 Si 위의 표면 처리 조성물"에 대해, 기재한 바와 같이 DHF로 전처리, 린스 및 건조한 후에 Si 웨이퍼 위에서 표면 처리 조성물의 액적에 대한 접촉각을 측정했다. "C.A. 표면 처리 후 베어 Si 위의 DIW"에 대해, 표면을 C.A. 테스트 이전에 DHF 전처리, 린스, 건조, 본 발명의 표면 처리 조성물과의 접촉 및 건조시켰다.
같은 테스트를 표 4에서 보고된 것처럼 TEOS 및 SiN으로 각각 코팅된 웨이퍼에 대해 조성물 D로 반복했다.
Figure 112020044839767-pct00004
전술한 설명은 주로 예시의 목적을 위한 것이다. 본 발명을 예시적인 실시양태와 관련하여 나타내고 설명하였지만, 본 발명의 취지 및 범위를 벗어나지 않으면서 본 발명의 형태 및 이의 세부 사항에서 전술 및 다양한 다른 변화, 생략 및 추가가 이루어질 수 있다는 것이 당업자에게 이해되어야 한다.

Claims (22)

  1. 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하여 패턴의 붕괴를 방지하기 위한 수성 조성물로서,
    물 및 수혼화성 유기 용매를 포함하는 용매 시스템;
    알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및
    임의의 pH 조절제를 포함하고,
    유기산은 p-톨루엔술폰산이고,
    조성물의 pH는 1 내지 5인, 수성 조성물.
  2. 제 1 항에 있어서, 용매 시스템은 물과 디프로필렌 글리콜 메틸 에테르를 포함하는 것인 수성 조성물.
  3. 제 2 항에 있어서, 물은 용매 시스템의 총 중량을 기준으로 70 wt%로 존재하고, 디프로필렌 글리콜 메틸 에테르는 용매 시스템의 총 중량을 기준으로 30 wt%로 존재하는 것인 수성 조성물.
  4. 삭제
  5. 제 1 항에 있어서, 알킬아민은 1차 아민인 수성 조성물.
  6. 제 1 항에 있어서, 알킬아민은 2차 아민인 수성 조성물.
  7. 제 1 항에 있어서, 알킬아민은 3차 아민인 수성 조성물.
  8. 제 5 항에 있어서, 알킬아민은 도데실아민인 수성 조성물.
  9. 제 1 항에 있어서, pH 조절제는 유기산을 포함하는 것인 수성 조성물.
  10. 삭제
  11. 50nm 이하의 선-공간 치수를 가지는 패턴을 포함하는 기판을 처리하는 방법으로서,
    물 및 수혼화성 유기 용매를 포함하는 용매 시스템;
    알킬아민과 유기산 사이의 반응 생성물인 표면 개질제; 및
    임의의 pH 조절제를 포함하는 수성 조성물과 상기 기판을 접촉시킴으로써 상기 기판을 린스하는 단계를 포함하며,
    상기 패턴의 붕괴가 실질적으로 방지되고,
    유기산은 p-톨루엔술폰산이고,
    조성물의 pH는 1 내지 5인, 방법.
  12. 제 11 항에 있어서, 접촉시키는 단계는 25 내지 125 ℃의 온도에서 일어나는 것인 방법.
  13. 제 11 항에 있어서, 접촉시키는 단계는 25 내지 80 ℃의 온도에서 일어나는 것인 방법.
  14. 제 11 항에 있어서, 용매 시스템은 물 및 디프로필렌 글리콜 메틸 에테르를 포함하는 것인 방법.
  15. 제 11 항에 있어서, 물은 용매 시스템의 총 중량을 기준으로 70 wt%로 존재하고, 디프로필렌 글리콜 메틸 에테르는 용매 시스템의 총 중량을 기준으로 30 wt%로 존재하는 것인 방법.
  16. 삭제
  17. 제 11 항에 있어서, 알킬아민은 1차 아민인 방법.
  18. 제 11 항에 있어서, 알킬아민은 2차 아민인 방법.
  19. 제 11 항에 있어서, 알킬아민은 3차 아민인 방법.
  20. 제 17 항에 있어서, 알킬아민은 도데실아민인 방법.
  21. 제 11 항에 있어서, pH 조절제는 유기산을 포함하는 것인 방법.
  22. 삭제
KR1020207012737A 2017-09-29 2018-09-28 건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법 KR102349661B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762565266P 2017-09-29 2017-09-29
US62/565,266 2017-09-29
US16/141,735 2018-09-25
US16/141,735 US10954480B2 (en) 2017-09-29 2018-09-25 Compositions and methods for preventing collapse of high aspect ratio structures during drying
PCT/US2018/053299 WO2019067833A2 (en) 2017-09-29 2018-09-28 COMPOSITIONS AND METHODS FOR PREVENTING THE SAGGING OF HEIGHT / HIGH WIDTH RATIO STRUCTURES DURING DRYING

Publications (2)

Publication Number Publication Date
KR20200051837A KR20200051837A (ko) 2020-05-13
KR102349661B1 true KR102349661B1 (ko) 2022-01-11

Family

ID=65903117

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207012737A KR102349661B1 (ko) 2017-09-29 2018-09-28 건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법

Country Status (9)

Country Link
US (1) US10954480B2 (ko)
EP (1) EP3688131B1 (ko)
JP (1) JP7100698B2 (ko)
KR (1) KR102349661B1 (ko)
CN (1) CN111164195B (ko)
IL (1) IL273544A (ko)
SG (1) SG11202002755SA (ko)
TW (1) TWI760566B (ko)
WO (1) WO2019067833A2 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11270909B2 (en) 2020-01-27 2022-03-08 Micron Technology, Inc. Apparatus with species on or in conductive material on elongate lines
KR102655599B1 (ko) * 2023-07-17 2024-04-08 와이씨켐 주식회사 반도체 패턴 쓰러짐 방지용 코팅 조성물 및 이를 이용하여 코팅된 패턴

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147476A (ja) * 2008-12-17 2010-07-01 Air Products & Chemicals Inc CoWPおよび多孔質誘電体用湿式洗浄組成物
JP2011243610A (ja) 2010-05-14 2011-12-01 Fujifilm Corp 洗浄組成物、半導体装置の製造方法及び洗浄方法
JP2013537724A (ja) * 2010-08-27 2013-10-03 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 乾燥間の高アスペクト比構造崩壊を防止する方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4027494B2 (ja) 1998-04-07 2007-12-26 花王株式会社 リンス剤組成物
US8617993B2 (en) 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
US8828144B2 (en) * 2010-12-28 2014-09-09 Central Grass Company, Limited Process for cleaning wafers
JP2013118347A (ja) * 2010-12-28 2013-06-13 Central Glass Co Ltd ウェハの洗浄方法
JP6119285B2 (ja) * 2012-03-27 2017-04-26 三菱瓦斯化学株式会社 微細構造体のパターン倒壊抑制用処理液及びこれを用いた微細構造体の製造方法
US9570343B2 (en) 2012-06-22 2017-02-14 Avantor Performance Materials, Llc Rinsing solution to prevent TiN pattern collapse
US8957006B2 (en) 2013-03-11 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning solution comprising an ether acetate for preventing pattern collapse
JP6875630B2 (ja) * 2015-08-20 2021-05-26 セントラル硝子株式会社 ウェハの洗浄方法及び該洗浄方法に用いる薬液

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147476A (ja) * 2008-12-17 2010-07-01 Air Products & Chemicals Inc CoWPおよび多孔質誘電体用湿式洗浄組成物
JP2011243610A (ja) 2010-05-14 2011-12-01 Fujifilm Corp 洗浄組成物、半導体装置の製造方法及び洗浄方法
JP2013537724A (ja) * 2010-08-27 2013-10-03 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 乾燥間の高アスペクト比構造崩壊を防止する方法

Also Published As

Publication number Publication date
TWI760566B (zh) 2022-04-11
JP2020536379A (ja) 2020-12-10
SG11202002755SA (en) 2020-04-29
IL273544A (en) 2020-05-31
EP3688131A2 (en) 2020-08-05
WO2019067833A2 (en) 2019-04-04
EP3688131A4 (en) 2021-08-25
EP3688131B1 (en) 2023-08-09
KR20200051837A (ko) 2020-05-13
TW201920640A (zh) 2019-06-01
US20190119610A1 (en) 2019-04-25
WO2019067833A3 (en) 2019-05-31
CN111164195B (zh) 2022-01-21
US10954480B2 (en) 2021-03-23
CN111164195A (zh) 2020-05-15
JP7100698B2 (ja) 2022-07-13

Similar Documents

Publication Publication Date Title
EP3447791B1 (en) Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device
KR102396018B1 (ko) 반도체 디바이스의 제조 과정에서 규소-게르마늄/규소 스택으로부터 규소 및 규소-게르마늄 합금을 동시 제거하기 위한 에칭 용액
CN110240907B (zh) 用于在半导体器件制造期间从硅-锗/锗叠层选择性除去硅-锗合金的蚀刻溶液
JP5015508B2 (ja) ストリッパー
TW201920613A (zh) 於製造一半導體裝置時用於從一矽-鍺/矽堆疊相對矽-鍺合金選擇性移除矽的蝕刻組合物
WO2019051053A1 (en) COMPOSITIONS AND METHODS FOR ETCHING SUBSTRATES CONTAINING SILICON NITRIDE
KR102283745B1 (ko) 반도체 소자의 제조 동안 질화티탄에 비해 질화탄탈을 선택적으로 제거하기 위한 에칭액
KR102349661B1 (ko) 건조 중 높은 종횡비 구조물의 붕괴를 방지하기 위한 조성물 및 방법
CA3165634A1 (en) Siloxane derivatives of amino acids surfactants for electronics
EP3983499A1 (en) Liquid compositions for selectively removing polysilicon over p-doped silicon and silicon-germanium during manufacture of a semiconductor device
TW201835322A (zh) 一種含氟清洗液
JP7527313B2 (ja) 半導体デバイスの製造中にp-ドープされたシリコン及びシリコン―ゲルマニウムに対してポリシリコンを選択的に除去するための液体組成物
KR102683222B1 (ko) 플루오라이드를 기초로 한 세정 조성물
JP2023515005A (ja) フォトレジスト除去用ストリッパー組成物およびこれを用いたフォトレジストの剥離方法
JP2009289774A (ja) 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant