KR102310801B1 - Methods for forming interconnect layers having tight pitch interconnect structures - Google Patents

Methods for forming interconnect layers having tight pitch interconnect structures Download PDF

Info

Publication number
KR102310801B1
KR102310801B1 KR1020167016684A KR20167016684A KR102310801B1 KR 102310801 B1 KR102310801 B1 KR 102310801B1 KR 1020167016684 A KR1020167016684 A KR 1020167016684A KR 20167016684 A KR20167016684 A KR 20167016684A KR 102310801 B1 KR102310801 B1 KR 102310801B1
Authority
KR
South Korea
Prior art keywords
trench
forming
backbone
hardmask
depositing
Prior art date
Application number
KR1020167016684A
Other languages
Korean (ko)
Other versions
KR20160110945A (en
Inventor
크리스토퍼 제이. 예체프스키
자스미트 에스. 차울라
칸월 지트 싱
앨런 엠. 마이어스
엘리엇 엔. 탄
리차드 이. 쉔커
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20160110945A publication Critical patent/KR20160110945A/en
Application granted granted Critical
Publication of KR102310801B1 publication Critical patent/KR102310801B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

유전체 층 내에 조밀 피치 인터커넥트 구조체들을 갖는 인터커넥션 층들을 형성하기 위한 프로세스들이 개시되는데, 여기서 인터커넥트 구조체들을 형성하는데 이용되는 트렌치들 및 비아들은 금속화 이전에 비교적 낮은 종횡비들을 갖는다. 낮은 종횡비들은 금속화 재료가 퇴적될 때 이러한 금속화 재료 내에 보이드들이 형성될 가능성을 감소시키거나 실질적으로 제거할 수 있다. 본 명세서에서의 실시예들은, 금속화 이전에, 트렌치들 및 비아들을 형성하기 위해 이용되는 구조체들의 제거를 허용하는 프로세스들을 통해 이러한 비교적 낮은 종횡비들을 달성할 수 있다.Processes are disclosed for forming interconnection layers having dense pitch interconnect structures in a dielectric layer, wherein trenches and vias used to form the interconnect structures have relatively low aspect ratios prior to metallization. Low aspect ratios can reduce or substantially eliminate the likelihood of voids forming in the metallization material as it is deposited. Embodiments herein may achieve these relatively low aspect ratios through processes that allow removal of structures used to form trenches and vias prior to metallization.

Description

조밀 피치 인터커넥트 구조체들을 갖는 인터커넥트 층들을 형성하기 위한 방법들{METHODS FOR FORMING INTERCONNECT LAYERS HAVING TIGHT PITCH INTERCONNECT STRUCTURES}METHODS FOR FORMING INTERCONNECT LAYERS HAVING TIGHT PITCH INTERCONNECT STRUCTURES

본 설명의 실시예들은 일반적으로 마이크로전자 디바이스 제조 분야에 관한 것이며, 더 구체적으로는 유전체 층 내에 조밀 피치 인터커넥트 구조체들을 갖는 인터커넥션 층들을 형성하는 것에 관한 것이다. 인터커넥트 구조체들을 형성하는데 이용되는 트렌치들 및 비아들은 금속화 이전에 비교적 낮은 종횡비들을 갖도록 제조되는데, 여기서 낮은 종횡비들은 금속화 재료가 퇴적될 때 이러한 금속화 재료 내에 보이드들이 형성될 가능성을 감소시키거나 실질적으로 제거한다.BACKGROUND Embodiments of the present description relate generally to the field of microelectronic device fabrication, and more particularly to forming interconnection layers having dense pitch interconnect structures in a dielectric layer. The trenches and vias used to form the interconnect structures are fabricated to have relatively low aspect ratios prior to metallization, where the low aspect ratios reduce or substantially reduce the likelihood of voids forming in the metallization material as it is deposited. removed with

마이크로전자 산업은, 휴대용 컴퓨터, 전자 태블릿, 셀룰러 폰, 디지털 카메라 등과 같은 다양한 모바일 전자 제품에서 이용하기 위한 훨씬 더 빠르고 더 작은 마이크로전자 디바이스들을 제조하기 위해 지속적으로 노력하고 있다. 이러한 목적들이 달성됨에 따라, 마이크로전자 디바이스들의 제조는 더 어려워지고 있다. 하나의 이러한 도전과제 분야는, 마이크로전자 칩 상에서 개별 디바이스들을 접속하고/하거나 개별 디바이스(들) 외부에서 신호들을 송신 및/또는 수신하는데 이용되는 인터커넥트 층들에 관한 것이다. 인터커넥트 층들은 일반적으로 개별 디바이스들에 연결되는 구리 및 구리 합금과 같은 전도성 인터커넥트들(라인들)을 갖는 유전체 재료를 포함한다. 인터커넥트들(라인들)은 일반적으로 금속 라인 부분과 금속 비아 부분을 포함하는데, 금속 라인 부분은 유전체 재료 내의 트렌치에 형성되고, 금속 비아 부분은 트렌치로부터 유전체 재료를 통해 연장되는 비아 개구 내에 형성된다. 원하는 전기적 접속들을 달성하기 위해 복수의 인터커넥션 층(예를 들어, 5 또는 6 레벨)이 형성될 수 있다고 이해된다.The microelectronics industry is constantly striving to manufacture much faster and smaller microelectronic devices for use in a variety of mobile electronic products such as portable computers, electronic tablets, cellular phones, digital cameras, and the like. As these objectives are achieved, the fabrication of microelectronic devices becomes more difficult. One such area of challenge relates to interconnect layers used to connect discrete devices on a microelectronic chip and/or transmit and/or receive signals external to the discrete device(s). Interconnect layers generally include a dielectric material having conductive interconnects (lines), such as copper and copper alloy, that are connected to individual devices. The interconnects (lines) generally include a metal line portion and a metal via portion, the metal line portion formed in a trench in a dielectric material, and the metal via portion formed in a via opening extending from the trench through the dielectric material. It is understood that multiple interconnection layers (eg, 5 or 6 levels) may be formed to achieve the desired electrical connections.

이들 인터커넥트는 더 작은 피치들(예를 들어, 더 좁고/좁거나 함께 더 근접함)로 제조되므로, 원하는 인터커넥트 층 내에 그리고 그 사이에 트렌치들 및 비아들을 적절히 정렬시키는 것은 더욱 더 어려워지고 있다. 특히, 제조 중에, 비아 에지들이 접촉하는 인터커넥트 층 또는 라인에 대한 비아 에지들의 위치는 자연적인 제조 편차로 인해 변동(예를 들어, 오정렬)될 것이다. 그러나, 비아는, 상이한 인터커넥트 층 또는 라인에는 잘못 접속시키지 않으면서 하나의 인터커넥트 층을 원하는 하부 인터커넥트 층 또는 라인에 접속시키는 것을 허용해야 한다. 비아가 오정렬되어 잘못된 금속 피처에 접촉하는 경우(예를 들어, 아래에 있는 라인에 이르지 못하고/못하거나 2개의 라인을 접속시키는 경우), 마이크로전자 칩은 단락되어, 전기적 성능이 열화될 수 있다. 이러한 문제를 처리하기 위한 한가지 해결책은 트렌치 및 비아 크기를 감소시키는 것(예를 들어, 비아를 더 좁게 만드는 것)이다. 그러나, 트렌치 및 비아 크기를 감소시키는 것은 트렌치 및 비아의 개구들의 종횡비가 높을 수 있다는 것을 의미한다. 본 기술분야의 통상의 기술자에게 이해되는 바와 같이, 높은 종횡비는 인터커넥트들을 형성하는데 이용되는 전도성 재료(금속화)의 퇴적 중의 보이드 형성(voiding)으로 인해 잠재적인 수율 감소를 초래할 수 있다.As these interconnects are fabricated with smaller pitches (eg, narrower and/or closer together), it becomes increasingly difficult to properly align trenches and vias in and between the desired interconnect layer. In particular, during manufacturing, the position of via edges relative to an interconnect layer or line with which the via edges contact will fluctuate (eg, misalign) due to natural manufacturing variations. However, vias should allow connecting one interconnect layer to a desired underlying interconnect layer or line without erroneously connecting different interconnect layers or lines. If the vias are misaligned and contact the wrong metal feature (eg, failing to reach the underlying line and/or connecting two lines), the microelectronic chip can short circuit and degrade electrical performance. One solution to address this problem is to reduce the trench and via size (eg, make the via narrower). However, reducing the trench and via size means that the aspect ratio of the openings in the trench and via can be high. As will be appreciated by those skilled in the art, high aspect ratios can result in potential yield reductions due to voiding during deposition of the conductive material (metallization) used to form the interconnects.

본 개시내용의 발명 대상은 본 명세서의 결론 부분에서 구체적으로 지적되며 명백하게 청구된다. 본 개시내용의 전술한 특징들 및 다른 특징들은 첨부 도면들과 함께 취해지는 경우에 다음의 설명 및 첨부 청구항들로부터 보다 충분히 명백해질 것이다. 첨부 도면들은 본 개시내용에 따른 수개의 실시예만을 도시하므로, 그것의 범위를 제한하는 것으로 고려되어서는 안 된다는 것이 이해된다. 본 개시내용은 본 개시내용의 이점들이 보다 손쉽게 확인될 수 있도록 첨부 도면들을 이용하여 추가로 구체적이며 상세하게 설명될 것이다.
도 1 내지 도 28은 본 설명의 실시예에 따른 인터커넥션 층을 형성하는 방법의 단면도를 예시한다.
도 29는 본 설명의 실시예에 따른 인터커넥션 층을 제조하는 프로세스의 플로우차트이다.
도 30은 본 설명의 일 구현에 따른 컴퓨팅 디바이스를 예시한다.
The subject matter of the present disclosure is specifically pointed out and explicitly claimed in the concluding part of the specification. The foregoing and other features of the present disclosure will become more fully apparent from the following description and appended claims when taken in conjunction with the accompanying drawings. It is to be understood that the accompanying drawings illustrate only several embodiments in accordance with the present disclosure and should not be considered as limiting the scope thereof. The present disclosure will be described in further detail and detail with reference to the accompanying drawings so that the advantages of the present disclosure may be more readily ascertained.
1-28 illustrate cross-sectional views of a method of forming an interconnection layer in accordance with an embodiment of the present description.
29 is a flowchart of a process for manufacturing an interconnection layer according to an embodiment of the present description.
30 illustrates a computing device according to one implementation of the present description.

다음의 상세한 설명에서, 청구된 발명 대상이 실시될 수 있는 특정 실시예들을 예시로서 도시하는 첨부 도면들에 대한 참조가 이루어진다. 이러한 실시예들은 본 기술분야의 통상의 기술자가 이 발명 대상을 실시할 수 있게 하도록 충분히 상세하게 설명된다. 다양한 실시예들은, 상이하지만, 반드시 상호 배타적이지는 않다는 것이 이해되어야 한다. 예를 들어, 일 실시예와 관련하여, 본 명세서에 설명된 특정 특징, 구조 또는 특성은 청구된 발명 대상의 사상 및 범위로부터 벗어나지 않고 다른 실시예들 내에서 구현될 수 있다. 본 명세서 내에서의 "일 실시예(one embodiment)" 또는 "실시예(an embodiment)"에 대한 언급은, 이 실시예와 관련하여 설명된 특정 특징, 구조 또는 특성이 본 설명 내에 포함된 적어도 하나의 구현에 포함됨을 의미한다. 그러므로, "일 실시예" 또는 "실시예에서"라는 구문의 이용은 반드시 동일한 실시예를 지칭하지는 않는다. 추가로, 각각의 개시된 실시예 내의 개별 요소들의 위치 또는 배열은 청구된 발명 대상의 사상 및 범위로부터 벗어나지 않고 수정될 수 있다는 것이 이해되어야 한다. 그러므로, 다음의 상세한 설명은 제한의 의미로 취해져서는 안 되며, 이 발명 대상의 범위는, 청구항들에 부여되는 등가물들의 전체 범위와 함께, 적절하게 해석되는 첨부 청구항들에 의해서만 정의된다. 도면들에서, 유사한 번호들은 수개의 도면들 전체에 걸쳐 동일하거나 유사한 요소 또는 기능성을 언급하고, 거기에 도시된 요소들은 반드시 서로 일정한 비율로 이루어지는 않으며, 오히려 개별 요소들은 본 설명의 콘텍스트에서 요소들을 보다 용이하게 이해하기 위해서 확대되거나 축소될 수 있다.In the following detailed description, reference is made to the accompanying drawings, which show by way of illustration specific embodiments in which the claimed subject matter may be practiced. These embodiments are described in sufficient detail to enable any person skilled in the art to practice the subject matter. It should be understood that the various embodiments, while different, are not necessarily mutually exclusive. For example, in connection with one embodiment, a particular feature, structure, or characteristic described herein may be implemented in other embodiments without departing from the spirit and scope of the claimed subject matter. Reference to “one embodiment” or “an embodiment” in this specification is at least one specific feature, structure, or characteristic described in connection with this embodiment is included in this description. means to be included in the implementation of Therefore, use of the phrases “in one embodiment” or “in an embodiment” is not necessarily referring to the same embodiment. Additionally, it should be understood that the location or arrangement of individual elements within each disclosed embodiment may be modified without departing from the spirit and scope of the claimed subject matter. Therefore, the following detailed description is not to be taken in a limiting sense, the scope of the subject matter being defined only by the appended claims being properly construed, along with the full scope of equivalents to which they are assigned. In the drawings, like numbers refer to the same or similar elements or functionality throughout the several drawings, and the elements depicted therein are not necessarily to scale with one another, rather, individual elements refer to elements in the context of this description. It may be enlarged or reduced for ease of understanding.

본 명세서에서 이용된 바와 같은 "위에(over)", "에(to)", "사이에(between)" 및 "상에(on)"라는 용어들은 하나의 층의 다른 층들에 대한 상대적인 위치를 언급할 수 있다. 하나의 층이 다른 층 "위에" 또는 "상에" 있거나 다른 층"에" 본딩되는 것은 다른 층과 직접적으로 접촉할 수 있거나, 또는 하나 이상의 개재하는 층을 가질 수 있다. 하나의 층이 층들 "사이에" 있는 것은 이러한 층들과 직접적으로 접촉할 수 있거나, 또는 하나 이상의 개재하는 층을 가질 수 있다.As used herein, the terms “over”, “to”, “between” and “on” refer to the position of one layer relative to other layers. can be mentioned One layer that is "on" or "on" or bonded to" another layer may be in direct contact with the other layer, or may have one or more intervening layers. One layer “between” the layers may be in direct contact with these layers, or may have one or more intervening layers.

본 설명의 실시예들은 유전체 층 내에 조밀 피치 인터커넥트 구조체들을 갖는 인터커넥션 층들을 형성하는 것을 포함하는데, 여기서 인터커넥트 구조체들을 형성하는데 이용되는 트렌치들 및 비아들은 금속화 이전에 비교적 낮은 종횡비들을 갖는다. 낮은 종횡비들은 금속화 재료가 퇴적될 때 이러한 금속화 재료 내에 보이드들이 형성될 가능성을 감소시키거나 실질적으로 제거할 수 있다. 본 설명의 실시예들은, 금속화 이전에, 트렌치들 및 비아들을 형성하기 위해 이용되는 구조체들의 제거를 허용하는 프로세스들을 통해 이러한 비교적 낮은 종횡비들을 달성할 수 있다.Embodiments of the present description include forming interconnection layers having dense pitch interconnect structures in a dielectric layer, wherein the trenches and vias used to form the interconnect structures have relatively low aspect ratios prior to metallization. Low aspect ratios can reduce or substantially eliminate the likelihood of voids forming in the metallization material as it is deposited. Embodiments of the present description may achieve these relatively low aspect ratios through processes that allow removal of structures used to form trenches and vias prior to metallization.

도 1은 백본 패터닝을 위한 적층된 층을 예시한다. 적층된 층(100)은 기판(102) 상에 형성된 유전체 층(104), 유전체 층(104) 상에 형성된 제1 하드마스크 층(106), 제1 하드마스크 층(106) 상에 형성된 백본 재료(108), 백본 재료(108) 상에 형성된 제2 하드마스크 층(112), 제2 하드마스크 층(112) 상에 형성된 희생 하드마스크 층(114), 희생 하드마스크 층(114) 상에 형성된 제1 반사 방지 코팅(116), 및 제1 반사 방지 코팅(116) 상에 패터닝된 제1 포토레지스트 재료(118)를 포함할 수 있다. 적층된 층(100)의 컴포넌트들은 명확성 및 간결성을 위해 본 명세서에 논의되지 않을 임의의 알려진 기술들에 의해 퇴적될 수 있다.1 illustrates stacked layers for backbone patterning. The stacked layer 100 is a dielectric layer 104 formed on the substrate 102 , a first hardmask layer 106 formed on the dielectric layer 104 , and a backbone material formed on the first hardmask layer 106 . 108 , a second hardmask layer 112 formed on the backbone material 108 , a sacrificial hardmask layer 114 formed on the second hardmask layer 112 , and a sacrificial hardmask layer 114 formed on the sacrificial hardmask layer 114 . a first anti-reflective coating 116 , and a first photoresist material 118 patterned on the first anti-reflective coating 116 . The components of the stacked layer 100 may be deposited by any known techniques which will not be discussed herein for the sake of clarity and brevity.

기판(102)은 트랜지스터들 등을 포함하는 회로 디바이스들(도시되지 않음)를 갖는 마이크로전자 칩, 웨이퍼 기판(예를 들어, 실리콘 웨이퍼의 일부) 등일 수 있으며, 콘택 구조체들(제1 콘택 구조체(120A) 및 제2 콘택 구조체(120B)로서 예시됨)은 회로 디바이스들과 전기적으로 통신할 수 있다. 또한, 논의되는 바와 같이, 기판(102)은 인터커넥션 층일 수 있으며, 콘택 구조체들(120A, 120B)은 인터커넥트들일 수 있다.The substrate 102 may be a microelectronic chip having circuit devices (not shown) including transistors and the like, a wafer substrate (eg, a portion of a silicon wafer), etc., and may include contact structures (first contact structures ( 120A) and second contact structure 120B) may be in electrical communication with circuit devices. Also, as discussed, the substrate 102 may be an interconnection layer, and the contact structures 120A, 120B may be interconnects.

일 실시예에서, 유전체 층(104)은, 예를 들어, 실리콘 이산화물(SiO2)의 유전 상수보다 작은 유전 상수(k)를 갖는 재료(예를 들어, "로우 k" 유전체 재료)일 수 있다. 대표적인 로우 k 유전체 재료들은, 본 기술분야에 알려져 있으며 폴리머들로서 지칭될 수 있는 실리콘, 탄소 및/또는 산소를 포함하는 재료들을 포함한다. 일 실시예에서, 유전체 층(104)은 다공성일 수 있다.In one embodiment, the dielectric layer 104 may be a material (eg, a “low k” dielectric material) with a dielectric constant k less than that of, for example, silicon dioxide (SiO 2 ). . Representative low k dielectric materials include materials comprising silicon, carbon and/or oxygen, which are known in the art and may be referred to as polymers. In one embodiment, the dielectric layer 104 may be porous.

일 실시예에서, 제1 하드마스크 층(106), 제2 하드마스크 층(112) 및 희생 하드마스크 층(114)은 유전체 재료들일 수 있다. 대표적인 유전체 재료들은 다양한 산화물, 질화물 및 탄화물, 예를 들어, 실리콘 산화물, 티타늄 산화물, 하프늄 산화물, 알루미늄 산화물, 산질화물, 지르코늄 산화물, 하프늄 실리케이트, 란타늄 산화물, 실리콘 질화물, 붕소 질화물, 비정질 탄소, 실리콘 탄화물, 알루미늄 질화물 및 다른 유사한 유전체 재료들을 포함할 수 있지만, 이에 제한되지는 않는다. 일 실시예에서, 제1 하드마스크 층(106)은 하부 유전체 층(104)에 대한 마스크의 역할을 하기 위해(예를 들어, 후속 프로세스 단계들에서 이용되는 에너지로부터의 유전체 재료의 바람직하지 않은 수정으로부터 보호하기 위해) 소정의 두께로 예를 들어 플라즈마 퇴적 프로세스에 의해 퇴적된다. 일 실시예에서, 대표적인 두께는, 결합된 유전체 층(104)과 제1 하드마스크 층(106)의 전체 유전 상수에 상당히 영향을 미치지는 않고 이러한 전체 유전 상수에 기껏해야 아주 조금 영향을 미치는 두께이다. 일 실시예에서, 대표적인 두께는 약 30 옹스트롬(Å)±20Å이다. 다른 실시예에서, 대표적인 두께는 약 2 내지 5 나노미터(nm)이다.In one embodiment, the first hardmask layer 106 , the second hardmask layer 112 and the sacrificial hardmask layer 114 may be dielectric materials. Representative dielectric materials include various oxides, nitrides and carbides, eg, silicon oxide, titanium oxide, hafnium oxide, aluminum oxide, oxynitride, zirconium oxide, hafnium silicate, lanthanum oxide, silicon nitride, boron nitride, amorphous carbon, silicon carbide. , aluminum nitride, and other similar dielectric materials. In one embodiment, the first hardmask layer 106 serves as a mask for the underlying dielectric layer 104 (eg, undesirable modification of the dielectric material from energy used in subsequent process steps). to protect it from) to a predetermined thickness, for example by a plasma deposition process. In one embodiment, a representative thickness is a thickness that does not significantly affect the overall dielectric constant of the combined dielectric layer 104 and the first hardmask layer 106, but at most affects the overall dielectric constant. . In one embodiment, a representative thickness is about 30 Angstroms (Å)±20 Å. In another embodiment, an exemplary thickness is between about 2 and 5 nanometers (nm).

백본 재료(108)는 폴리실리콘, 비정질 실리콘, 비정질 탄소, 실리콘 질화물, 실리콘 탄화물 및 게르마늄을 포함할 수 있지만, 이에 제한되지는 않는다.Backbone material 108 may include, but is not limited to, polysilicon, amorphous silicon, amorphous carbon, silicon nitride, silicon carbide, and germanium.

도 2에 도시된 바와 같이, 도 1의 적층된 층(100)은 에칭될 수 있고, 여기서 제2 하드마스크 층(112)이 에칭 스톱(etch stop)의 역할을 한다. 에칭은 제1 포토레지스트 재료(118) 패턴이 희생 하드마스크 층(114) 내로 전사되게 한다. 도 2에 도시된 바와 같이, 제1 포토레지스트 재료(118) 및 제1 반사 방지 코팅(116)은 제거되어, 패터닝된 희생 하드마스크 구조체들(122)을 초래할 수 있다.As shown in FIG. 2 , the stacked layer 100 of FIG. 1 may be etched, where the second hardmask layer 112 serves as an etch stop. The etching causes the pattern of the first photoresist material 118 to be transferred into the sacrificial hardmask layer 114 . As shown in FIG. 2 , the first photoresist material 118 and the first anti-reflective coating 116 may be removed, resulting in patterned sacrificial hardmask structures 122 .

도 3에 도시된 바와 같이, 도 2에 도시된 구조체 위에 등각의 스페이서 재료 층(conformal spacer material layer)(124)이 퇴적될 수 있다. 등각의 스페이서 재료 층(124)은 본 기술분야에 알려진 임의의 등각의 퇴적 기술들에 의해 퇴적될 수 있으며, 실리콘 이산화물, 실리콘 질화물, 실리콘 탄화물 및 비정질 실리콘을 포함하지만 이에 제한되지는 않는 임의의 적절한 재료를 포함할 수 있다. 도 4에 도시된 바와 같이, 등각의 스페이서 재료 층(124)은 이방성 에칭될 수 있고, 희생 하드마스크 구조체들(122)은 제거되어 제1 스페이서들(126)을 형성할 수 있다.As shown in FIG. 3 , a conformal spacer material layer 124 may be deposited over the structure shown in FIG. 2 . The conformal spacer material layer 124 may be deposited by any conformal deposition techniques known in the art, and may be deposited by any suitable material may be included. 4 , the conformal spacer material layer 124 may be anisotropically etched and the sacrificial hardmask structures 122 may be removed to form first spacers 126 .

도 5에 도시된 바와 같이, 도 4의 구조체는 에칭될 수 있고, 여기서 제1 하드마스크 층(106)이 에칭 스톱의 역할을 한다. 에칭은 제1 스페이서들(126)의 패턴이 백본 재료(108) 내로 전사되게 하여, 제2 하드마스크 층(112)의 일부로 캡핑되는 패터닝된 백본 구조체들(128)을 초래한다. 일 실시예에서, 논의되는 바와 같이, 트렌치들 및 비아들의 형성과 같은 후속 처리 동안 백본 재료(108)를 보호하도록 제2 하드마스크 층(112)이 남아있을 수 있다. 다른 실시예에서, 제2 하드마스크 층(112)은 제거될 수 있다.As shown in FIG. 5 , the structure of FIG. 4 may be etched, where the first hardmask layer 106 serves as an etch stop. The etching causes the pattern of first spacers 126 to be transferred into the backbone material 108 , resulting in patterned backbone structures 128 capped as part of the second hardmask layer 112 . In one embodiment, the second hardmask layer 112 may remain to protect the backbone material 108 during subsequent processing, such as formation of trenches and vias, as discussed. In other embodiments, the second hardmask layer 112 may be removed.

도 6에 도시된 바와 같이, 도 5에 도시된 구조체 위에 등각의 측부 스페이서 재료 층(conformal side spacer material layer)(132)이 퇴적될 수 있다. 등각의 측부 스페이서 재료 층(132)은 본 기술분야에 알려진 임의의 등각의 퇴적 기술에 의해 퇴적될 수 있으며, 실리콘 이산화물, 실리콘 질화물, 티타늄 산화물, 하프늄 산화물, 지르코늄 산화물, 알루미늄 질화물 및 비정질 실리콘을 포함하지만 이에 제한되지는 않는 임의의 적절한 재료를 포함할 수 있다.As shown in FIG. 6 , a conformal side spacer material layer 132 may be deposited over the structure shown in FIG. 5 . The conformal side spacer material layer 132 may be deposited by any conformal deposition technique known in the art, including silicon dioxide, silicon nitride, titanium oxide, hafnium oxide, zirconium oxide, aluminum nitride, and amorphous silicon. It may include, but is not limited to, any suitable material.

도 7에 도시된 바와 같이, 등각의 측부 스페이서 재료 층(132) 위에 제3 하드마스크(134)가 퇴적될 수 있고, 제3 하드마스크(134) 위에 제2 반사 방지 코팅(136)이 퇴적될 수 있고, 제2 반사 방지 코팅(136) 상에 제2 포토레지스트 재료(138)가 패터닝될 수 있다. 도 8에 도시된 바와 같이, 도 7의 구조체는 에칭되어, 패터닝된 제2 포토레지스트 재료(138)에 의해 보호되지 않는 제2 반사 방지 코팅(136)의 일부 및 제3 하드마스크(134)의 일부가 제거될 수 있는데(도 7 참조), 여기서 등각의 측부 스페이서 재료 층(132)이 에칭 스톱의 역할을 한다. 도 9에 도시된 바와 같이, 도 8의 구조체는, 인접한 패터닝된 백본 구조체들(128) 사이의 등각의 측부 스페이서 재료 층(132)을 통해, 제1 하드마스크 층(106)의 일부를 통해 그리고 유전체 층(104) 내로 이방성 에칭되어, 유전체 층(104) 내에 적어도 하나의 제1 트렌치(142)를 형성할 수 있는데, 여기서 등각의 측부 스페이서 재료 층(132)의 부분들이 패터닝된 제3 하드마스크(134)에 의해 에칭으로부터 보호될 수 있다. 트렌치(142)는 도 9의 평면으로부터 수직으로 연장될 수 있다고 이해된다. 등각의 측부 스페이서 재료 층(132)의 에칭은, 패터닝된 백본 구조체들(128)의 측부들(146)을 따른 측부 스페이서들(144)의 형성을 초래할 수 있다.7 , a third hardmask 134 may be deposited over the conformal side spacer material layer 132 , and a second antireflective coating 136 may be deposited over the third hardmask 134 . and a second photoresist material 138 can be patterned on the second anti-reflective coating 136 . As shown in FIG. 8 , the structure of FIG. 7 is etched away from the third hardmask 134 and a portion of the second antireflective coating 136 that is not protected by the patterned second photoresist material 138 . A portion may be removed (see FIG. 7 ), where the conformal side spacer material layer 132 serves as an etch stop. As shown in FIG. 9 , the structure of FIG. 8 comprises a layer of conformal side spacer material 132 between adjacent patterned backbone structures 128 , through a portion of the first hardmask layer 106 and A third hardmask may be anisotropically etched into the dielectric layer 104 to form at least one first trench 142 in the dielectric layer 104 , wherein portions of the conformal side spacer material layer 132 are patterned. It can be protected from etching by 134 . It is understood that trench 142 may extend vertically from the plane of FIG. 9 . Etching of the conformal side spacer material layer 132 may result in the formation of side spacers 144 along sides 146 of patterned backbone structures 128 .

도 10에 도시된 바와 같이, 제3 하드마스크(134), 제2 반사 방지 코팅(136) 및 제2 포토레지스트 재료(138)가 제거될 수 있고, 제4 하드마스크(152)가 퇴적될 수 있고, 제4 하드마스크(152) 위에 제3 반사 방지 코팅(154)이 퇴적될 수 있고, 제3 반사 방지 코팅(154) 상에 제3 포토레지스트 재료(156)가 패터닝되어, 각각의 제1 트렌치(142)와 정렬되어 내부에 적어도 하나의 개구(158)를 가질 수 있다. 도 11 및 도 12에 도시된 바와 같이, 제4 하드마스크(152)의 일부가 개구(158)를 통해 에칭될 수 있고, 유전체 재료(104)의 추가 부분이 에칭되어, 제1 트렌치(142)로부터 각각의 제1 콘택 구조체(120A)까지 연장되는 제1 비아(160)를 형성할 수 있다.As shown in FIG. 10 , the third hardmask 134 , the second anti-reflective coating 136 and the second photoresist material 138 may be removed, and a fourth hardmask 152 may be deposited. and a third anti-reflective coating 154 may be deposited over the fourth hardmask 152 , and a third photoresist material 156 may be patterned on the third anti-reflective coating 154 to form each of the first It may have at least one opening 158 therein aligned with the trench 142 . 11 and 12 , a portion of the fourth hardmask 152 may be etched through the opening 158 , and a further portion of the dielectric material 104 may be etched into the first trench 142 . A first via 160 extending from to each of the first contact structures 120A may be formed.

도 13에 도시된 바와 같이, 제4 하드마스크(152), 제3 반사 방지 코팅(154) 및 제3 포토레지스트 재료(156)가 제거될 수 있고, 비아 하드마스크(162)가 퇴적될 수 있다. 일 실시예에서, 비아 하드마스크(162)는, 제1 하드마스크 층(106) 및 유전체 재료(104)를 위해 이용되는 재료, 및 콘택 구조체들(120A, 120B)을 위해 이용되는 재료와 같은 임의의 하부 금속들의 존재 시에 선택적으로 제거가능할 수 있는 재료들로부터 선택될 수 있다. 실시예에서, 비아 하드마스크(162)는 본 기술분야의 통상의 기술자에게 이해되는 바와 같이 비정질 탄소 재료와 같은 탄소 하드마스크일 수 있다. 다른 실시예에서, 비아 하드마스크(162)는, 하부 금속들에 대해 선택적으로 제거가능한 금속 또는 금속 질화물들, 예컨대 티타늄 질화물, 코발트, 루테늄 또는 이들의 조합일 수 있다.As shown in FIG. 13 , the fourth hardmask 152 , the third anti-reflective coating 154 and the third photoresist material 156 may be removed, and a via hardmask 162 may be deposited. . In one embodiment, the via hardmask 162 is any, such as the material used for the first hardmask layer 106 and the dielectric material 104 , and the material used for the contact structures 120A, 120B. may be selected from materials that may be selectively removable in the presence of the underlying metals of In an embodiment, the via hardmask 162 may be a carbon hardmask, such as an amorphous carbon material, as will be understood by one of ordinary skill in the art. In another embodiment, the via hardmask 162 may be a metal or metal nitrides that are selectively removable with respect to the underlying metals, such as titanium nitride, cobalt, ruthenium, or a combination thereof.

도 14에 도시된 바와 같이, 비아 하드마스크(162)는, 제1 비아(160) 내에 비아 하드마스크(162)의 일부를 남기면서 제1 트렌치들(142)로부터 비아 하드마스크(162)의 일부를 제거하기 위해 에치백될 수 있다. 비아 하드마스크(162)의 일부는 제1 트렌치들(142)에 남아있을 수 있다고 이해된다.As shown in FIG. 14 , the via hardmask 162 is removed from the first trenches 142 while leaving a portion of the via hardmask 162 in the first via 160 . can be etched back to remove It is understood that a portion of the via hardmask 162 may remain in the first trenches 142 .

도 15에 도시된 바와 같이, 희생 재료(164)가 도 14의 구조체 위에 퇴적될 수 있는데, 여기서 희생 재료(164)는 제1 트렌치들(142) 내에 배치된다. 일 실시예에서, 희생 재료(164)는, 기계적으로 그리고 화학적으로 추가의 처리 단계들을 견딜 수 있으며, 유전체 층(104)을 위해 이용되는 재료, 및 콘택 구조체들(120A, 120B)을 위해 이용되는 재료와 같은 임의의 하부 금속들의 존재 시에 선택적으로 제거가능한 재료들로부터 선택될 수 있다. 실시예에서, 희생 재료(164)는 티타늄 산화물, 티타늄 질화물, 루테늄 및 코발트를 포함할 수 있지만, 이에 제한되지는 않는다.15 , a sacrificial material 164 may be deposited over the structure of FIG. 14 , where the sacrificial material 164 is disposed within the first trenches 142 . In one embodiment, the sacrificial material 164 is mechanically and chemically capable of withstanding additional processing steps, the material used for the dielectric layer 104 , and the contact structures 120A, 120B. It may be selected from materials that are selectively removable in the presence of any underlying metals, such as materials. In an embodiment, the sacrificial material 164 may include, but is not limited to, titanium oxide, titanium nitride, ruthenium, and cobalt.

도 16에 도시된 바와 같이, 도 15의 구조체는 예컨대 화학 기계적 평탄화에 의해 연마되어, 희생 재료(164)의 일부 및 제2 하드마스크 층(112)(존재한다면)을 제거하고 백본 구조체들(128)을 노출할 수 있다.As shown in FIG. 16 , the structure of FIG. 15 is polished, such as by chemical mechanical planarization, to remove a portion of the sacrificial material 164 and the second hardmask layer 112 (if present) and the backbone structures 128 . ) can be exposed.

도 17에 도시된 바와 같이, 도 16의 구조체 위에 탄소 하드마스크와 같은 제5 하드마스크(166)가 퇴적될 수 있고, 제5 하드마스크(166) 위에 제4 반사 방지 코팅(168)이 퇴적될 수 있고, 제4 반사 방지 코팅(168) 상에 제4 포토레지스트 재료(172)가 패터닝되어 내부에 적어도 하나의 개구(174)를 가질 수 있다. 도 18에 도시된 바와 같이, 제5 하드마스크(166)가 에칭되어 도 17에 도시된 구조체의 원하는 부분을 노출할 수 있다. 도 19에 도시된 바와 같이, 백본 구조체들(128)(도 18 참조)이 에칭될 수 있고, 여기서 에칭은 백본 구조체들(128)의 제거에 의해 노출되는 제1 하드마스크 층(106)의 일부를 통해 유전체 층(104) 내로 계속되고, 그에 의해 유전체 층(104) 내에 적어도 하나의 제2 트렌치(176)를 형성한다. 일 실시예에서, 도 19의 구조체는, 측부 스페이서들(144) 및 희생 재료(164)를 에칭하지 않으면서 백본 구조체들(128), 제1 하드마스크 층(106) 및 유전체 층(104)을 원하는 깊이로 에칭할 수 있는 반응성 가스들(예를 들어, 플루오로카본, 산소, 염소 및/또는 삼염화 붕소(boron trichloride))의 플라즈마에 노출될 수 있다.17 , a fifth hardmask 166 , such as a carbon hardmask, may be deposited over the structure of FIG. 16 , and a fourth antireflective coating 168 may be deposited over the fifth hardmask 166 . and a fourth photoresist material 172 may be patterned on the fourth antireflective coating 168 to have at least one opening 174 therein. As shown in FIG. 18 , a fifth hardmask 166 may be etched to expose a desired portion of the structure shown in FIG. 17 . As shown in FIG. 19 , backbone structures 128 (see FIG. 18 ) may be etched, wherein the etching is the portion of the first hardmask layer 106 exposed by removal of the backbone structures 128 . continues through the dielectric layer 104 , thereby forming at least one second trench 176 in the dielectric layer 104 . In one embodiment, the structure of FIG. 19 includes the backbone structures 128 , the first hardmask layer 106 and the dielectric layer 104 without etching the side spacers 144 and the sacrificial material 164 . It may be exposed to a plasma of reactive gases (eg, fluorocarbon, oxygen, chlorine and/or boron trichloride) capable of etching to a desired depth.

도 20에 도시된 바와 같이, 남아있는 제5 하드마스크(166) 및 제4 반사 방지 코팅(168)은 제거될 수 있고, 탄소 하드마스크와 같은 제6 하드마스크(178)가 도 19의 구조체 위에 퇴적되어 제2 트렌치들(176)을 채울 수 있고, 제6 하드마스크(178) 위에 제5 반사 방지 코팅(182)이 퇴적될 수 있고, 제5 반사 방지 코팅(182) 상에 제5 포토레지스트 재료(184)가 패터닝되어, 각각의 제2 트렌치(176)와 정렬되어 내부에 적어도 하나의 개구(186)를 가질 수 있다(도 19 참조). 도 21에 도시된 바와 같이, 제6 하드마스크(178)의 일부는 개구(186)를 통해 에칭될 수 있고, 유전체 재료(104)의 추가 부분이 에칭되어, 제2 트렌치(176)로부터 각각의 제2 콘택 구조체(120B)까지 연장되는 제2 비아(188)를 형성할 수 있다.As shown in FIG. 20 , the remaining fifth hardmask 166 and fourth antireflective coating 168 may be removed, and a sixth hardmask 178 , such as a carbon hardmask, is placed over the structure of FIG. 19 . may be deposited to fill the second trenches 176 , a fifth anti-reflective coating 182 may be deposited over the sixth hardmask 178 , and a fifth photoresist on the fifth anti-reflective coating 182 . Material 184 may be patterned to have at least one opening 186 therein aligned with each second trench 176 (see FIG. 19 ). 21 , a portion of the sixth hardmask 178 may be etched through the opening 186 , and a further portion of the dielectric material 104 is etched away from each of the second trenches 176 . A second via 188 extending to the second contact structure 120B may be formed.

도 22에 도시된 바와 같이, 제6 하드마스크(178), 제5 반사 방지 코팅(182) 및 제5 포토레지스트 재료(184)(도 20 참조)가 제거되고, 제2 트렌치들(176) 및 제2 비아들(188)(도 21 참조) 내로 연장되는 충전 재료(192)로 대체될 수 있다. 일 실시예에서, 충전 재료(192)는 비정질 탄소 재료와 같은 탄소 하드마스크를 포함할 수 있다. 도 23에 도시된 바와 같이, 충전 재료(192)는, 제2 트렌치들(176) 및 제2 비아들(188)(도 21 참조) 내에 제8 하드마스크(192)의 일부를 남기면서 측부 스페이서들(144)을 노출시키기 위해 선택적으로 에치백될 수 있다.As shown in FIG. 22 , the sixth hardmask 178 , the fifth anti-reflective coating 182 and the fifth photoresist material 184 (see FIG. 20 ) are removed, the second trenches 176 and may be replaced with a fill material 192 extending into the second vias 188 (see FIG. 21 ). In one embodiment, the filler material 192 may include a carbon hardmask, such as an amorphous carbon material. As shown in FIG. 23 , the fill material 192 is a side spacer leaving a portion of the eighth hardmask 192 in the second trenches 176 and the second vias 188 (see FIG. 21 ). They may optionally be etched back to expose the shards 144 .

도 24에 도시된 바와 같이, 도 23의 구조체는 예컨대 화학 기계적 연마에 의해 연마되어, 제1 하드마스크 층(106)을 노출시킬 수 있다. 그 다음, 희생 재료(164)가 도 25에 도시된 바와 같이 제1 트렌치들(142)로부터 선택적으로 제거될 수 있다. 도 26에 도시된 바와 같이, 제8 하드마스크(192)가 제2 트렌치들(176) 및 제2 비아들(188)로부터 선택적으로 제거될 수 있으며, 비아 하드마스크(162)는 제1 비아들(160)로부터 제거될 수 있다. 일 실시예에서, 이전에 논의된 바와 같이 제8 하드마스크(192) 및 비아 하드마스크(162)가 탄소 하드마스크들인 경우, 이들은 본 기술분야에 알려진 바와 같이 단일 애싱 및 세정 프로세스를 이용하여 제거될 수 있다.24 , the structure of FIG. 23 may be polished, such as by chemical mechanical polishing, to expose the first hardmask layer 106 . The sacrificial material 164 may then be selectively removed from the first trenches 142 as shown in FIG. 25 . 26 , the eighth hardmask 192 may be selectively removed from the second trenches 176 and the second vias 188 , and the via hardmask 162 may be removed from the first vias. may be removed from 160 . In one embodiment, where eighth hardmask 192 and via hardmask 162 are carbon hardmasks as previously discussed, they may be removed using a single ashing and cleaning process as is known in the art. can

도 27에 도시된 바와 같이, 도 26의 구조체 위에 전도성 재료(194)가 퇴적되어, 제1 트렌치들(142), 제1 비아들(160), 제2 트렌치들(176) 및 제2 비아들(188)을 충전할 수 있다. 전도성 재료(194)는, 탄탈룸, 탄탈룸 질화물 또는 티타늄 질화물과 같은 라이너 재료를 갖거나 갖지 않는 구리, 알루미늄, 텅스텐, 코발트, 루테늄 등을 포함하지만 이에 제한되지는 않는 금속들과 같은 임의의 적절한 전도성 재료로 이루어질 수 있다. 제1 하드마스크 층(106)은 전도성 재료(194)의 퇴적 이전에 제거할 수 있다고 이해된다.As shown in FIG. 27 , a conductive material 194 is deposited over the structure of FIG. 26 , such that first trenches 142 , first vias 160 , second trenches 176 and second vias are formed. (188) can be charged. Conductive material 194 may be any suitable conductive material, such as metals including, but not limited to, copper, aluminum, tungsten, cobalt, ruthenium, etc. with or without a liner material such as tantalum, tantalum nitride or titanium nitride. can be made with It is understood that the first hardmask layer 106 may be removed prior to deposition of the conductive material 194 .

도 28에 도시된 바와 같이, 도 27의 구조체는 연마되어, 전도성 재료(194)의 일부 및 제1 하드마스크 층(106)(존재한다면)을 제거하여 유전체 재료(104)를 노출시키고, 그에 의해 인터커넥트들(196)을 형성할 수 있다. 인터커넥트들(196)은, 예를 들어, 다른 인터커넥트 층들 또는 라인들에 접속되는 디바이스들 사이의 그리고 이들에 대한 접속들을 제공하는데 이용되는 배선 라인들일 수 있다. 인터커넥트들(196)은 유사한 크기 및 치수를 가질 수 있고, 또한 서로 평행할 수 있다. 또한, 인터커넥트들(196)의 피치(P)(도 23 참조)는 비교적 작을 수 있고, 그에 의해 그들은 예컨대 약 80㎚ 미만의 인터커넥트 피치(P)와 같은 조밀 피치를 갖는 것으로 고려된다.As shown in FIG. 28 , the structure of FIG. 27 is polished to remove a portion of the conductive material 194 and the first hardmask layer 106 (if present) to expose the dielectric material 104 , thereby Interconnects 196 may be formed. Interconnects 196 may be, for example, wiring lines used to provide connections between and to devices connected to other interconnect layers or lines. The interconnects 196 may be of similar size and dimensions, and may also be parallel to each other. Also, the pitch P (see FIG. 23 ) of the interconnects 196 may be relatively small, whereby they are considered to have a tight pitch, such as an interconnect pitch P of less than about 80 nm, for example.

도 23을 다시 참조하면, 도 24에 도시된 바와 같이 제1 하드마스크 층(106) 위의 측부 스페이서들(144) 및 다른 구조체들을 제거하기 위한 연마 이전에, 트렌치들(도 26의 제1 트렌치들(142) 및 제2 트렌치들(176) 참조)의 종횡비(즉, 높이 대 폭)는 약 8:1(예를 들어, H1:W)보다 클 수 있고, 비아들(도 26의 제1 비아들(160) 및 제2 비아들(188) 참조)의 종횡비는 약 40㎚ 미만의 피치(P)를 갖는 트렌치에 대해 약 10:1(예를 들어, H2:W)보다 클 수 있다. 도 24에 예시된 바와 같이, 연마 후에, 트렌치들의 종횡비(즉, 높이 대 폭)(예를 들어, H1':W) 및 비아들의 종횡비(예를 들어, H2':W)는 약 4:1보다 작을 수 있다. 이전에 논의된 바와 같이, 낮은 종횡비들은 전도성 재료(194)가 퇴적될 때 이러한 전도성 재료 내에 보이드들이 형성될 가능성을 감소시키거나 실질적으로 제거할 수 있다(도 27 참조).Referring again to FIG. 23 , prior to polishing to remove the side spacers 144 and other structures over the first hardmask layer 106 as shown in FIG. 24 , the trenches (the first trench in FIG. 26 ) are The aspect ratio (ie, height to width) of the trenches 142 and second trenches 176 ) may be greater than about 8:1 (eg, H1:W), and the vias (eg, the first The aspect ratio of vias 160 and second vias 188) may be greater than about 10:1 (eg, H2:W) for a trench having a pitch P of less than about 40 nm. 24 , after polishing, the aspect ratio of the trenches (ie, height to width) (eg, H1′:W) and the aspect ratio of the vias (eg, H2′:W) are about 4:1 may be smaller than As previously discussed, low aspect ratios may reduce or substantially eliminate the likelihood of voids forming in conductive material 194 as it is deposited (see FIG. 27 ).

도 29는 본 설명의 실시예에 따른 마이크로전자 구조체를 제조하는 프로세스(200)의 플로우차트이다. 블록(202)에서 제시된 바와 같이, 기판 상에 유전체 층이 형성될 수 있다. 블록(204)에서 제시된 바와 같이, 유전체 층 상에 하드마스크 층이 형성될 수 있다. 블록(206)에서 제시된 바와 같이, 하드마스크 층 상에 복수의 백본 구조체가 형성될 수 있다. 블록(208)에서 제시된 바와 같이, 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들이 형성될 수 있다. 블록(210)에서 제시된 바와 같이, 적어도 2개의 인접한 백본 구조체들 사이에 있는 인접한 측부 스페이서들 사이의 제1 하드마스크의 일부 및 유전체 층의 일부가 에칭되어, 유전체 층 내에 적어도 하나의 제1 트렌치를 형성할 수 있다. 블록(212)에서 제시된 바와 같이, 적어도 하나의 제1 트렌치 내에 희생 재료가 퇴적될 수 있다. 블록(214)에서 제시된 바와 같이, 적어도 하나의 백본 구조체가 제거될 수 있고, 이 백본 구조체 아래에 존재한 하드마스크 층 및 유전체 층의 일부가 에칭되어 적어도 하나의 제2 트렌치를 형성할 수 있다. 블록(216)에서 제시된 바와 같이, 적어도 하나의 제2 트렌치 내에 충전 재료가 퇴적될 수 있다. 블록(218)에서 제시된 바와 같이, 측부 스페이서들이 제거될 수 있다. 블록(220)에서 제시된 바와 같이, 적어도 하나의 제1 트렌치로부터 희생 재료가 제거될 수 있다. 블록(222)에서 제시된 바와 같이, 적어도 하나의 제2 트렌치로부터 충전 재료가 제거될 수 있다. 블록(224)에서 제시된 바와 같이, 적어도 하나의 제1 트렌치 및 적어도 하나의 제2 트렌치 내에 전도성 재료가 퇴적될 수 있다.29 is a flowchart of a process 200 for manufacturing a microelectronic structure in accordance with an embodiment of the present description. As shown in block 202 , a dielectric layer may be formed on the substrate. As shown at block 204 , a hardmask layer may be formed over the dielectric layer. As shown at block 206 , a plurality of backbone structures may be formed on the hardmask layer. As shown at block 208 , side spacers may be formed adjacent sides of each backbone structure of the plurality of backbone structures. As shown in block 210 , a portion of the first hardmask and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures are etched to form at least one first trench in the dielectric layer. can be formed As shown at block 212 , a sacrificial material may be deposited in the at least one first trench. As shown at block 214 , at least one backbone structure may be removed, and a portion of the hardmask layer and dielectric layer underlying the backbone structure may be etched to form at least one second trench. As shown at block 216 , a fill material may be deposited in the at least one second trench. As shown in block 218 , the side spacers may be removed. As presented at block 220 , a sacrificial material may be removed from the at least one first trench. As shown in block 222 , fill material may be removed from the at least one second trench. As shown at block 224 , a conductive material may be deposited in the at least one first trench and the at least one second trench.

도 30은 본 설명의 일 구현에 따른 컴퓨팅 디바이스(300)를 예시한다. 컴퓨팅 디바이스(300)는 보드(302)를 하우징한다. 보드(302)는, 프로세서(304) 및 적어도 하나의 통신 칩(306A, 306B)을 포함하지만 이에 제한되지는 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(304)는 보드(302)에 물리적으로 그리고 전기적으로 연결된다. 일부 구현들에서, 적어도 하나의 통신 칩(306A, 306B)도 또한 보드(302)에 물리적으로 그리고 전기적으로 연결된다. 추가 구현들에서, 통신 칩(306A, 306B)은 프로세서(304)의 일부이다.30 illustrates a computing device 300 according to an implementation of this description. Computing device 300 houses board 302 . The board 302 may include a number of components including, but not limited to, a processor 304 and at least one communication chip 306A, 306B. The processor 304 is physically and electrically coupled to the board 302 . In some implementations, at least one communication chip 306A, 306B is also physically and electrically coupled to the board 302 . In further implementations, the communication chip 306A, 306B is part of the processor 304 .

그 응용예들에 따라, 컴퓨팅 디바이스(300)는, 보드(302)에 물리적으로 그리고 전기적으로 연결될 수도 있고 연결되지 않을 수도 있는 다른 컴포넌트들을 포함할 수 있다. 이러한 다른 컴포넌트들은 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서(crypto processor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(global positioning system) 디바이스, 나침반, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 디바이스(예컨대, 하드 디스크 드라이브, CD(compact disk), DVD(digital versatile disk) 등)를 포함하지만, 이에 제한되지는 않는다.Depending on its applications, computing device 300 may include other components that may or may not be physically and electrically coupled to board 302 . These other components include volatile memory (eg, DRAM), non-volatile memory (eg, ROM), flash memory, graphics processor, digital signal processor, crypto processor, chipset, antenna, display, touch screen Displays, touch screen controllers, batteries, audio codecs, video codecs, power amplifiers, global positioning system (GPS) devices, compasses, accelerometers, gyroscopes, speakers, cameras, and mass storage devices (such as hard disk drives, compact disks (CDs) ), a digital versatile disk (DVD), etc.), but is not limited thereto.

통신 칩(306A, 306B)은 컴퓨팅 디바이스(300)로의/로부터의 데이터의 전송을 위한 무선 통신을 가능하게 한다. "무선"이라는 용어 및 그 파생어는, 비고체 매체를 통한 변조된 전자기 방사(modulated electromagnetic radiation)의 이용을 통하여 데이터를 통신할 수 있는 회로들, 디바이스들, 시스템들, 방법들, 기술들, 통신 채널들 등을 설명하는데 이용될 수 있다. 이 용어는, 연관된 디바이스들이 어떠한 와이어도 포함하지 않는다는 것을 암시하지는 않지만, 일부 실시예들에서 연관된 디바이스들은 그렇지 않을 수도 있다. 통신 칩(306)은, Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 이들의 파생물들뿐만 아니라, 3G, 4G, 5G 및 그 이상의 것으로서 지정된 임의의 다른 무선 프로토콜들을 포함하지만 이에 제한되지는 않는 다수의 무선 표준 또는 프로토콜 중 임의의 것을 구현할 수 있다. 컴퓨팅 디바이스(300)는 복수의 통신 칩(306A, 306B)을 포함할 수 있다. 예를 들어, 제1 통신 칩(306A)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신에 전용일 수 있으며, 제2 통신 칩(306B)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신에 전용일 수 있다.Communication chips 306A, 306B enable wireless communication for the transfer of data to/from computing device 300 . The term "wireless" and its derivatives refers to circuits, devices, systems, methods, techniques, and communications capable of communicating data through the use of modulated electromagnetic radiation through a non-solid medium. It can be used to describe channels and the like. This term does not imply that the associated devices do not contain any wires, although in some embodiments the associated devices may not. Communication chip 306, Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, LTE (long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA may implement any of a number of wireless standards or protocols including, but not limited to, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols designated as 3G, 4G, 5G, and more. . Computing device 300 may include a plurality of communication chips 306A, 306B. For example, the first communication chip 306A may be dedicated to short-range wireless communication such as Wi-Fi and Bluetooth, and the second communication chip 306B may be GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev- It may be dedicated to long-distance wireless communication, such as DO.

컴퓨팅 디바이스(300)의 프로세서(304)는 프로세서(304) 내에 패키징된 집적 회로 다이를 포함한다. 본 설명의 일부 구현들에서, 프로세서의 집적 회로 다이는, 위에서 설명된 구현들에 따라 형성되는 하나 이상의 인터커넥션 층을 이용하여 다른 디바이스들에 접속될 수 있다. "프로세서"라는 용어는, 레지스터들 및/또는 메모리로부터의 전자 데이터를 처리하여 그 전자 데이터를 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 디바이스 또는 디바이스의 일부를 지칭할 수 있다.The processor 304 of the computing device 300 includes an integrated circuit die packaged within the processor 304 . In some implementations of this description, an integrated circuit die of a processor may be connected to other devices using one or more interconnection layers formed in accordance with the implementations described above. The term “processor” refers to any device or portion of a device that processes electronic data from registers and/or memory and converts that electronic data into other electronic data that may be stored in registers and/or memory. can do.

통신 칩(306A, 306B)도 통신 칩(306A, 306B) 내에 패키징된 집적 회로 다이를 또한 포함한다. 본 설명의 다른 구현에 따르면, 통신 칩의 집적 회로 다이는, 위에서 설명된 구현들에 따라 형성되는 하나 이상의 인터커넥션 층을 이용하여 다른 디바이스들에 접속될 수 있다.Communication chips 306A, 306B also include integrated circuit dies packaged within communication chips 306A, 306B. According to another implementation of the present description, an integrated circuit die of a communication chip may be connected to other devices using one or more interconnection layers formed according to the implementations described above.

추가 구현들에서, 컴퓨팅 디바이스(300) 내에 하우징된 다른 컴포넌트는, 본 설명의 실시예들에 따른 인터커넥트를 포함하는 집적 회로 다이를 포함할 수 있다.In further implementations, another component housed within computing device 300 may include an integrated circuit die that includes an interconnect in accordance with embodiments of the present description.

다양한 구현들에서, 컴퓨팅 디바이스(300)는 랩톱, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라 모바일 PC, 모바일 폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 뮤직 플레이어 또는 디지털 비디오 레코더일 수 있다. 추가 구현들에서, 컴퓨팅 디바이스(300)는 데이터를 처리하는 임의의 다른 전자 디바이스일 수 있다.In various implementations, computing device 300 may include a laptop, netbook, notebook, ultrabook, smartphone, tablet, personal digital assistant (PDA), ultra mobile PC, mobile phone, desktop computer, server, printer, scanner, monitor, It may be a set-top box, an entertainment control unit, a digital camera, a portable music player or a digital video recorder. In further implementations, computing device 300 may be any other electronic device that processes data.

본 설명의 발명 대상은 반드시 도 1 내지 도 30에 예시된 특정 응용예들에 제한되지는 않는다고 이해된다. 본 기술분야의 통상의 기술자에게 이해되는 바와 같이, 이 발명 대상은 다른 마이크로전자 디바이스들 및 어셈블리 응용예들뿐만 아니라 임의의 적절한 전자 응용예에 적용될 수 있다.It is understood that the subject matter of the present description is not necessarily limited to the specific applications illustrated in FIGS. As will be appreciated by one of ordinary skill in the art, this subject matter may be applied to any suitable electronic application, as well as other microelectronic devices and assembly applications.

다음의 예들은 추가 실시예들에 관한 것이다. 이 예들에서의 구체사항들은 하나 이상의 실시예에서의 어디에서나 이용될 수 있다.The following examples relate to further embodiments. Specifications in these examples may be used anywhere in one or more embodiments.

예 1에서, 마이크로전자 구조체를 형성하는 방법은, 기판 상에 유전체 층을 형성하는 단계; 유전체 층 상에 하드마스크 층을 형성하는 단계; 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계; 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계; 적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 제1 하드마스크의 일부 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계; 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계; 적어도 하나의 백본 구조체를 제거하고, 적어도 하나의 백본 구조체 아래에 존재한 하드마스크 층 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계; 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계; 측부 스페이서들을 제거하는 단계; 적어도 하나의 제1 트렌치로부터 희생 재료를 제거하는 단계; 적어도 하나의 제2 트렌치로부터 충전 재료를 제거하는 단계; 및 적어도 하나의 제1 트렌치 및 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계를 포함할 수 있다.In Example 1, a method of forming a microelectronic structure includes forming a dielectric layer on a substrate; forming a hardmask layer on the dielectric layer; forming a plurality of backbone structures on the hardmask layer; forming side spacers adjacent sides of each backbone structure of the plurality of backbone structures; etching a portion of the first hardmask and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench; depositing a sacrificial material in the at least one first trench; removing the at least one backbone structure and etching a portion of the hardmask layer and dielectric layer underlying the at least one backbone structure to form at least one second trench; depositing a fill material in the at least one second trench; removing the side spacers; removing the sacrificial material from the at least one first trench; removing fill material from the at least one second trench; and depositing a conductive material in the at least one first trench and the at least one second trench.

예 2에서, 예 1의 발명 대상은, 복수의 백본 구조체를 형성하는 단계가, 제1 하드마스크 상에 백본 재료를 퇴적시키는 단계; 백본 재료에 인접하여 스페이서들을 패터닝하는 단계; 및 백본 재료를 에칭하여, 스페이서들의 패턴을 백본 재료 내로 전사하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 2, the subject matter of Example 1 provides that forming a plurality of backbone structures comprises: depositing a backbone material on a first hardmask; patterning spacers adjacent the backbone material; and etching the backbone material to transfer the pattern of spacers into the backbone material.

예 3에서, 예 2의 발명 대상은, 백본 재료에 인접하여 스페이서들을 패터닝하는 단계가, 백본 재료에 인접하여 희생 하드마스크 구조체들을 패터닝하는 단계; 복수의 백본 구조체 위에 등각의 스페이서 재료 층을 퇴적시키는 단계; 등각의 스페이서 재료 층을 이방성 에칭하는 단계; 및 희생 하드마스크 구조체들을 제거하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 3, the subject matter of Example 2 provides that patterning the spacers adjacent the backbone material comprises: patterning sacrificial hardmask structures adjacent the backbone material; depositing a layer of conformal spacer material over the plurality of backbone structures; anisotropically etching the conformal spacer material layer; and removing the sacrificial hardmask structures.

예 4에서, 예 1 내지 예 3 중 어느 하나의 발명 대상은, 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계가, 복수의 백본 구조체 위에 등각의 측부 스페이서 재료 층을 퇴적시키는 단계; 및 등각의 측부 스페이서 재료 층을 이방성 에칭하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 4, the subject matter of any one of Examples 1-3 is provided, wherein the step of forming side spacers adjacent sides of each backbone structure of the plurality of backbone structures comprises: a conformal side spacer material over the plurality of backbone structures. depositing a layer; and anisotropically etching the conformal side spacer material layer.

예 5에서, 예 1 내지 예 4 중 어느 하나의 발명 대상은, 측부 스페이서들을 제거하는 단계가 측부 스페이서들을 연마하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 5, the subject matter of any one of Examples 1-4 can optionally include that removing the side spacers comprises polishing the side spacers.

예 6에서, 예 1 내지 예 5 중 어느 하나의 발명 대상은, 적어도 하나의 제2 트렌치 내에 희생 재료를 퇴적시키는 단계가, 티타늄 질화물, 루테늄 및 코발트로 구성되는 그룹으로부터 선택된 재료를 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 6, the subject matter of any one of Examples 1-5 provides that depositing a sacrificial material in the at least one second trench comprises depositing a material selected from the group consisting of titanium nitride, ruthenium, and cobalt. It may optionally be included.

예 7에서, 예 1 내지 예 6 중 어느 하나의 발명 대상은, 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계가, 적어도 하나의 제2 트렌치 내에 탄소 하드마스크를 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 7, the subject matter of any one of Examples 1-6 is provided that depositing a fill material in the at least one second trench comprises depositing a carbon hardmask in the at least one second trench. may optionally be included.

예 8에서, 예 1 내지 예 7 중 어느 하나의 발명 대상은, 기판 상에 유전체 층을 형성하는 단계가 로우 k 유전체 층을 형성하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 8, the subject matter of any one of Examples 1-7 can optionally include forming the dielectric layer on the substrate comprises forming a low k dielectric layer.

예 9에서, 예 1 내지 예 8 중 어느 하나의 발명 대상은, 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계가, 폴리실리콘, 비정질 실리콘, 비정질 탄소, 실리콘 질화물 및 게르마늄으로 구성되는 그룹으로부터 선택된 재료로 복수의 백본 구조체를 형성하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 9, the subject matter of any one of Examples 1 to 8 provides that the step of forming the plurality of backbone structures on the hardmask layer comprises: polysilicon, amorphous silicon, amorphous carbon, silicon nitride, and germanium from the group consisting of: may optionally include forming a plurality of backbone structures from a selected material.

예 10에서, 예 1 내지 예 9 중 어느 하나의 발명 대상은, 적어도 하나의 제1 트렌치 및 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계가 금속을 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 10, the subject matter of any one of Examples 1-9 optionally includes, wherein depositing a conductive material in the at least one first trench and the at least one second trench comprises depositing a metal. can do.

예 11에서, 마이크로전자 구조체를 형성하는 방법은, 기판 상에 유전체 층을 형성하는 단계 - 기판은 제1 콘택 구조체 및 제2 콘택 구조체를 포함함 -; 유전체 층 상에 하드마스크 층을 형성하는 단계; 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계; 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계; 적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 제1 하드마스크의 일부 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계; 적어도 하나의 제1 트렌치로부터 기판의 제1 콘택 구조체까지 연장되는 제1 비아를 형성하는 단계; 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계; 적어도 하나의 백본 구조체를 제거하고, 적어도 하나의 백본 구조체 아래에 존재한 하드마스크 층 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계; 적어도 하나의 제2 트렌치로부터 기판의 제2 콘택 구조체까지 연장되는 제2 비아를 형성하는 단계; 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계; 측부 스페이서들을 제거하는 단계; 적어도 하나의 제1 트렌치로부터 희생 재료를 제거하는 단계; 적어도 하나의 제2 트렌치로부터 충전 재료를 제거하는 단계; 및 적어도 하나의 제1 트렌치, 제1 비아, 적어도 하나의 제2 트렌치 및 제2 비아 내에 전도성 재료를 퇴적시키는 단계를 포함할 수 있다.In Example 11, a method of forming a microelectronic structure includes: forming a dielectric layer on a substrate, the substrate comprising a first contact structure and a second contact structure; forming a hardmask layer on the dielectric layer; forming a plurality of backbone structures on the hardmask layer; forming side spacers adjacent sides of each backbone structure of the plurality of backbone structures; etching a portion of the first hardmask and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench; forming a first via extending from the at least one first trench to a first contact structure in the substrate; depositing a sacrificial material in the at least one first trench; removing the at least one backbone structure and etching a portion of the hardmask layer and dielectric layer underlying the at least one backbone structure to form at least one second trench; forming a second via extending from the at least one second trench to a second contact structure in the substrate; depositing a fill material in the at least one second trench; removing the side spacers; removing the sacrificial material from the at least one first trench; removing fill material from the at least one second trench; and depositing a conductive material in the at least one first trench, the first via, the at least one second trench, and the second via.

예 12에서, 예 11의 발명 대상은, 복수의 백본 구조체를 형성하는 단계가, 제1 하드마스크 상에 백본 재료를 퇴적시키는 단계; 백본 재료에 인접하여 스페이서들을 패터닝하는 단계; 및 백본 재료를 에칭하여, 스페이서들의 패턴을 백본 재료 내로 전사하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 12, the subject matter of Example 11 provides that forming the plurality of backbone structures comprises: depositing a backbone material on a first hardmask; patterning spacers adjacent the backbone material; and etching the backbone material to transfer the pattern of spacers into the backbone material.

예 13에서, 예 12의 발명 대상은, 백본 재료에 인접하여 스페이서들을 패터닝하는 단계가, 백본 재료에 인접하여 희생 하드마스크 구조체들을 패터닝하는 단계; 복수의 백본 구조체 위에 등각의 스페이서 재료 층을 퇴적시키는 단계; 등각의 스페이서 재료 층을 이방성 에칭하는 단계; 및 희생 하드마스크 구조체들을 제거하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 13, the subject matter of Example 12 provides that patterning the spacers adjacent the backbone material comprises: patterning sacrificial hardmask structures adjacent the backbone material; depositing a layer of conformal spacer material over the plurality of backbone structures; anisotropically etching the conformal spacer material layer; and removing the sacrificial hardmask structures.

예 14에서, 예 11 내지 예 13 중 어느 하나의 발명 대상은, 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계가, 복수의 백본 구조체 위에 등각의 측부 스페이서 재료 층을 퇴적시키는 단계; 및 등각의 측부 스페이서 재료 층을 이방성 에칭하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 14, the subject matter of any one of Examples 11-13 provides that forming side spacers adjacent sides of each backbone structure of the plurality of backbone structures comprises: a conformal side spacer material over the plurality of backbone structures. depositing a layer; and anisotropically etching the conformal side spacer material layer.

예 15에서, 예 11 내지 예 14 중 어느 하나의 발명 대상은, 측부 스페이서들을 제거하는 단계가 측부 스페이서들을 연마하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 15, the subject matter of any one of Examples 11-14 can optionally include removing the side spacers comprises polishing the side spacers.

예 16에서, 예 11 내지 예 15 중 어느 하나의 발명 대상은, 적어도 하나의 제2 트렌치 내에 희생 재료를 퇴적시키는 단계가, 티타늄 질화물, 루테늄 및 코발트로 구성되는 그룹으로부터 선택된 재료를 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 16, the subject matter of any one of Examples 11-15 provides that depositing a sacrificial material in the at least one second trench comprises depositing a material selected from the group consisting of titanium nitride, ruthenium, and cobalt. It may optionally be included.

예 17에서, 예 11 내지 예 16 중 어느 하나의 발명 대상은, 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계가, 적어도 하나의 제2 트렌치 내에 탄소 하드마스크를 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 17, the subject matter of any one of Examples 11-16 is that depositing a fill material in the at least one second trench comprises depositing a carbon hardmask in the at least one second trench. may optionally be included.

예 18에서, 예 11 내지 예 17 중 어느 하나의 발명 대상은, 기판 상에 유전체 층을 형성하는 단계가 로우 k 유전체 층을 형성하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 18, the subject matter of any one of Examples 11-17 can optionally include forming the dielectric layer on the substrate comprises forming a low k dielectric layer.

예 19에서, 예 11 내지 예 18 중 어느 하나의 발명 대상은, 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계가, 폴리실리콘, 비정질 실리콘, 비정질 탄소, 실리콘 질화물 및 게르마늄으로 구성되는 그룹으로부터 선택된 재료로 복수의 백본 구조체를 형성하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 19, the subject matter of any one of Examples 11 to 18 provides that the step of forming the plurality of backbone structures on the hardmask layer comprises: polysilicon, amorphous silicon, amorphous carbon, silicon nitride, and germanium from the group consisting of: may optionally include forming a plurality of backbone structures from a selected material.

예 20에서, 예 11 내지 예 19 중 어느 하나의 발명 대상은, 적어도 하나의 제1 트렌치 및 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계가 금속을 퇴적시키는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 20, the subject matter of any one of Examples 11-19 optionally includes, wherein depositing a conductive material in the at least one first trench and the at least one second trench comprises depositing a metal. can do.

예 21에서, 마이크로전자 구조체를 형성하는 방법은, 기판 상에 유전체 층을 형성하는 단계 - 기판은 제1 콘택 구조체 및 제2 콘택 구조체를 포함함 -; 유전체 층 상에 하드마스크 층을 형성하는 단계; 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계; 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계; 적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 제1 하드마스크의 일부 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계; 적어도 하나의 제1 트렌치로부터 기판의 제1 콘택 구조체까지 연장되는 제1 비아를 형성하는 단계; 제1 비아 내로 비아 하드마스크 재료를 퇴적시키는 단계; 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계; 적어도 하나의 백본 구조체를 제거하고, 적어도 하나의 백본 구조체 아래에 존재한 하드마스크 층 및 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계; 적어도 하나의 제2 트렌치로부터 기판의 제2 콘택 구조체까지 연장되는 제2 비아를 형성하는 단계; 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계; 측부 스페이서들을 제거하는 단계; 적어도 하나의 제1 트렌치로부터 희생 재료를 제거하는 단계; 제1 비아로부터 비아 하드마스크 재료를 제거하는 단계; 적어도 하나의 제2 트렌치로부터 충전 재료를 제거하는 단계; 및 적어도 하나의 제1 트렌치, 제1 비아, 적어도 하나의 제2 트렌치 및 제2 비아 내에 전도성 재료를 퇴적시키는 단계를 포함할 수 있다.In Example 21, a method of forming a microelectronic structure includes: forming a dielectric layer on a substrate, the substrate comprising a first contact structure and a second contact structure; forming a hardmask layer on the dielectric layer; forming a plurality of backbone structures on the hardmask layer; forming side spacers adjacent sides of each backbone structure of the plurality of backbone structures; etching a portion of the first hardmask and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench; forming a first via extending from the at least one first trench to a first contact structure in the substrate; depositing a via hardmask material into the first via; depositing a sacrificial material in the at least one first trench; removing the at least one backbone structure and etching a portion of the hardmask layer and dielectric layer underlying the at least one backbone structure to form at least one second trench; forming a second via extending from the at least one second trench to a second contact structure in the substrate; depositing a fill material in the at least one second trench; removing the side spacers; removing the sacrificial material from the at least one first trench; removing the via hardmask material from the first via; removing fill material from the at least one second trench; and depositing a conductive material in the at least one first trench, the first via, the at least one second trench, and the second via.

예 22에서, 예 21의 발명 대상은, 제1 비아로부터 비아 하드마스크 재료를 제거하는 단계 및 적어도 하나의 제2 트렌치로부터 충전 재료를 제거하는 단계가, 제1 비아로부터 비아 하드마스크 재료를 제거하는 동시에 적어도 하나의 제2 트렌치로부터 충전 재료를 제거하는 단계를 포함하는 것을 선택적으로 포함할 수 있다.In Example 22, the subject matter of Example 21 provides that removing the via hardmask material from the first via and removing the fill material from the at least one second trench comprises removing the via hardmask material from the first via. and simultaneously removing the fill material from the at least one second trench.

이와 같이 본 설명의 실시예들이 상세하게 설명되었지만, 그것의 사상 또는 범위로부터 벗어나지 않고 그것의 많은 명백한 변형들이 가능하므로, 첨부 청구항들에 의해 정의된 본 설명은 이러한 설명에 제시된 특정 상세들에 의해 제한되지는 않는다고 이해된다.While embodiments of the present description have thus been described in detail, many obvious modifications thereof are possible without departing from the spirit or scope thereof, so that the present description, as defined by the appended claims, is limited by the specific details set forth in this description. It is understood that it will not

Claims (22)

마이크로전자 구조체를 형성하는 방법으로서,
기판 상에 유전체 층을 형성하는 단계;
상기 유전체 층 상에 하드마스크 층을 형성하는 단계;
하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계;
상기 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계;
적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 상기 하드마스크 층의 일부 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계;
상기 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계;
적어도 하나의 백본 구조체를 제거하고, 상기 적어도 하나의 백본 구조체 아래에 존재한 상기 하드마스크 층 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계;
상기 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계;
상기 측부 스페이서들을 제거하는 단계;
상기 적어도 하나의 제1 트렌치로부터 상기 희생 재료를 제거하는 단계;
상기 적어도 하나의 제2 트렌치로부터 상기 충전 재료를 제거하는 단계; 및
상기 적어도 하나의 제1 트렌치 및 상기 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계
를 포함하는 방법.
A method of forming a microelectronic structure comprising:
forming a dielectric layer on the substrate;
forming a hardmask layer on the dielectric layer;
forming a plurality of backbone structures on the hardmask layer;
forming side spacers adjacent to sides of each backbone structure of the plurality of backbone structures;
etching a portion of the hardmask layer and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench;
depositing a sacrificial material within the at least one first trench;
removing at least one backbone structure and etching a portion of the hardmask layer and the dielectric layer underlying the at least one backbone structure to form at least one second trench;
depositing a fill material in the at least one second trench;
removing the side spacers;
removing the sacrificial material from the at least one first trench;
removing the fill material from the at least one second trench; and
depositing a conductive material within the at least one first trench and the at least one second trench;
How to include.
제1항에 있어서,
상기 복수의 백본 구조체를 형성하는 단계는,
상기 하드마스크 층 상에 백본 재료를 퇴적시키는 단계;
상기 백본 재료에 인접하여, 패터닝된 스페이서들을 형성하는 단계; 및
상기 백본 재료를 에칭하여, 상기 패터닝된 스페이서들의 패턴을 상기 백본 재료 내로 전사하는 단계
를 포함하는 방법.
According to claim 1,
Forming the plurality of backbone structures comprises:
depositing a backbone material on the hardmask layer;
forming patterned spacers adjacent the backbone material; and
etching the backbone material to transfer the patterned pattern of spacers into the backbone material;
How to include.
제2항에 있어서,
상기 백본 재료에 인접하여, 패터닝된 스페이서들을 형성하는 단계는,
상기 백본 재료에 인접하여, 패터닝된 희생 하드마스크 구조체들을 형성하는 단계;
상기 패터닝된 희생 하드마스크 구조체들 위에 등각의 스페이서 재료 층(conformal spacer material layer)을 퇴적시키는 단계;
상기 등각의 스페이서 재료 층을 이방성 에칭하는 단계; 및
상기 희생 하드마스크 구조체들을 제거하는 단계
를 포함하는 방법.
3. The method of claim 2,
Adjacent to the backbone material, forming patterned spacers comprises:
forming patterned sacrificial hardmask structures adjacent the backbone material;
depositing a conformal spacer material layer over the patterned sacrificial hardmask structures;
anisotropically etching the conformal spacer material layer; and
removing the sacrificial hardmask structures;
How to include.
제1항에 있어서,
상기 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계는,
상기 복수의 백본 구조체 위에 등각의 측부 스페이서 재료 층(conformal side spacer material layer)을 퇴적시키는 단계; 및
상기 등각의 측부 스페이서 재료 층을 이방성 에칭하는 단계
를 포함하는 방법.
According to claim 1,
forming side spacers adjacent to sides of each backbone structure in the plurality of backbone structures,
depositing a conformal side spacer material layer over the plurality of backbone structures; and
anisotropically etching the conformal side spacer material layer;
How to include.
제1항에 있어서,
상기 측부 스페이서들을 제거하는 단계는 상기 측부 스페이서들을 연마하는 단계를 포함하는 방법.
According to claim 1,
The method of removing the side spacers includes polishing the side spacers.
제1항에 있어서,
상기 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계는, 티타늄 질화물, 티타늄 산화물, 루테늄 및 코발트로 구성되는 그룹으로부터 선택된 재료를 퇴적시키는 단계를 포함하는 방법.
According to claim 1,
Depositing a sacrificial material in the at least one first trench includes depositing a material selected from the group consisting of titanium nitride, titanium oxide, ruthenium, and cobalt.
제1항에 있어서,
상기 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계는, 상기 적어도 하나의 제2 트렌치 내에 탄소 하드마스크를 퇴적시키는 단계를 포함하는 방법.
According to claim 1,
Depositing a fill material in the at least one second trench includes depositing a carbon hardmask in the at least one second trench.
제1항에 있어서,
상기 기판 상에 유전체 층을 형성하는 단계는 로우 k 유전체 층을 형성하는 단계를 포함하는 방법.
According to claim 1,
The method of forming a dielectric layer on the substrate includes forming a low k dielectric layer.
제1항에 있어서,
상기 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계는, 폴리실리콘, 비정질 실리콘, 비정질 탄소, 실리콘 탄화물, 실리콘 질화물 및 게르마늄으로 구성되는 그룹으로부터 선택된 재료로 상기 복수의 백본 구조체를 형성하는 단계를 포함하는 방법.
According to claim 1,
The forming of the plurality of backbone structures on the hardmask layer may include forming the plurality of backbone structures with a material selected from the group consisting of polysilicon, amorphous silicon, amorphous carbon, silicon carbide, silicon nitride, and germanium. How to include.
제1항에 있어서,
상기 적어도 하나의 제1 트렌치 및 상기 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계는 금속을 퇴적시키는 단계를 포함하는 방법.
According to claim 1,
Depositing a conductive material in the at least one first trench and the at least one second trench includes depositing a metal.
마이크로전자 구조체를 형성하는 방법으로서,
기판 상에 유전체 층을 형성하는 단계 - 상기 기판은 제1 콘택 구조체 및 제2 콘택 구조체를 포함함 -;
상기 유전체 층 상에 하드마스크 층을 형성하는 단계;
하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계;
상기 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계;
적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 상기 하드마스크 층의 일부 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계;
상기 적어도 하나의 제1 트렌치로부터 상기 기판의 제1 콘택 구조체까지 연장되는 제1 비아를 형성하는 단계;
상기 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계;
적어도 하나의 백본 구조체를 제거하고, 상기 적어도 하나의 백본 구조체 아래에 존재한 상기 하드마스크 층 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계;
상기 적어도 하나의 제2 트렌치로부터 상기 기판의 제2 콘택 구조체까지 연장되는 제2 비아를 형성하는 단계;
상기 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계;
상기 측부 스페이서들을 제거하는 단계;
상기 적어도 하나의 제1 트렌치로부터 상기 희생 재료를 제거하는 단계;
상기 적어도 하나의 제2 트렌치로부터 상기 충전 재료를 제거하는 단계; 및
상기 적어도 하나의 제1 트렌치, 상기 제1 비아, 상기 적어도 하나의 제2 트렌치 및 상기 제2 비아 내에 전도성 재료를 퇴적시키는 단계
를 포함하는 방법.
A method of forming a microelectronic structure comprising:
forming a dielectric layer on a substrate, the substrate comprising a first contact structure and a second contact structure;
forming a hardmask layer on the dielectric layer;
forming a plurality of backbone structures on the hardmask layer;
forming side spacers adjacent to sides of each backbone structure of the plurality of backbone structures;
etching a portion of the hardmask layer and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench;
forming a first via extending from the at least one first trench to a first contact structure of the substrate;
depositing a sacrificial material within the at least one first trench;
removing at least one backbone structure and etching a portion of the hardmask layer and the dielectric layer underlying the at least one backbone structure to form at least one second trench;
forming a second via extending from the at least one second trench to a second contact structure of the substrate;
depositing a fill material in the at least one second trench;
removing the side spacers;
removing the sacrificial material from the at least one first trench;
removing the fill material from the at least one second trench; and
depositing a conductive material in the at least one first trench, the first via, the at least one second trench, and the second via;
How to include.
제11항에 있어서,
상기 복수의 백본 구조체를 형성하는 단계는,
상기 하드마스크 층 상에 백본 재료를 퇴적시키는 단계;
상기 백본 재료에 인접하여, 패터닝된 스페이서들을 형성하는 단계; 및
상기 백본 재료를 에칭하여, 상기 패터닝된 스페이서들의 패턴을 상기 백본 재료 내로 전사하는 단계
를 포함하는 방법.
12. The method of claim 11,
Forming the plurality of backbone structures comprises:
depositing a backbone material on the hardmask layer;
forming patterned spacers adjacent the backbone material; and
etching the backbone material to transfer the patterned pattern of spacers into the backbone material;
How to include.
제12항에 있어서,
상기 백본 재료에 인접하여, 패터닝된 스페이서들을 형성하는 단계는,
상기 백본 재료에 인접하여, 패터닝된 희생 하드마스크 구조체들을 형성하는 단계;
상기 패터닝된 희생 하드마스크 구조체들 위에 등각의 스페이서 재료 층을 퇴적시키는 단계;
상기 등각의 스페이서 재료 층을 이방성 에칭하는 단계; 및
상기 희생 하드마스크 구조체들을 제거하는 단계
를 포함하는 방법.
13. The method of claim 12,
Adjacent to the backbone material, forming patterned spacers comprises:
forming patterned sacrificial hardmask structures adjacent the backbone material;
depositing a conformal spacer material layer over the patterned sacrificial hardmask structures;
anisotropically etching the conformal spacer material layer; and
removing the sacrificial hardmask structures;
How to include.
제11항에 있어서,
상기 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계는,
상기 복수의 백본 구조체 위에 등각의 측부 스페이서 재료 층을 퇴적시키는 단계; 및
상기 등각의 측부 스페이서 재료 층을 이방성 에칭하는 단계
를 포함하는 방법.
12. The method of claim 11,
forming side spacers adjacent to sides of each backbone structure in the plurality of backbone structures,
depositing a layer of conformal side spacer material over the plurality of backbone structures; and
anisotropically etching the conformal side spacer material layer;
How to include.
제11항에 있어서,
상기 측부 스페이서들을 제거하는 단계는 상기 측부 스페이서들을 연마하는 단계를 포함하는 방법.
12. The method of claim 11,
The method of removing the side spacers includes polishing the side spacers.
제11항에 있어서,
상기 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계는, 티타늄 질화물, 티타늄 산화물, 루테늄 및 코발트로 구성되는 그룹으로부터 선택된 재료를 퇴적시키는 단계를 포함하는 방법.
12. The method of claim 11,
Depositing a sacrificial material in the at least one first trench includes depositing a material selected from the group consisting of titanium nitride, titanium oxide, ruthenium, and cobalt.
제11항에 있어서,
상기 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계는, 상기 적어도 하나의 제2 트렌치 내에 탄소 하드마스크를 퇴적시키는 단계를 포함하는 방법.
12. The method of claim 11,
Depositing a fill material in the at least one second trench includes depositing a carbon hardmask in the at least one second trench.
제11항에 있어서,
상기 기판 상에 유전체 층을 형성하는 단계는 로우 k 유전체 층을 형성하는 단계를 포함하는 방법.
12. The method of claim 11,
The method of forming a dielectric layer on the substrate includes forming a low k dielectric layer.
제11항에 있어서,
상기 하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계는, 폴리실리콘, 비정질 실리콘, 비정질 탄소, 실리콘 탄화물, 실리콘 질화물 및 게르마늄으로 구성되는 그룹으로부터 선택된 재료로 상기 복수의 백본 구조체를 형성하는 단계를 포함하는 방법.
12. The method of claim 11,
The forming of the plurality of backbone structures on the hardmask layer may include forming the plurality of backbone structures with a material selected from the group consisting of polysilicon, amorphous silicon, amorphous carbon, silicon carbide, silicon nitride, and germanium. How to include.
제11항에 있어서,
상기 적어도 하나의 제1 트렌치 및 상기 적어도 하나의 제2 트렌치 내에 전도성 재료를 퇴적시키는 단계는 금속을 퇴적시키는 단계를 포함하는 방법.
12. The method of claim 11,
Depositing a conductive material in the at least one first trench and the at least one second trench includes depositing a metal.
마이크로전자 구조체를 형성하는 방법으로서,
기판 상에 유전체 층을 형성하는 단계 - 상기 기판은 제1 콘택 구조체 및 제2 콘택 구조체를 포함함 -;
상기 유전체 층 상에 하드마스크 층을 형성하는 단계;
하드마스크 층 상에 복수의 백본 구조체를 형성하는 단계;
상기 복수의 백본 구조체 중의 각각의 백본 구조체의 측부들에 인접하여 측부 스페이서들을 형성하는 단계;
적어도 2개의 인접한 백본 구조체 사이에 있는 인접한 측부 스페이서들 사이의 상기 하드마스크 층의 일부 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제1 트렌치를 형성하는 단계;
상기 적어도 하나의 제1 트렌치로부터 상기 기판의 제1 콘택 구조체까지 연장되는 제1 비아를 형성하는 단계;
상기 제1 비아 내로 비아 하드마스크 재료를 퇴적시키는 단계;
상기 적어도 하나의 제1 트렌치 내에 희생 재료를 퇴적시키는 단계;
적어도 하나의 백본 구조체를 제거하고, 상기 적어도 하나의 백본 구조체 아래에 존재한 상기 하드마스크 층 및 상기 유전체 층의 일부를 에칭하여, 적어도 하나의 제2 트렌치를 형성하는 단계;
상기 적어도 하나의 제2 트렌치로부터 상기 기판의 제2 콘택 구조체까지 연장되는 제2 비아를 형성하는 단계;
상기 적어도 하나의 제2 트렌치 내에 충전 재료를 퇴적시키는 단계;
상기 측부 스페이서들을 제거하는 단계;
상기 적어도 하나의 제1 트렌치로부터 상기 희생 재료를 제거하는 단계;
상기 제1 비아로부터 상기 비아 하드마스크 재료를 제거하는 단계;
상기 적어도 하나의 제2 트렌치로부터 상기 충전 재료를 제거하는 단계; 및
상기 적어도 하나의 제1 트렌치, 상기 제1 비아, 상기 적어도 하나의 제2 트렌치 및 상기 제2 비아 내에 전도성 재료를 퇴적시키는 단계
를 포함하는 방법.
A method of forming a microelectronic structure comprising:
forming a dielectric layer on a substrate, the substrate comprising a first contact structure and a second contact structure;
forming a hardmask layer on the dielectric layer;
forming a plurality of backbone structures on the hardmask layer;
forming side spacers adjacent to sides of each backbone structure of the plurality of backbone structures;
etching a portion of the hardmask layer and a portion of the dielectric layer between adjacent side spacers between at least two adjacent backbone structures to form at least one first trench;
forming a first via extending from the at least one first trench to a first contact structure of the substrate;
depositing a via hardmask material into the first via;
depositing a sacrificial material within the at least one first trench;
removing at least one backbone structure and etching a portion of the hardmask layer and the dielectric layer underlying the at least one backbone structure to form at least one second trench;
forming a second via extending from the at least one second trench to a second contact structure of the substrate;
depositing a fill material in the at least one second trench;
removing the side spacers;
removing the sacrificial material from the at least one first trench;
removing the via hardmask material from the first via;
removing the fill material from the at least one second trench; and
depositing a conductive material in the at least one first trench, the first via, the at least one second trench, and the second via;
How to include.
제21항에 있어서,
상기 제1 비아로부터 상기 비아 하드마스크 재료를 제거하는 단계 및 상기 적어도 하나의 제2 트렌치로부터 상기 충전 재료를 제거하는 단계는, 상기 제1 비아로부터 상기 비아 하드마스크 재료를 제거하는 동시에 상기 적어도 하나의 제2 트렌치로부터 상기 충전 재료를 제거하는 단계를 포함하는 방법.
22. The method of claim 21,
The steps of removing the via hardmask material from the first via and removing the fill material from the at least one second trench may include removing the via hardmask material from the first via while simultaneously removing the at least one via hardmask material. and removing the fill material from the second trench.
KR1020167016684A 2014-01-24 2014-12-09 Methods for forming interconnect layers having tight pitch interconnect structures KR102310801B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/163,323 US9379010B2 (en) 2014-01-24 2014-01-24 Methods for forming interconnect layers having tight pitch interconnect structures
US14/163,323 2014-01-24
PCT/US2014/069355 WO2015112272A1 (en) 2014-01-24 2014-12-09 Methods for forming interconnect layers having tight pitch interconnect structures

Publications (2)

Publication Number Publication Date
KR20160110945A KR20160110945A (en) 2016-09-23
KR102310801B1 true KR102310801B1 (en) 2021-10-12

Family

ID=53679706

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167016684A KR102310801B1 (en) 2014-01-24 2014-12-09 Methods for forming interconnect layers having tight pitch interconnect structures

Country Status (6)

Country Link
US (1) US9379010B2 (en)
EP (1) EP3097582B1 (en)
KR (1) KR102310801B1 (en)
CN (1) CN105900227B (en)
TW (1) TWI549245B (en)
WO (1) WO2015112272A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9379010B2 (en) 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
KR102303129B1 (en) * 2016-10-20 2021-09-15 도쿄엘렉트론가부시키가이샤 Methods for Reducing Overlay Errors in Via-to-Grid Patterning
US10163690B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
WO2018125098A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Pitch quartered three-dimensional air gaps
US10181420B2 (en) * 2017-02-06 2019-01-15 Globalfoundries Inc. Devices with chamfer-less vias multi-patterning and methods for forming chamfer-less vias
CN109216163A (en) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 The manufacturing method of semiconductor devices
KR20230006054A (en) 2017-11-30 2023-01-10 인텔 코포레이션 Fin patterning for advanced integrated circuit structure fabrication
CN111886689A (en) 2018-03-19 2020-11-03 朗姆研究公司 Non-chamfer through hole integration scheme
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
US10741609B2 (en) 2019-01-08 2020-08-11 International Business Machines Corporation Pre-patterned etch stop for interconnect trench formation overlying embedded MRAM structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070261016A1 (en) 2006-04-24 2007-11-08 Sandhu Gurtej S Masking techniques and templates for dense semiconductor fabrication
US20070281219A1 (en) 2006-06-01 2007-12-06 Sandhu Gurtej S Masking techniques and contact imprint reticles for dense semiconductor fabrication
US20090140234A1 (en) 2007-11-29 2009-06-04 Renesas Technology Corp. Semiconductor device and method of manufacturing the same
US20110092069A1 (en) 2009-10-20 2011-04-21 International Business Machines Corporation Self-aligned patterned etch stop layers for semiconductor devices

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001217403A (en) * 2000-02-04 2001-08-10 Hitachi Ltd Semiconductor integrated circuit device and manufacturing method therefor
US6429123B1 (en) * 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
CN1146034C (en) * 2001-05-14 2004-04-14 世界先进积体电路股份有限公司 Method for making buried microfine metal conductive wire
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
DE102005047111B3 (en) * 2005-09-30 2007-06-21 Infineon Technologies Ag Method of making a MIM capacitor
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8058177B2 (en) * 2008-07-31 2011-11-15 Intel Corporation Winged vias to increase overlay margin
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US8084310B2 (en) 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
KR101692407B1 (en) * 2010-08-19 2017-01-04 삼성전자주식회사 Method of forming a line pattern structure
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US8846470B2 (en) * 2011-06-06 2014-09-30 International Business Machines Corporation Metal trench capacitor and improved isolation and methods of manufacture
KR101751476B1 (en) 2011-10-17 2017-06-28 삼성전자주식회사 A method for forming a semiconductor memory device
KR20130047851A (en) 2011-11-01 2013-05-09 삼성전자주식회사 Non-volatile memory device and method of manufacturing the same
US9379010B2 (en) 2014-01-24 2016-06-28 Intel Corporation Methods for forming interconnect layers having tight pitch interconnect structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070261016A1 (en) 2006-04-24 2007-11-08 Sandhu Gurtej S Masking techniques and templates for dense semiconductor fabrication
US20070281219A1 (en) 2006-06-01 2007-12-06 Sandhu Gurtej S Masking techniques and contact imprint reticles for dense semiconductor fabrication
US20090140234A1 (en) 2007-11-29 2009-06-04 Renesas Technology Corp. Semiconductor device and method of manufacturing the same
US20110092069A1 (en) 2009-10-20 2011-04-21 International Business Machines Corporation Self-aligned patterned etch stop layers for semiconductor devices

Also Published As

Publication number Publication date
TW201539683A (en) 2015-10-16
TWI549245B (en) 2016-09-11
WO2015112272A1 (en) 2015-07-30
EP3097582A4 (en) 2017-11-01
CN105900227A (en) 2016-08-24
EP3097582A1 (en) 2016-11-30
CN105900227B (en) 2019-06-14
EP3097582B1 (en) 2019-05-22
KR20160110945A (en) 2016-09-23
US20150214094A1 (en) 2015-07-30
US9379010B2 (en) 2016-06-28

Similar Documents

Publication Publication Date Title
KR102310801B1 (en) Methods for forming interconnect layers having tight pitch interconnect structures
US9659860B2 (en) Method and structure to contact tight pitch conductive layers with guided vias
CN107004633B (en) Method and structure for contacting closely spaced conductive layers with lead vias using an alternating hard mask and hermetic etch stop liner scheme
KR102506276B1 (en) Scalable interconnect structures with selective via posts
EP3050087B1 (en) Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
US10522402B2 (en) Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
US10559529B2 (en) Pitch division patterning approaches with increased overlay margin for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
JP6415686B2 (en) MOS type antifuse whose breakdown is accelerated by voids
KR102437717B1 (en) Transition Metal Dry Etching by Atomic Layer Removal of Oxide Layers for Device Fabrication
US10319625B2 (en) Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
CN110024106B (en) Self-aligned hard mask with converted liner
TW202230621A (en) Metallization layer of an interconnect structure for a semiconductor die, method of fabricating the metallization layer, integrated circuit structure comprising the metallization layer and computing device comprising the integrated circuit structure
US9780038B2 (en) AVD hardmask for damascene patterning
TW202230035A (en) Enhanced grating aligned patterning for euv direct print processes
KR102553784B1 (en) Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20200388530A1 (en) Vertical edge blocking (veb) technique for increasing patterning process margin
WO2018182636A1 (en) Integrated fuse in local interconnect and techniques for forming

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right