KR102291056B1 - 보론 및 카본 함유 물질들의 퇴적 - Google Patents

보론 및 카본 함유 물질들의 퇴적 Download PDF

Info

Publication number
KR102291056B1
KR102291056B1 KR1020160045173A KR20160045173A KR102291056B1 KR 102291056 B1 KR102291056 B1 KR 102291056B1 KR 1020160045173 A KR1020160045173 A KR 1020160045173A KR 20160045173 A KR20160045173 A KR 20160045173A KR 102291056 B1 KR102291056 B1 KR 102291056B1
Authority
KR
South Korea
Prior art keywords
boron
carbon
film
substrate
films
Prior art date
Application number
KR1020160045173A
Other languages
English (en)
Other versions
KR20160122659A (ko
Inventor
빌리아미 이. 포레
요스케 기무라
구니토시 남바
와타루 아다치
히데아키 후쿠다
베르네르 크나펀
디터르 피에뤽스
베르트 용블루트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/686,595 external-priority patent/US9576790B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20160122659A publication Critical patent/KR20160122659A/ko
Application granted granted Critical
Publication of KR102291056B1 publication Critical patent/KR102291056B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

보론 및 카본 함유 막들의 퇴적 방법들이 제공된다. 일부 실시예들에 있어서, 컨포말리티 및 식각 속도와 같은 요구되는 특성들을 갖는 B,C 막들의 퇴적 방법이 제공된다. 하나 또는 그 이상의 보론 및/또는 카본 함유 전구체들이 약 400℃보다 작은 온도에서 기판 상에서 분해될 수 있다. 보론 및 카본 함유 막들 중 하나 또는 그 이상은 약 30 옹스트롬보다 작은 두께를 가질 수 있다. 반도체 기판의 도핑 방법들이 제공된다. 반돛 기판의 도핑은 상기 기판을 약 300℃ 내지 약 450℃의 공정 온도에서, 보론, 카본 및 수소를 포함하는 기상 보론 전구체(vapor phase boron precursor)에 노출시킴에 의해 상기 반도체 기판 상에 보론 및 카본 막을 퇴적하는 단계, 및 상기 보론 및 카본 막을 약 800℃ 내지 약 1200℃의 온도에서 어닐링하는 단계를 포함할 수 있다.

Description

보론 및 카본 함유 물질들의 퇴적{Deposition of boron and carbon containing materials}
본 발명은 반도체 장치 제조 분야에 관한 것이며, 더욱 구체적으로는 보론 및 카본 함유 물질들의 퇴적(deposition)에 관한 것이다.
본 발명은, 2013년 10월 16일 출원되고 “컨포말한 실리콘 질화물계 물질들의 퇴적”이라는 명칭의 미국 임시출원 제61/891,813호의 우선권을 주장하는, 2014년 10월 15일에 출원되고 “보론 및 카본 함유 물질들”이라는 명칭의 미국 특허 출원 번호 제14/515,341호의 연속출원이며, 이들은 그 전체로서 본 명세서에 참조로서 원용된다.
보론 및 카본 막들과 같은 보론 및 카본 함유 물질들은 반도체 산업에서의 사용들을 포함하는 광범위한 용도들을 가질 수 있다. 실리콘 질화물계 물질들은 보론 및 카본 성분들을 포함하도록 개질될(modified) 수 있고, 예를 들어 보론 및 카본 성분들을 포함하는 실리콘 질화물 막들을 형성할 수 있다. 보론 및 카본 막들 및 보론 및 카본 성분들을 포함하는 실리콘 질화물 막들은 반도체 장치들의 제조 공정들에서 다양한 어플리케이션들을 가질 수 있다.
반도체 장치들의 물리적 기하학(physical geometry)이 축소됨에 따라 높은 종횡비들(aspect ratios)을 갖는 3차원 구조들 상의 막들의 퇴적이 요구된다. 그러므로, 높은 종횡비들을 갖는 3차원 구조들의 컨포말한 커버리지(conformal coverage) 특성을 보일 수 있는 막들을 제공할 수 있는 퇴적 공정들이 요구된다. 게다가, 막들은 반도체 장치들 내의 하나 이상의 다른 물질들에 대하여 유리한 식각 선택비(etch selectivity) 및/또는 건식 식각(dry etch) 및/또는 습식 식각(wet etch) 공정에서 요구되는 식각 속도를 나타내는 것이 요구된다.
본 발명은 컨포말리티 및 식각 속도와 같은 요구되는 특성들을 갖는 보론 및 카본 함유 물질의 퇴적 방법을 제공한다.
일부 측면들에서, 보론 및 카본을 포함하는 실리콘 질화물 막들의 형성 방법들이 제공된다. 일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 보론 및 카본을 포함하는 실리콘 질화물계 막을 퇴적하는 방법들은, 상기 기판의 표면 상에 반응물의 층을 형성하도록 상기 기판을 기상 실리콘 반응물(vapor phase silicon reactant)에 접촉시키는 단계; 상기 실리콘 반응물을 포함하는 상기 기판의 상기 표면을 질소 반응물에 접촉시키는 단계; 및 상기 기판을 기상 보론 및/또는 카본 반응물에 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판을 기상 실리콘 반응물에 접촉시키는 단계, 상기 실리콘 반응물을 질소 전구체에 접촉시키는 단계, 및 상기 기판을 기상 보론 반응물에 접촉시키는 단계 중 적어도 하나는 2회 이상 수행된다.
반응 공간 내에서 기판 상에 보론 및 카본을 포함하는 실리콘 질화물 박막을 퇴적하는 방법들은 상기 기판을 기상 실리콘 전구체에 노출시키는 단계; 상기 반응 공간으로부터 예를 들어 퍼지 가스(purge gas) 및/또는 진공으로 여분의 실리콘 전구체 및 반응 부산물들(reaction byproducts)을 제거하는 단계; 상기 기판 표면 상에 상기 잔류하는 실리콘 반응물을 질소 전구체에 접촉시키는 단계; 및 상기 기판을 기상 보론 전구체에 노출시키는 단계;를 포함한다. 일부 실시예들에 있어서, 상기 기판을 기상 실리콘 전구체에 접촉시키는 단계, 상기 기판을 퍼지 가스 및/또는 진공에 노출하는 단계; 상기 흡착된 실리콘 반응물을 질소 전구체에 접촉시키는 단계 및 상기 기판을 기상 보론 전구체에 노출하는 단계 중 적어도 하나는 2회 이상 수행될 수 있다.
일부 측면들에서, 보론 카본 막들의 형성 방법들이 제공된다. 일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 보론 및 카본 막들을 퇴적하는 방법들은 상기 기판 상에 상기 보론 및 카본 막을 형성하도록 약 325℃ 내지 약 400℃의 공정 온도에서 상기 기판을 기상 보론 전구체에 접촉시키는 단계를 포함하며, 상기 기상 보론 전구체는 상기 기판 상에서 분해된다.
일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 보론 및 카본 막을 형성하는 방법들은 3차원 구조 상에 상기 보론 및 카본 막을 형성하도록 약 400℃보다 낮은 공정 온도에서 상기 기판 상의 상기 3차원 구조를 기상 보론 전구체에 접촉시키는 단계를 포함하며, 상기 보론 및 카본 막은 약 80%보다 큰 스텝 커버리지를 갖는다. 일부 실시예들에 있어서, 상기 방법들은 상기 기판 상의 상기 3차원 구조를 상기 기상 보론 전구체에 접촉시키는 단계 이후에 상기 반응 공간을 퍼지하는 단계를 포함할 수 있다.
일부 측면들에서, 반도체 기판의 도핑 방법들은, 약 300 내지 약 450℃의 공정 온도에서 상기 기판을 기상 보론 전구체에 노출시킴에 의해 반응 공간 내에서 상기 반도체 기판 상에 보론 및 카본 막을 퇴적하는 단계를 포함할 수 있고, 상기 보론 전구체는 보론, 카본 및 수소를 포함할 수 있다. 상기 보론 및 카본 막은 약 800℃ 내지 약 1200℃의 온도에서 어닐링될 수 있다.
일부 측면들에서, 기판의 도핑 방법들은 화학 기상 퇴적 공정을 이용하여 반응 공간 내에서 기판 상에 보론 및 카본 막을 퇴적하는 단계를 포함할 수 있다. 상기 보론 및 카본 막은 예를 들어, 질소 분위기에서 어닐링될 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막을 퇴적하는 단계는 약 300℃보다 높은 공정 온도에서 비활성 가스(inert gas) 분위기 내에서 3차원 구조물을 포함하는 기판을 기상 보론 전구체에 노출시키는 단계, 및 상기 기판 상의 상기 3차원 구조물을 상기 기상 보론 전구체에 노출시키는 단계 이후에 상기 반응 공간을 퍼지하는 단계를 포함할 수 있다.
일부 측면들에서, 반응 공간 내에서 기판 상에 보론 및 카본 함유 막을 퇴적하는 방법들은, 상기 기판 상에 상기 보론 및 카본 함유 막을 형성하도록 약 250℃ 내지 약 400℃의 공정 온도에서 기판을 기상 보론 전구체와 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기상 보론 전구체는 상기 기판 상에서 분해된다.
일부 실시예들에서, 상기 보론 및 카본 막은 30 옹스트롬보다 작은 두께를 갖는다.
본 발명의 요약 및 선행 문헌들에 대비하여 얻어지는 이점들의 요약 목적으로, 특정한 과제들 및 이점들이 여기 설명된다. 물론, 임의의 특정한 실시예에 따라 이러한 과제들 및 이점들 모두가 필수적으로 얻어질 필요는 없음이 이해될 것이다. 따라서, 예를 들어 당업자들은 본 발명이 다른 과제들 및 이점들을 필수적으로 달성하지 않고 하나의 이점 또는 일 그룹의 이점들을 얻거나 최적화할 수 있는 방식으로 구체화되거나 실행될 수 있다는 점을 인식할 것이다.
이러한 실시예들 모두는 여기 개시된 본 발명의 범위 내일 것이 의도된다. 본 발명이 개시된 임의의 특정 실시예들에 한정되지 않으며, 이러한, 그리고 다른 실시예들이 첨부된 도면들을 참조한 아래의 상세한 설명으로부터 당업자에게 즉각적으로 명백해질 것이다.
본 개시의 이러한, 그리고 다른 특징들, 측면들 및 이점들이 특정한 실시예들의 도면들을 참조로 설명되고, 이는 특정 실시예들을 설명하도록 의도되며 본 발명을 제한하도록 의도되지 않는다.
도 1은 일 실시예에 따른 보론 및 카본 막의 퇴적 공정의 예시의 플로우 차트이다.
도 2a 및 도 2b는 보론 및 카본 막들을 포함하는 막 스택들의 실험예들을 나타낸다.
도 3은 일 실시예에 따른 보론 및 카본 막의 퇴적 공정의 다른 예시의 플로우 차트이다.
도 4는 일 실시예에 따른 공정 온도들에 대한 보론 및 카본 막들의 성장 속도들의 그래프이다.
도 5는 일 실시예에 따라 퇴적된 보론 및 카본 막의 푸리에 변환 적외분광기(Fourier transform infrared spectroscopy, FTIR) 스펙트럼을 나타내는 그래프이다.
도 6a 내지 도 6d는 높은 종횡비의 트렌치 구조 상에 퇴적된 보론 및 카본 막의 SEM 이미지들이다.
도 7은 일부 실시예들에 따른, 습식 식각액에 노출될 때 보론 및 카본 막들의 제거 속도를 나타내는 그래프이다.
도 8은 일부 실시예들에 따른, 온도에 따른 보론 및 카본 막들의 퇴적 속도들을 나타내는 그래프이다.
도 9a는 일 실시예에 따라 퇴적된 보론 및 카본 막의 단면도의 STEM 이미지이다.
도 9b는 도 9a의 보론 및 카본 막의 조성을 나타내는 표이다.
도 10은 여기 설명된 보론 및 카본 막이 도펀트 막으로서 사용된 일 실시예에 따른 실리콘 층 내의 다양한 깊이들에서의 보론 농도의, BSG 막과 비교한, 이차이온 질량 분석법(Secondary Ion Mass Spectrometry, SIMS) 분석을 나타내는 그래프이다.
도 11은 세정실 주변에 노출된 에이징된 보론 및 카본 막의 푸리에 변환 적외분광기(FTIR) 스펙트럼들을 나타내는 그래프이다.
도 12는 일 실시예에 따른 보론 및 카본 막의 예시들의 광학적 특성들 및 퇴적 성능들을 나타내는 표이다.
도 13은 일 실시예에 따른 보론 및 카본을 포함하는 실리콘 질화물 막의 퇴적 공정의 예시의 플로우 차트이다.
도 14는 다른 실시예에 따른 보론 및 카본을 포함하는 실리콘 질화물 막의 퇴적 공정의 예시의 플로우 차트이다.
도 15a는 퇴적 공정 내의 TEB 펄스들의 퍼센트의 함수로서의 보론 및 카본을 포함하는 실리콘 질화물 막들의 예시들의 조성 그래프이다.
도 15b는 퇴적 공정 내의 TEB 펄스들의 퍼센트의 함수로서의 보론 및 카본을 포함하는 실리콘 질화물 막들의 예시들의 막 성장 속도들 그래프이다.
도 16은 보론 및 카본을 포함하는 실리콘 질화물 막들의 예시들의 FTIR 스펙트럼들이다.
도 17은 여기 개시된 실시예들에 따라 퇴적된 보론 및 카본을 포함하는 실리콘 질화물 막들의 예시들의 XRR 데이터를 나타낸다.
도 18은 퇴적 공정 내의 TEB 펄스들의 분율의 함수로서의 보론 및 카본을 포함하는 실리콘 질화물 막들의 예시들의 막 식각 속도들 그래프이다.
도 19a 내지 도 19d는 보론 및 카본을 포함하는 실리콘 질화물 막의 예시의 식각 성능을 나타내는 SEM 이미지들이다.
도 20a 내지 도 20d는 보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 예시의 식각 성능을 나타내는 SEM 이미지들이다.
도 21a 내지 도 21d는 보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 예시의 스텝 커버리지를 나타내는 SEM 이미지들이다.
 특정 실시예들 및 예시들이 아래에 설명될지라도, 당업자는 본 발명이 구체적으로 개시된 실시예들 및/또는 용도들을 넘어 이들의 명백한 개조들 및 동류물들까지 연장함을 이해할 것이다. 따라서, 여기에 개시된 본 발명의 범위는 아래 설명된 임의의 특정 실시예들에 한정되어서는 안됨이 의도된다.
보론 및 카본을 포함하는 막들은 화학적 안정성, 기계적 강도 및 열적 및 전기적 특성들을 포함하는 다양한 요구되는 특성들을 가진다. 그 결과로, 이러한 막들은 반도체, 의료, 군사, 항공 및 핵 산업들에서의 어플리케이션들을 포함하는 많은 기술 분야들에서 다양한 어플리케이션들을 갖는다. 예를 들면, 보론 카본 막들은 중성자 검출기들(neutron detectors)로서, 반도체 장치들의 제조 분야 및 미세전자기계 시스템들(microelectromechanical systems, MEMS) 제조 분야에서 사용된다. 이들은 MEMS 성분들을 위한 마찰 코팅들(tribological coatings)에서, 및/또는 반도체 장치 제조 공정들에서 캡층(cap layer), 희생막들(sacrificial films)로 사용될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 함유막들은 식각 정지막(etch stop layer)으로, 포토리소그래피(photolithography) 패터닝 공정들을 용이하게 하기 위한 층으로, 및/또는 도핑층으로(예를 들어 보론 도펀트 소스와 같은) 사용될 수 있다. 상기 반도체 영역 이외의 다른 용도들이 당업자에게 명백할 것이다.
일부 실시예들에 있어서, 초박형(ultra-thin)의 보론 및 카본 막들과 같은 보론 및 카본이 본질적으로 포함된 막들이, 이러한 물질들을 형성하는 방법들과 함께 제공된다. 예를 들어, 일부 실시예들에 있어서, 서브 나노미터(sub-nanometers) 범위의 두께를 갖는 보론 및 카본 막들이 제공된다.
다른 실시예들에서, 보론 및/또는 카본뿐만 아니라 다른 성분들을 포함하는 막들이 이러한 막들의 형성 방법들과 함께 개시된다. 예를 들어, 일부 실시예들에 있어서, 실리콘 질화물 막들은 보론 및 카본 성분들을 포함하도록 형성될 수 있다. 보론 및 카본을 포함하는 실리콘 질화물 막들은 반도체 장치들을 포함하는 광범위한 어플리케이션들을 가질 수 있다. 보론 및 카본 성분들을 포함하는 실리콘 질화물 막들은 반도체 장치들(예를 들어 핀펫들(finFETs))의 일부분 및/또는 반도체 장치들의 제조 공정들의 일부분을 형성할 수 있다. 예를 들어, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막들은 반도체 장치 제조 공정들 동안에, 예를 들어 트랜지스터 게이트 피쳐(gate feature)를 위한 스페이서(spacer) 물질로서(예를 들어, 핀펫들과 같은 다중 게이트 트랜지스터들 내의 게이트 피쳐들의 스페이서 물질로서), 및/또는 반도체 장치 제조 공정 내의 희생막으로서 3차원(3-D) 피쳐들 상에 퇴적될 수 있다.
 여기 설명된 것과 같이, 보론 및 카본(B,C) 막은 일부 실시예들에서 반도체 소자 제조 공정 내에서 도펀트 막으로서 사용될 수 있다.  예를 들어, 보론 및 카본 막은 실리콘 기판과 같은 반도체 기판을 위한 도펀트 소스를 제공할 수 있다. 일부 실시예들에 있어서, 보론 및 카본이 필수로 포함된 막들은 보론이 도펀트로 작용하는 고상 확산(solid state diffusion, SSD) 층으로 작용할 수 있다. 예를 들어, 보론 및 카본 막은 기판 상에 퇴적될 수 있고, 하부의 기판 내로 보론 및 카본 막으로부터의 보론이 유도되도록(driven into) 퇴적된 상기 보론 및 카본 막에 이후 어닐링 공정이 가해질 수 있다. 
캡층은 일반적으로 어닐링 공정 이전, 이후 또는 도중에 도펀트의 외부 확산(out-diffusion)을 감소시키거나 방지하기 위한 통상의 고상 도핑 체계들 내에서 사용된다. 그러나, 일부 실시예들에 있어서, 보론 및 카본 고상 확산층은 유리하게는 상기 보론 및 카본 고상 확산층들의 직접 상부의 캡층이 없거나 실질적으로 없이, 도펀트 막으로서 사용될 수 있다.  통상의 고상 도핑 체계들에서 사용되는 캡층들은 산화물 및/또는 질화물을 포함할 수 있다. 예를 들어, 통상의 캡층들은 실리콘 산화물(예를 들어 SiO2)을 포함하는 13족, 14족, 또는 15족 원소들의 산화물들 및 실리콘 질화물을 포함할 수 있다.
일부 실시예들에 있어서, 보론 및 카본이 필수로 포함된 막들은 고상 확산층 상에 형성된 캡층으로 작용할 수 있다. 예를 들어, 통상적으로 형성된 보론을 함유하는 고상 확산층을 포함하여 통상적으로 형성된 고상 확산층은 실리콘 기판 위에 퇴적될 수 있고, 보론 및 카본 캡층은 통상적으로 형성된 고상 확산층 상에 퇴적될 수 있고, 이에 따라 이러한 적층 막에 후속적으로 열어닐링 공정이 가해져 하부의 실리콘 기판 내로 도펀트를 유도할 수 있다. 일부 실시예들에 있어서, 통상적으로 형성된 고상 확산층과 결합하여 사용되는 보론 및 카본 캡층은 유리하게는 하부의 기판 내로 요구되는 도펀트 농도를 제공할 수 있다.
여기 설명된 하나 또는 그 이상의 공정들은 보론 카본 막들 및/또는 보론 및 카본을 포함하는 실리콘 질화물 막들을 형성하는 데 사용될 수 있고, 상기 막들은 요구되는 레벨의 3차원 피쳐들의 컨포말한 커버리지 특성, 요구되는 건식 식각 속도, 요구되는 습식 식각 속도, 및/또는 다른 물질에 대한 요구되는 식각 선택비와 같은 하나 또는 그 이상의 요구되는 특성들을 갖는다. 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 보론 카본 막들 및/또는 보론 및 카본을 포함하는 실리콘 질화물 막들은 실리콘 산화물에 대하여 요구되는 식각 선택비를 나타낼 수 있다. 여기서 사용된 것과 같이, 다르게 설명되지 않는 한, 실리콘 산화물은 실리콘 대 산소의 다양한 화학양론비적 비율들 중 임의의 하나를 가질 수 있음이 실리콘 산화물들에 대하여 일반적이라는 점이 당업자에게 이해될 것이다. 일부 실시예들에 있어서, 실리콘 산화물은 실리콘 이산화물(SiO2)을 포함할 수 있으나, 이에 한정되지 않는다. 당업자에게 이해될 수 있는 것과 같이 실리콘 산화물은 다양한 적합한 방법들 중 임의의 것에 따라 형성될 수 있고, 예를 들어 열 실리콘 산화물(thermal silicon oxide, TOX)(예를 들어 실리콘 소자 내의 TOX 층), 화학적 실리콘 산화물 및/또는 자연 실리콘 산화물(native silicon oxide)을 포함할 수 있다. 예를 들면, 여기 설명된 하나 또는 그 이상의 공정들에 따라 퇴적된 보론 및 카본 막 및 보론 및 카본을 포함하는 실리콘 질화물 막과 같은 막들은, 다른 방법들에 의해 퇴적된 유사한 막들에 비하여, 향상된 스텝 커버리지, 습식 식각액(wet etchant)에서의 감소된 식각 속도(예를 들어, 희석 플루오르화 수소산(dilute hydrofluoric acid)(HF 또는 dHF) 용액과 같은 습식 식각액에 대한 저항성), 및/또는 실리콘 산화물에 대한 감소된 습식 식각 속도를 나타낼 수 있다. 예를 들어, 여기 설명된 하나 또는 그 이상의 보론 카본 막들 및/또는 보론 및 카본을 포함하는 실리콘 질화물 막들은, 다른 방법들에 의해 퇴적된 유사한 막들에 비해, 열실리콘 산화물(TOX)에 대한 감소된 습식 식각 속도(예를 들어, 약 0.5 미만을 포함하여, 약 1 보다 작은 상기 TOX의 습식 식각 속도에 대한 상기 실리콘 질화물계 막의 습식 식각 속도의 비율을 제공하기 위하여)를 나타낼 수 있다. 
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막은 트랜지스터 게이트 피쳐를 위한 스페이서 물질로서의 사용을 위해 적합한, 요구되는 유전 상수(dielectric constant)(k-값)를 가질 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막은 아래 논의되는 것과 같이, 약 4.8 내지 약 7 및 약 4.8 내지 약 6을 포함하여, 약 7보다 작은 유전 상수를 가질 수 있다.
보론 및 카본 막들
여기 설명된 것과 같이, 주로 보론 및 카본을 포함하고(또한 아래 논의되는 것과 같이 보론 카본 막들 또는 B,C 막들로 지칭된다), 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 막들은 유리하게는 다양한 요구되는 특성들을 나타낼 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 유리하게는 높은 종횡비들을 갖는 3-D 피쳐들과 같은 기판 상의 3차원 피쳐들 상에 퇴적될 때, 요구되는 수준의 컨포말리티를 나타낼 수 있다. 예를 들어, 약 10:1 이상, 약 20:1 이상, 약 25:1 이상, 약 40:1 이상, 약 50:1 이상, 또는 약 80:1 이상을 포함하여 약 3:1 이상의 종횡비들을 갖는 피쳐들 상에 퇴적될 때, 상기 보론 및 카본 막들은 약 95% 이상을 포함하여, 약 90%보다 큰 컨포말리티를 가질 수 있다. 일부 실시예들에 있어서, 약 40:1 이상 및 약 80:1 이상을 포함하여 약 20:1 이상의 종횡비들을 갖는 피쳐들 상에 퇴적될 때, 상기 보론 및 카본 막들은 약 95% 이상을 포함하여, 약 90%보다 큰 컨포말리티를 갖는다.
일부 실시예들에 있어서, 상기 보론 및 카본 막들은 열 실리콘 산화물들에 대하여 감소된 습식 식각 속도들을 나타낼 수 있다. 예를 들어, 상기 보론 및 카본 막들은 희석 플루오르화 수소산 용액들(dHF) 내에서 감소된 습식 식각 속도들(예를 들어, 상기 dHF에 노출된 TOX 막들의 약 0.3배보다 작은 식각 속도)을 나타낼 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 희석 HF 내에서 무시할 만한 습식 식각 속도들을 가질 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 희석 HF 내에서 약 0.2 나노미터/분(nm/min)보다 작거나, 바람직하게는 약 0.1 nm/min보다 작고, 더욱 바람직하게는 약 0.05 nm/min보다 작은 습식 식각 속도를 갖는다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 질산(HNO3), 수산화나트륨(NaOH), 염산(HCl), 황산(H2SO4) 및/또는 인산(H3PO4)을 포함하는 습식 식각액들 내에서 약 0.2 nm/min 미만, 바람직하게는 약 0.1 nm/min 미만, 더욱 바람직하게는 0.05 nm/min 미만의 식각 속도들을 나타낼 수 있다. 일부 실시예들에 있어서, 상기 인용된 식각액들 중 하나를 사용한 상기 습식 식각 속도는 감지 한도(detection limit) 이하이다.
일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 보론 및 카본 막들은 요구되는 식각 저항성(etch resistance)을 나타내는 한편, 약 2.0 내지 약 2.5 g/cm3의 막 밀도들과 같은 요구되는 막 밀도를 갖는다. 예를 들어, 상기 보론 및 카본 막들은 여기 설명된 것과 같은 습식 식각 속도들을 갖는 한편, 약 2.0 g/cm3 내지 약 2.5 g/cm3의 막 밀도들을 가질 수 있다.
일부 실시예들에 있어서, 상기 보론 및 카본 막들은 여기 더욱 상세하게 설명되는 퇴적-후 처리 공정들(post-deposition treatment processes)과 같은 퇴적-후 처리 공정들이 가해지기 전에 이러한 요구되는 특성들 중 하나 또는 그 이상을 나타낼 수 있다. 일부 실시예들에 있어서, 퇴적-후 처리 공정은 이러한 요구되는 특성들 중 하나 또는 그 이상을 더욱 향상시킨다.
일부 실시예들에 있어서, 실리콘을 포함하는 표면 상에(예를 들어, 실리콘층, 실리콘 질화물층, 실리케이트층 등 실리콘계 층의 표면 상에) 퇴적된 보론 및 카본 막은 다른 물질(실리콘을 포함하지 않는 물질과 같은)의 표면 상에 퇴적된 보론 및 카본 막과 비교할 때와 같이, 향상된 균일성(uniformity) 및/또는 컨포말리티를 나타낼 수 있다. 예를 들어, 실리콘 질화물(SiN) 표면 상에(예를 들어, 실리콘 질화물층의 표면 상에, 예를 들어 실리콘 질화물 기판 상에) 퇴적된 보론 및 카본 막은 향상된 균일성을 나타낼 수 있다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 실리콘계 표면 및 상기 보론 및 카본 막의 하나 또는 그 이상의 성분들 사이의 향상된 상호작용이 유리하게는 상기 퇴적된 막의 향상된 균일성 및/또는 컨포말리티를 용이하게 할 수 있다.
전술한 것과 같이, 보론 및 카본 막은 일반적으로 주로 보론 및 카본을 포함한다. 편이 및 단순화를 위하여, 보론 및 카본 막의 화학식은 여기서 일반적으로 B,C로 지칭된다. 그러나, 당업자는 B,C 막의 실제 화학식이 BxC일 수 있음을 이해할 것이다. 일부 실시예들에 있어서, x는 예를 들어 약 0.1로부터 약 25까지 달라질 수 있다. 일부 실시예들에 있어서, x는 바람직하게는 약 1로부터 약 10까지 달라지며, 더욱 바람직하게는 약 1로부터 약 2까지 달라진다. 예를 들어, x는 약 1.5일 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막은 약 400℃보다 낮은 온도 및 약 1 Torr 내지 약 10 Torr 또는 약 0.001 Torr 내지 약 10 Torr를 포함하여, 약 0.001 Torr 내지 약 760 Torr의 압력에서 기판 표면 상에 하나 또는 그 이상의 보론 전구체들(예를 들어, 보론 반응물들)을 분해시키는 단계를 포함하는 CVD 공정에 의해 기판 상에 퇴적된다. 일부 실시예들에 있어서, 보론 전구체는 보론 및 카본 모두를 포함할 수 있다. 따라서, 일부 실시예들에 있어서 보론 및 카본 막을 퇴적하기 위한 CVD 공정은 퇴적 공정에서 임의의 추가적인 전구체들 없이 보론 및 카본 모두를 포함하는 단일한 보론 전구체의 분해를 포함할 수 있다. 일부 실시예들에 있어서, CVD 공정은 상기 보론 및 카본 막을 형성하도록 상기 기판 표면 상에 둘 또는 그 이상의 전구체들을 분해하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 둘 또는 그 이상의 전구체들 중 적어도 하나는 보론(B)을 포함한다. 일부 실시예들에 있어서, 상기 둘 또는 그 이상의 전구체들 중 적어도 하나는 카본(C)을 포함한다. 일부 실시예들에 있어서, 상기 둘 또는 그 이상의 전구체들은 각각 보론 및 카본을 포함할 수 있다. 예를 들어, 보론 및 카본 막을 퇴적하기 위한 CVD 공정은 둘 또는 그 이상의 보론 전구체들의 퇴적을 포함할 수 있고, 상기 둘 또는 그 이상의 전구체들 각각이 모두 보론 및 카본을 포함할 수 있다. 일부 실시예들에 있어서, 상기 CVD 공정은 보론 전구체들 이외의 임의의 추가적인 전구체들을 포함하지 않는다(예를 들어, 보론 및 카본 막 내에 카본을 제공하기 위한 임의의 전구체들을 개별적으로 포함하지 않는다).
일부 실시예들에 있어서, 상기 보론 및 카본 막들의 상기 퇴적에 플라즈마가 사용되지 않거나, 실질적으로 사용되지 않는다(예를 들어, 보론 및 카본 막 성장을 위하여 플라즈마가 사용되지 않거나 실질적으로 사용되지 않는다). 일부 실시예들에 있어서, CVD 공정은 단일 보론 전구체의 다중 펄스들이 제공되어 요구되는 두께의 막을 퇴적하는 펄스 열 CVD(pulsed thermal chemical vapor deposition) 공정일 수 있다. 일부 실시예들에 있어서, 보론 전구체의 단일 펄스가 제공되어 요구되는 두께의 막을 퇴적한다. 열 CVD 공정은 전구체들의 분해에서 플라즈마를 포함하지 않거나 실질적으로 포함하지 않을 수 있다. 일부 실시예들에 있어서, 보론 전구체 펄스들 사이에, 예를 들어 여분의 반응물 및/또는 반응 부산물들을 상기 반응 공간으로부터 제거하기 위한 퍼지 단계가 수행될 수 있다. 일부 실시예들에 있어서, 상기 기판은 상기 전구체에 노출되지 않는 공간으로 이동될 수 있다.
일부 실시예들에 있어서, 보론 전구체 펄스는 질소 가스 및/또는 비활성 가스(noble gas)(예를 들어 아르곤 가스, 헬륨 가스, 네온 가스, 및/또는 제논 가스)와 같은 하나 또는 그 이상의 캐리어 가스들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 보론 전구체 펄스는 둘 또는 그 이상의 캐리어 가스들의 혼합물을 포함한다. 일부 실시예들에 있어서, 둘 또는 그 이상의 캐리어 가스들의 혼합물은 아르곤 가스 및/또는 수소 가스를 포함한다. 예를 들어, 둘 또는 그 이상의 캐리어 가스들의 혼합물은 질소 가스, 헬륨 가스, 네온 가스, 제논 가스, 아르곤 가스 및 수소 가스로부터 선택되는 둘 또는 그 이상의 가스들을 포함할 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막을 퇴적하기 위한 공정은 단일 캐리어 가스 및 단일 보론 전구체를 포함하며, 여기서 단일 보론 전구체는 보론과 카본을 모두 포함할 수 있다. 일부 이러한 실시예들에서, 상기 공정은 임의의 다른 전구체들 또는 캐리어 가스들을 포함하지 않는다. 예를 들어, 단일 캐리어 가스는 질소 가스(N2) 또는 비활성 가스(예를 들어, 아르곤(Ar) 가스 또는 헬륨(He) 가스)를 포함할 수 있다. 예를 들어, 상기 공정을 위한 보론 전구체 펄스는 단일의 보론 전구체 및 질소 가스(N2), 아르곤(Ar) 가스 또는 헬륨(He) 가스를 포함할 수 있다. 일부 실시예들에 있어서, 보론 및 카본 막을 퇴적하기 위한 공정은 캐리어 가스 혼합물 및 단일의 보론 전구체를 포함하며, 여기서 단일의 보론 전구체는 보론 및 카본을 모두 포함할 수 있다. 이러한 일부 실시예에서, 상기 공정은 상기 캐리어 가스 혼합물 및 단일의 보론 전구체 이외의 임의의 다른 가스들을 포함하지 않는다. 이러한 실시예들에서, 상기 캐리어 가스 혼합물은 질소 가스(N2) 및 비활성 가스를 포함할 수 있다. 예를 들어, 상기 캐리어 가스 혼합물은 질소 가스(N2) 및 아르곤(Ar), 또는 질소 가스(N2) 및 헬륨(He)을 포함할 수 있다. 예를 들어, 상기 공정을 위한 보론 전구체 펄스는 단일 보론 전구체 및 질소 가스(N2) 및 아르곤(Ar) 가스, 또는 단일 보론 전구체 및 질소 가스(N2) 및 헬륨(He) 가스를 포함할 수 있다.
아래에서 더욱 상세하게 논의될 것과 같이, 일부 실시예들에서 상기 CVD 공정은 반응물들이 순환적으로 제공되는 사이클 퇴적 공정(cyclic deposition process)이다. 예를 들어, 기판 상의 보론 및 카본 막의 퇴적은, 요구되는 막 두께를 얻기 위하여 상기 기판이 반응물들과 접촉하는 둘 또는 그 이상의 퇴적 사이클들을 포함할 수 있다. 다른 실시예들에서, 상기 CVD 공정은 연속적 흐름 공정일 수 있다. 예를 들어, 기판 상의 보론 및 카본 막의 퇴적은, 요구되는 막 두께를 얻기 위하여 단일한 시간 주기 동안 상기 기판을 반응물들에 연속적으로 또는 실질적으로 연속적으로 노출시키는 단계를 포함할 수 있다.
도 1은 보론 및 카본(B,C) 막의 형성 공정을 나타내는 플로우 차트(100)이다. 블록(102)에서, 기판은 하나 또는 그 이상의 기상 보론 반응물들(예를 들어, 보론 및/또는 카본 전구체들)에 노출된다. 캐리어 가스는 상기 하나 또는 그 이상의 기상 보론 반응물들을 상기 기판으로 수송하는 데 사용될 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스는 상기 보론 및 카본 막을 형성하기 위하여 상기 반응물들 사이의 및/또는 상기 반응물들과 상기 기판 표면 사이의 하나 또는 그 이상의 상호작용들을 용이하게 할 수 있는 한편, 상기 보론 및 카본 막의 성장에 기여하지 않거나 실질적으로 기여하지 않을 수 있다.
일부 실시예들에 있어서, 상기 기판은 단일한 기상 보론 반응물에 노출된다. 일부 실시예들에 있어서, 상기 단일한 기상 보론 반응물은 보론(B) 및 카본(C) 모두를 포함한다. 일부 실시예들에 있어서, 상기 기판은 둘 또는 그 이상의 기상 반응물들에 노출된다. 예를 들어, 상기 둘 또는 그 이상의 기상 반응물들 중 적어도 하나는 카본(C)을 포함하고, 상기 둘 또는 그 이상의 기상 보론 반응물들 중 적어도 하나는 보론(B)을 포함한다.
일부 실시예들에 있어서, 상기 캐리어 가스는 아르곤(Ar), 질소 가스(N2), 헬륨(He), 제논(Xe) 및/또는 네온(Ne)과 같은 불활성 캐리어 가스를 포함할 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스는 질소 가스, 헬륨 가스, 네온 가스, 제논 가스, 아르곤 가스 및 수소 가스로부터 선택된 둘 또는 그 이상의 가스들을 포함하여, 둘 또는 그 이상의 가스들의 혼합물을 포함할 수 있다. 블록(104)에서, 상기 캐리어 가스 및 상기 하나 또는 그 이상의 기상 보론 반응물들로의 상기 기판의 노출은 펄스 CVD 공정 내에서와 같이 수 회 반복될 수 있다. 예를 들어, 상기 기판은 제1 기간(duration) 동안 상기 캐리어 가스 및 상기 하나 또는 그 이상의 기상 보론 반응물들에 노출될 수 있고, 상기 노출은 약 100회 내지 약 3000회, 약 1000회, 및 약 2000회를 포함하여 약 5회 내지 약 5000회 반복될 수 있다. 일부 실시예들에 있어서, 이러한 노출은 약 1회 내지 약 100회, 약 2회 내지 약 50회, 약 3회 내지 약 20회, 또는 약 5회 내지 약 10회를 포함하여, 약 100회 미만으로 반복될 수 있다.
상기 기간은 상기 반복들 또는 사이클들 각각 내에서 동일할 수 있거나, 또는 하나 또는 그 이상의 사이클들 사이에서 달라질 수 있다. 상기 반복의 횟수는 예를 들어 요구되는 두께의 보론 및 카본 막의 퇴적을 용이하게 하기 위하여 선택될 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스 및 상기 하나 또는 그 이상의 기상 보론 반응물들로의 상기 기판의 노출 이후에 상기 반응 공간 내부로의 상기 하나 또는 그 이상의 기상 보론 반응물들의 불연속적인 흐름이 뒤따를 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스 및 상기 하나 또는 그 이상의 기상 보론 반응물들로의 상기 기판의 노출 이후에 퍼지 단계 및/또는 상기 반응물들로부터 떨어진 공간으로의 상기 기판의 수송(transport)(예를 들어 상기 기판이 상기 반응물들에 노출되지 않거나 실질적으로 노출되지 않도록)이 뒤따를 수 있다. 상기 퍼지 단계는 상기 반응기 챔버로부터 하나 또는 그 이상의 여분의 반응물들 및/또는 반응 부산물들을 제거하도록 구성될 수 있다. 일부 실시예들에 있어서, 퍼지 단계 및/또는 상기 기판의 수송은 상기 캐리어 가스 및 상기 하나 또는 그 이상의 기상 보론 반응물들로의 상기 기판의 각각의 노출 단계를 뒤따른다. 예를 들어, 각각의 사이클 내에서 상기 반응물(들)로의 상기 기판의 각각의 노출 이후에, 상기 기판은 상기 반응물들이 없거나 실질적으로 없는 공간으로 이동될 수 있고, 또는 상기 반응기는 여분의 반응물들 및/또는 반응 부산물들이 퍼지될 수 있다. 일부 실시예들에 있어서, 상기 퍼지 단계는 캐리어 가스의 연속적인 흐름(예를 들어, 반응 펄스 과정에서와 비교할 때 동일하거나 다른 유속에서 복수 성분의 캐리어 가스 중 적어도 하나의 성분과 같은 캐리어 가스의 연속적인 흐름)을 포함한다. 예를 들어, 보론 및 카본 막들의 퇴적을 위한 공정(100)은 상기 하나 또는 그 이상의 기상 보론 반응물들을 주기적으로 흘려주는 한편, 상기 캐리어 가스를 연속적으로 흘려주는 것을 포함한다.
일부 실시예들에 있어서, 보론 및 카본(B,C) 막을 퇴적하기 위한 공정은 화학 기상 퇴적(CVD) 공정을 포함할 수 있다. 도 1을 참조하면, 일부 실시예들에 있어서, 공정(100)은 감소된 공정 온도들, 예를 들어 400℃보다 낮은 온도들에서 수행되는 열 CVD 공정을 포함한다. 열 CVD 공정은 상기 막을 퇴적하는 데 사용되는 전구체들의 분해를 용이하게 하기 위한 것과 같이, 플라즈마가 인가되지 않거나 실질적으로 인가되지 않는 공정일 수 있다. 여기서 칭해지는 상기 공정 온도는 반응기 챔버 서셉터(susceptor), 반응기 챔버 벽의 온도, 및/또는 상기 기판 자체의 온도를 포함할 수 있다. 예를 들어, 일부 실시예들에 있어서, 상기 보론 및 카본 막을 퇴적하기 위한 공정(100)은 약 400℃까지의 공정 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막을 퇴적하기 위한 공정(100)은 약 325℃ 내지 약 400℃, 바람직하게는 약 350℃ 내지 약 400℃, 가장 바람직하게는 약 375℃ 내지 약 400℃의 공정 온도에서 수행될 수 있다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 약 400℃보다 낮은 온도에서의 보론 및 카본 막들의 퇴적은 유리하게는 여기 설명된 하나 또는 그 이상의 요구되는 특성들을 갖는(예를 들어 증가된 컨포말리티 성능, 증가된 균일성, 및/또는 감소된 식각 속도) 보론 및 카본 막들의 형성을 용이하게 하면서 표면 반응에 제한된 영역 내에서의 퇴적을 용이하게 할 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막들(B,C)의 퇴적은 예를 들어 약 250℃ 내지 약 400℃, 또는 약 400℃ 내지 약 425℃를 포함하여, 약 200℃ 내지 약 450℃의 공정 온도에서 수행될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막들(B,C)의 퇴적은 단일 웨이퍼 반응기들 내에서와 같이, 약 300℃ 내지 약 450℃, 또는 약 400℃ 내지 약 425℃를 포함하여, 약 450℃까지의 공정 온도들에서 수행될 수 있다. 예를 들어, 일부 실시예들에 있어서, 보론 및 카본 막은 약 430℃에서와 같이, 약 400℃ 내지 약 450℃의 공정 온도들에서 퇴적될 수 있다. 예를 들어, 단일 웨이퍼 반응기들 내에서 보론 및 카본 막들의 퇴적은 약 400℃ 내지 약 425℃의 공정 온도들에서 수행될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막들(B,C)의 퇴적은 약 400℃까지의 공정 온도들에서 수행될 수 있다. 예를 들어, 일부 실시예들에 있어서, 뱃치 반응기들(batch reactors) 내에서의 보론 및 카본 막들(B,C)의 퇴적은 약 250℃ 내지 약 400℃의 공정 온도들에서, 바람직하게는 약 275℃ 내지 약 375℃, 더욱 바람직하게는 약 300℃ 내지 약 350℃의 공정 온도들에서 수행될 수 있다.
본 개시의 일부 실시예들에 따르면, 공정 동안의 반응 챔버의 압력은 약 0.01 Torr 내지 약 50 Torr, 바람직하게는 약 0.1 Torr 내지 약 10 Torr, 더욱 바람직하게는 약 1 Torr 내지 약 10 Torr를 포함하여, 약 0.001 Torr 내지 약 760 Torr에서 유지된다. 일부 실시예들에 있어서, 보론 및 카본 막들의 퇴적은 약 0.5 Torr 내지 약 8 Torr의 반응기 챔버 압력으로 수행될 수 있다. 예를 들어, 반응기 챔버 압력은 약 6 Torr일 수 있다. 선택된 반응 챔버 압력은 요구되는 보론 및 카본 막들의 형성을 용이하게 하도록 작용할 수 있다. 일부 실시예들에 있어서, 챔버 압력은 반응기 챔버의 구성(예를 들어 뱃치 반응기 또는 단일 웨이퍼 반응기)에 기초하여 선택될 수 있다. 일부 실시예들에 있어서, 뱃치 반응기는 약 0.001 Torr 내지 약 10 Torr의 반응기 챔버 압력을 가질 수 있다. 일부 실시예들에 있어서, 챔버 압력은 요구되는 컨포말리티 및/또는 식각 속도 성능들을 갖는 보론 및 카본 막을 제공하기 위하여 선택될 수 있다. 
여기 설명된 것과 같이, 캐리어 가스는 질소 가스(N2), 헬륨(He), 제논(Xe), 및/또는 네온(Ne)과 같은 불활성 캐리어 가스를 포함할 수 있다. 예를 들어, 도 1의 블록(102)은 기판을 하나 또는 그 이상의 보론 반응물들 및 질소 가스에 노출시키는 단계를 포함할 수 있다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 질소 가스, 헬륨, 제논 및 네온은 증가된 열 전도도(예를 들어, 아르곤(Ar)과 같은 다른 불활성 가스들의 열 전도도와 비교할 때 더욱 큰 열 전도도)를 나타낼 수 있고, 이에 따라 하나 또는 그 이상의 보론 및/또는 카본 전구체들의 분해를 용이하게 할 수 있다. 게다가, 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 증가된 열 전도도를 갖는 캐리어 가스는 높은 종횡비의 피쳐들 상으로 컨포말하고 및/또는 식각 저항성이 있는 보론 및 카본 막의 형성을 용이하게 하면서, 3D 기판 표면의 높은 종횡비 피쳐들 내의 상기 하나 또는 그 이상의 보론 및/또는 카본 전구체들의 분해를 용이하게 할 수 있다. 예를 들어, 질소 가스, 헬륨, 제논, 네온, 아르곤 및/또는 수소 중 둘 또는 그 이상을 포함하는 캐리어 가스 혼합물들을 포함하여, 질소 가스, 헬륨, 제논 및/또는 네온을 포함하는 캐리어 가스의 사용은 컨포말하고, 및/또는 식각 저항성 있는 보론 및 카본 막의 형성을 용이하게 할 수 있다.
일부 실시예들에 있어서, 캐리어 가스는 아르곤(Ar)을 포함할 수 있다. 예를 들어, 도 1의 블록(102)은 기판을 하나 또는 그 이상의 기상 보론 반응물들 및 아르곤 가스에 노출하는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 반응 공간 내로의 하나 또는 그 이상의 기상 보론 반응물들의 흐름은 연속적이거나 실질적으로 연속적일 수 있다. 예를 들어, 보론 및 카본(B,C) 막의 퇴적을 위한 공정(100)은 연속 흐름의 열 CVD 공정을 포함할 수 있다. 예를 들어, 반응 챔버 내로의 하나 또는 그 이상의 기상 보론 반응물들의 흐름은 요구되는 보론 및 카본 막 두께가 얻어질 때까지 계속될 수 있다. 일부 실시예들에 있어서, 보론 반응물 및/또는 캐리어 가스의 유속은 요구되는 보론 및 카본 막을 제공하기 위한 연속 흐름의 열 CVD 공정 동안에 달라질 수 있다. 일부 실시예들에 있어서, 공정 온도 및/또는 반응기 챔버 압력은 요구되는 보론 및 카본 막을 제공하기 위한 연속 흐름의 열 CVD 공정 동안에 달라질 수 있다.
일부 실시예들에 있어서, 상기 보론 및 카본(B,C) 막의 퇴적을 위한 공정(100)은 펄스 열 CVD 공정을 포함한다. 일부 실시예들에 있어서, 상기 공정(100)은 사이클 퇴적 공정을 포함할 수 있다. 예를 들어, 상기 공정(100)의 일 사이클은 상기 반응기 챔버 내부로 요구되는 기간 동안 반응물 펄스를 공급함에 의한 것과 같이, 요구되는 시간 동안 상기 기판을 반응물에 접촉시키는 단계를 포함할 수 있다. 상기 반응물 펄스는 캐리어 가스(예를 들어, 아르곤, 질소 가스, 헬륨 및/또는 네온) 및 적어도 하나 또는 그 이상의 보론 반응물들을 포함할 수 있다. 일부 실시예들에 있어서, 요구되는 두께 및/또는 조성의 보론 및 카본 막을 퇴적하도록 상기 반응물 펄스가 수 회 반복된다(예를 들어, 복수의 사이클들의 반복이며, 각각의 사이클이 반응물 펄스를 포함한다). 
일부 실시예들에 있어서, 하나 또는 그 이상의 반응물 펄스들 이후에, 퍼지 단계 및/또는 반응물들이 없거나 실질적으로 없는 공간 내로의 기판의 수송과 같이, 기판이 반응물에 노출되지 않는 단계가 뒤따를 수 있다. 예를 들어, 상기 기판은 우선 상기 반응물들이 없거나 실질적으로 없는 공간으로 수송될 수 있고, 이후 상기 반응기 챔버는 임의의 여분의 반응물들 및/또는 반응 부산물들이 퍼지될 수 있다. 일부 실시예들에 있어서, 복수의 반응물 펄스들 중 각각의 반응물 펄스 이후에 퍼지 단계 및/또는 상기 반응물들이 없거나 실질적으로 없는 공간으로의 상기 기판의 수송이 뒤따를 수 있다. 상기 퍼지 단계는 상기 반응기 챔버로부터 하나 또는 그 이상의 여분의 반응물들 및/또는 반응 부산물들을 제거하도록 구성될 수 있다. 예를 들면, 퍼지 단계는 상기 반응기 챔버를 통해 하나 또는 그 이상의 퍼지 가스들을 흘리는 단계 및/또는 상기 반응기 챔버를 비워(evacuate) 여분의 반응물들 및/또는 반응 부산물들을 제거하거나 실질적으로 제거하는 단계(예를 들어, 상기 반응기 챔버 상으로 진공을 뽑아냄에 의해)를 포함할 수 있다. 일부 실시예들에 있어서, 상기 퍼지 가스는 불활성 가스(inert gas)를 포함한다. 일부 실시예들에 있어서, 상기 퍼지 가스는 질소 가스를 포함한다. 일부 실시예들에 있어서, 상기 퍼지 가스는 비활성 가스(noble gas)를 포함한다. 일부 실시예들에 있어서, 상기 퍼지 가스는 아르곤 가스를 포함한다.
일부 실시예들에 있어서, 반응물 펄스 이후에 상기 반응기 챔버 내부로 상기 하나 또는 그 이상의 기상 보론 반응물들의 흐름의 중단(discontinuing) 및 상기 캐리어 가스의 연속적인 흐름이 뒤따를 수 있다. 예를 들어, 퍼지 단계는 반응 챔버로부터 반응물을 제거하기 위하여 캐리어 가스의 연속적인 흐름(예를 들어, 반응물 펄스 동안과 비교할 때 더 높은 유속과 같이 동일하거나 다른 유속에서)을 포함할 수 있다. 일부 실시예들에 있어서, 퍼지 단계는 반응기 챔버로부터 여분의 반응물을 제거하기 위하여 둘 또는 그 이상의 가스들을 포함하는 캐리어 가스의 적어도 하나의 성분의 흐름을 연속하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 보론 및 카본 막들을 퇴적하기 위한 공정(100)은 상기 캐리어 가스의 연속적인 흐름을 포함하는 한편, 상기 하나 또는 그 이상의 기상 보론 반응물들의 교대의 흐름(alternating flow)을 포함할 수 있다. 
상기 반응물 펄스의 기간은, 상기 반응기 챔버 내부로의 상기 하나 또는 그 이상의 보론 반응물들의 요구되는 양을 제공하고, 및/또는 요구되는 양의 퇴적을 제공하기 위하여 선택될 수 있다. 일부 실시예들에 있어서, 반응물 펄스는 약 0.1초 내지 약 1초를 포함하여, 약 0.1초 내지 약 5초의 기간을 가질 수 있다. 예를 들어, 반응물 펄스는 약 0.5초의 기간을 가질 수 있다. 일부 실시예들에 있어서, 반응물 펄스는 약 0.3초의 기간을 가질 수 있다.
일부 실시예들에 있어서, 반응물 펄스들 사이의 간격(interval)은 약 1초 내지 약 15초일 수 있다. 일부 실시예들에 있어서, 상기 간격은 여분의 반응물들 및/또는 반응 부산물들을 상기 반응기 챔버로부터 제거하기 위한 퍼지 단계를 포함한다. 일부 실시예들에 있어서, 상기 간격은 반응물들이 없거나 실질적으로 없는 공간으로의 상기 기판의 수송을 포함할 수 있다. 예를 들어, 상기 간격은 반응물들이 없거나 실질적으로 없는 공간으로의 상기 기판의 수송 및 약 1초 내지 약 10초를 포함하여, 약 0.5초 내지 약 15초의 기간을 갖는 퍼지 단계를 포함할 수 있다. 예를 들어, 상기 퍼지 단계는 약 5초의 기간을 가질 수 있다. 일부 실시예들에 있어서, 퍼지 단계는 약 1초의 기간을 가질 수 있다.
일부 실시예들에 있어서, 상기 반응물 펄스의 기간 및/또는 반응물 펄스들 사이의 간격(예를 들어 퍼지 단계의 기간을 포함하여)은 상부에 상기 보론 및 카본 막이 퇴적되는 상기 기판의 표면적, 상부에 상기 보론 및 카본 막이 퇴적되는 3차원 구조의 종횡비, 및/또는 상기 반응기 챔버의 구성에 기초하여 선택될 수 있다. 예를 들어, 상기 반응물 펄스 및/또는 반응물 펄스들 사이의 간격은 더 큰 표면적, 증가된 종횡비들을 갖는 3차원 구조들 상에 보론 및 카본 막의 퇴적 및/또는 뱃치 반응기 내의 퇴적을 위한 증가된 기간을 가질 수 있다. 일부 실시예들에 있어서, 증가된 반응물 펄스 기간 및/또는 반응물 펄스들 사이의 간격은 예를 들어 약 40:1 이상의 종횡비들을 갖는 피쳐들을 포함하며, 약 80:1 이상을 포함하여 매우 높은 종횡비의 피쳐들 상에 퇴적을 위하여 선택된다. 
일부 실시예들에 있어서, 상기 하나 또는 그 이상의 보론 반응물들은 그 내부에 상기 반응물들이 기체 상태로 저장된 개별적인 소스 용기(source container)로부터 상기 반응기 챔버 내부로 공급된다. 각각의 반응물의 증기 압력(vapor pressure)은 상기 반응기 챔버 내부로의 상기 반응물의 이송을 용이하게 할 수 있다. 예를 들어, 상기 증기화된 반응물들은 증기 인출 기술(vapor draw technique)을 사용하여 상기 반응기 챔버 내부로 제공될 수 있다. 일부 실시예들에 있어서, 소스 용기는 약 20℃ 내지 약 25℃의 온도에서 유지될 수 있다. 상기 반응기 챔버 내부로의 증기화된 반응물의 질량 유속(mass flow rate)은, 예를 들어 상기 증기화된 반응물을 상기 반응기 챔버 내부로 제공하기 위한 공급 밸브가 열린 정도를 조절함에 의해 조절될 수 있다. 
일부 실시예들에 있어서, 적합한 보론 반응물은 B-C 결합(B-C bond)을 포함하는 하나 또는 그 이상의 화합물들을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 적어도 하나의 유기 리간드(organic ligand)를 갖는 보론 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 이중 및/또는 삼중 결합들을 가질 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 사이클릭 리간드(cyclic ligand)일 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 국부화되지 않은 전자들(delocalized electrons)을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리알킬보론 화합물들(trialkylboron compounds)을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리에틸보론(B(C2H5)3, TEB)을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리메틸보론(B(CH3)3, TMB)을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 예를 들어 선형 또는 분지형(branched) C3-C8을 포함하며, 더욱 바람직하게는 선형 또는 분지형 C3-C5을 포함하는 선형 또는 분지형 알킬기들을 갖는 트리알킬보론 화합물들을 포함할 수 있다. 적합한 보론 반응물들은 다양한 다른 보론 함유 반응물들을 포함할 수 있다. 일부 실시예들에 있어서, 보론 반응물은 보론 할로겐화물(boron halide), 알킬보론(alkylboron) 및/또는 보레인(borane)을 포함할 수 있다. 일부 실시예들에 있어서, 보론 반응물은 보론 할로겐화물, 보레인 할로겐화물(borane halides) 및 이들의 복합체들을 포함할 수 있다. 예를 들어, 적합한 보론 할로겐화물은 약 0.5 내지 약 1인 할로겐화물에 대한 보론의 비율을 가질 수 있다. 
적합한 보레인들은 화학식 I 또는 화학식 II에 따른 화합물들을 포함할 수 있다.
BnHn+x (화학식 I)
n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, x는 짝수, 바람직하게는 4, 6 또는 8이다. 
BnHm (화학식 II)
n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, m은 1 내지 10, 바람직하게는 2 내지 6에서의 n과는 다른 정수이다. 
화학식 I에 따른 전술한 보레인들 중에서, 예시들은 니도-보레인들(nido-boranes, BnHn +4), 아라크노-보레인들(arachno-boranes, BnHn +6) 및 히프-보레인들(hyph-boranes, BnHn + 8)을 포함한다. 화학식 II에 따른 보레인들 중에서, 예시들은 콘정토-보레인들(conjuncto-boranes, BnHm)을 포함한다. 또한, (CH3CH2)3N--BH3와 같은 보레인 복합체들이 사용될 수 있다.
일부 실시예들에 있어서, 적합한 보론 반응물들은 보레인 할로겐화물, 특히 플루오르화물(fluorides), 브롬화물(bromides) 및 염화물들(chlorides)을 포함할 수 있다. 적합한 화합물의 예시는 B2H5Br이다. 추가의 예시들은 B2F4, B2Cl4 및 B2Br4 같은 높은 보론/할로겐화물 비율을 갖는 보론 할로겐화물들을 포함한다. 보레인 할로겐화물 복합체들을 사용하는 것 또한 가능하다.
일부 실시예들에 있어서, 화학식 III에 따른 할로겐화보레인들(halogenoboranes)은 적합한 보론 반응물들일 수 있다.
BnXn (화학식 III)
X는 Cl 또는 Br이며, X가 Cl일 때, n은 4 또는 8 내지 12의 정수이고, X가 Br일 때, n는 7 내지 10의 정수이다. 
일부 실시예들에 있어서, 화학식 IV에 따른 카보레인들(carboranes)은 적합한 보론 반응물들일 수 있다.
C2BnHn+x (화학식 IV)
화학식 IV에 따른 카보레인들의 예시들은 클로소-카보레인들(closo-carboranes, C2BnHn +2), 니도-카보레인들(nido-carboranes, C2BnHn +4) 및 아라크노-카보레인들(arachno-carboranes, C2BnHn+6)을 포함한다. 
일부 실시예들에 있어서, 화학식 V에 따른 아미노 보레인 어덕트들(amine-borane adducts)은 적합한 보론 반응물들일 수 있다.
R3NBX3 (화학식 V)
R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 H이며, X는 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬, H 또는 할로겐이다.
일부 실시예들에 있어서, 화학식 VI에 따른 B 상의 하나 또는 그 이상의 치환기들(substituents)이 아미노기(amino group)인 아미노 보레인들이 적합한 보론 반응물들일 수 있다.
R2N (화학식 VI)
R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 치환되거나 치환되지 않은 아릴기(aryl group)이다.
적합한 아미노보레인의 예시는 (CH3)2NB(CH3)2이다.  
일부 실시예들에 있어서, 적합한 보론 반응물은 사이클릭 보라진(cyclic borazine, (--BH--NH--)3) 및/또는 그 휘발성 유도체들을 포함할 수 있다. 
일부 실시예들에 있어서, 알킬 보론들 또는 알킬 보레인들은 적합한 보론 반응물들일 수 있고, 상기 알킬이 일반적으로 선형 또는 분지형 C1 내지 C10 알킬, 바람직하게는 C2 내지 C4 알킬이다.
일부 실시예들에 따르면, 보론 및 카본(B,C) 막을 퇴적하기 위한 공정은 약 375℃ 내지 약 400℃의 공정 온도 및 약 0.5 Torr 내지 3 Torr의 압력에서 수행되는 펄스 열 CVD 공정을 포함한다. 상기 공정은 상기 캐리어 가스로서 질소 가스 및 상기 보론 및 카본 반응물로서 트리에틸보론(TEB)을 포함하는 반응물 펄스에 상기 기판을 접촉시키는 단계를 포함할 수 있다. TEB의 공급은 약 20℃ 내지 약 25℃의 온도에서 TEB를 저장하기 위한 소스 용기로부터 인출될 수 있다(예를 들어, 상기 반응기 챔버 내부로의 TEB 흐름을 제공하기 위한 니들 밸브(needle valve)가 약 2 회 돌려(2 turns) 열려있을 수 있다). 상기 반응물 펄스는 약 0.5초의 기간을 가질 수 있다. 일부 실시예들에 있어서, 상기 공정의 단일 사이클은 상기 반응물 펄스 이후에 따라오는 퍼지 단계와 같이 상기 기판이 상기 반응물에 노출되지 않는 기간을 포함할 수 있다. 상기 퍼지 단계는 예를 들어 약 5초의 기간 동안 상기 반응물이 없는 질소 가스의 흐름을 포함할 수 있다. 상기 공정은 요구되는 두께 및/또는 조성의 보론 및 카본 막을 얻도록 상기 반응물 펄스 및 그 이후의 퍼지 단계를 포함하는 사이클을 수 회 반복하는 단계를 포함할 수 있다. 예를 들어, 상기 사이클은 약 1000회까지, 약 1500회, 약 2000회, 또는 약 5000회까지 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 2회 내지 약 2000회, 약 3회 내지 약 2000회, 또는 약 5회 내지 약 5000회를 포함하여, 약 2회 내지 약 1000회 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 50 내지 약 2000회 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 100회 내지 약 1500회 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 100회까지 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 1회 내지 약 100회, 약 10회 내지 약 100회 반복될 수 있다. 일부 실시예들에 있어서, 상기 사이클은 약 2회 내지 약 50회, 약 3회 내지 약 20회, 또는 약 5회 내지 약 10회 반복될 수 있다.
여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 하나 또는 그 이상의 보론 및 카본(B,C) 막들은 유리하게는 3차원 기판 표면들의 높은 종횡비 피쳐들 상에 퇴적될 때와 같이 요구되는 컨포말리티, 및/또는 요구되는 식각 속도 성능들(예를 들어, 희석 HF 용액 내의 습식 식각 속도 성능과 같은 습식 식각 속도 성능)를 나타낼 수 있다. 상기 막들은 또한 약 2.0 g/cm3 내지 약 2.5 g/cm3의 막 밀도들과 같은 감소된 막 밀도를 나타낼 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 예를 들어 약 10:1 이상, 약 25:1 이상 또는 약 50:1 이상을 포함하여 약 3:1 이상인 종횡비들을 갖는 3차원 구조들 상에 상기 보론 및 카본 막들이 형성될 때, 약 80%보다 크고, 바람직하게는 90%보다 크고, 더욱 바람직하게는 95%보다 큰 컨포말리티를 나타낼 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 약 20:1 이상, 약 40:1 이상 또는 약 80:1 이상인 종횡비들을 갖는 3차원 구조들 상에 상기 보론 및 카본 막들이 형성될 때, 약 80%보다 크고, 바람직하게는 90%보다 크고, 더욱 바람직하게는 95%보다 큰 컨포말리티를 나타낼 수 있다. 예를 들어, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 하나 또는 그 이상의 보론 및 카본 막들은 약 150:1 및 약 100:1을 포함하여, 약 250:1까지의 종횡비들을 포함하는 3차원 기판 표면의 높은 종횡비 피쳐들 상에 퇴적될 때, 약 95%보다 큰 컨포말리티 성능을 나타낼 수 있다.
여기 설명된 것과 같이, 보론 및 카본(B,C) 막은 일부 실시예들에 있어서 반도체 장치 제조 공정에서 희생막으로 사용될 수 있다. 예를 들어, 상기 보론 및 카본 막은 식각 공정에서 선택적으로 제거될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 막은 완성된 반도체 장치의 일부분을 형성할 수 있다. 예를 들어, 상기 보론 및 카본 막은 상기 반도체 장치의 제조에서 사용되는 하나 또는 그 이상의 다른 물질들보다 식각에 더욱 저항성이 있을 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막은 건식 식각 공정 및/또는 습식 식각 공정에 의해 식각될 수 있다. 일부 실시예들에 있어서, 희생 보론 및 카본 막은 염소 및/또는 플루오르 함유 플라즈마 공정들과 같은 염소(Cl) 및/또는 플루오르(F)를 포함하는 식각 공정을 사용하여 반도체 제조 동안에 선택적으로 제거될 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막들은 희석 HF 용액들과 같은 습식 식각액을 포함하여, 하나 또는 그 이상의 식각액들에 대하여 더욱 저항성이 있을 수 있다.  
일부 실시예들에 있어서, 상기 보론 및 카본 막은 열 실리콘 산화물(thermal silicon oxide, TOX) 층에 대한 습식 식각 선택비와 같이 요구되는 습식 식각 선택비를 나타낼 수 있다. 예를 들어, 상기 보론 및 카본 막은 상기 열 실리콘 산화물층보다 습식 식각에 더욱 저항성이 있을 수 있고, 약 1보다 작거나(예를 들어, 희석 HF 용액 내에서), 0.5보다 작거나, 또는 0.3보다 작은 상기 열 실리콘 산화물층의 습식 식각 속도에 대한 상기 보론 및 카본 막의 습식 식각 속도의 비율을 갖는다. 일부 실시예들에 있어서, 상기 열 실리콘 산화물층의 습식 식각 속도에 대한 상기 보론 및 카본 막의 습식 식각 속도의 비율은 약 0.1보다 작을 수 있다. 일부 실시예들에 있어서, 상기 열 실리콘 산화물층의 습식 식각 속도에 대한 상기 보론 및 카본 막의 습식 식각 속도의 비율은 약 0.05보다 작을 수 있다.
일부 실시예들에 있어서, 상기 보론 및 카본 막은 유리하게는 희석 HF 용액 내에서의 식각 속도들을 포함하여, 요구되는 습식 식각 속도들을 나타낼 수 있다. 예를 들어, 상기 보론 및 카본 막은 유리하게는 바람직하게는 약 0.1 nm/min보다 작고, 더욱 바람직하게는 약 0.05 nm/min보다 작고, 가장 바람직하게는 약 0.02 nm/min보다 작은 것을 포함하여 약 0.2 nm/min보다 작은 식각 속도들을 나타낼 수 있다. 아래에 더욱 상세히 논의될 것과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 퇴적된 초박형 보론 및 카본 물질들은 유리하게는 희석 HF와 같은 습식 식각액들에 대하여 요구되는 저항성을 나타낼 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막들은 희석 HF에 대하여 약 30초 이상, 더욱 바람직하게는 약 60초 이상, 더욱 바람직하게는 약 120초 이상 저항성이 있거나 실질적으로 저항성이 있을 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막들은 희석 HF 노출에 대하여 약 5분까지, 또는 약 10분까지 저항성이 있거나 실질적으로 저항성이 있을 수 있다. 예를 들어, 초박형 보론 및 카본 막들은 적어도 지시된 시간들 동안 희석 HF에 노출될 때, 약 0.1 nm/min 미만, 약 0.05 nm/min 미만, 또는 약 0.02 nm/min 미만의 식각 속도들을 나타낼 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막들은 10분보다 더 길게 희석 HF 노출에 대하여 저항성이 있거나 실질적으로 저항성이 있을 수 있다.
일부 실시예들에 있어서, 상기 보론 및 카본 막은 다음의 습식 식각 용액들 및 특정한 온도들에서 바람직하게는 약 0.1 nm/min보다 작고, 더욱 바람직하게는 약 0.05 nm/min보다 작고, 가장 바람직하게는 약 0.02 nm/min보다 작은 것을 포함하여, 약 0.2 nm/min의 습식 식각 속도들을 나타낼 수 있다: 상온(예를 들어 약 25℃의 온도)에서 약 85 질량%의 농도에서의 인산(H3PO4) 용액, 약 80℃에서 농축된 질산(HNO3) 용액(예를 들어, 약 65 내지 약 75 질량%의 HNO3 농도를 갖는 용액), 대략 상온(약 25℃의 온도)에서 5.5 질량%의 플루오르화 수소산(HF), 대략 상온(예를 들어 약 25℃의 온도)에서 약 1:1:5의 질산:플루오르화 수소산:물(HNO3:HF:H2O)의 비율을 갖는 용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 10 질량%의 NaOH 농도를 갖는 수산화나트륨(NaOH)의 수용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 농축된 염산(HCl) 용액(예를 들어, 약 35 내지 약 40 질량%의 HCl 농도를 갖는 용액), 및 대략 상온(예를 들어, 약 25℃의 온도)에서 농축된 황산(H2SO4) 용액(예를 들어, 약 90 질량%보다 큰 H2SO4 농도를 갖는 용액).
일부 실시예들에 있어서, 상기 보론 및 카본 막은 선택적으로 제거될 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막은 상기 장치 내의 다른 조성의 막과 같은 다른 물질에 대하여 약 10 이상, 또는 약 20 이상, 또는 약 50 이상을 포함하여 약 5 이상인 식각 선택비(예를 들어, 건식 식각 및/또는 습식 식각 선택비)를 가질 수 있다. 
일부 실시예들에 있어서, 3차원 구조의 측벽 상에 퇴적된 보론 및 카본 막의 일부분은 예를 들어, 상기 3차원 구조 피쳐의 상면 상에 퇴적된 상기 막의 일부분의 식각 속도와 비교할 때, 요구되는 식각 속도를 나타낼 수 있다. 일부 실시예들에 있어서, 3차원 구조의 측벽 상에 퇴적된 보론 및 카본 막의 일부분은 상기 구조의 상면 상에 퇴적된 상기 보론 및 카본 막의 일부분과 같이 균일하거나 실질적으로 균일한 식각 속도를 나타낼 수 있다. 예를 들어, 상기 보론 및 카본 막의 상면 부분의 식각 속도에 대한 상기 보론 및 카본 막의 측벽 일부분의 식각 속도의 비율은 약 2보다 작고, 약 1.5보다 작은 것을 포함하여 약 4보다 작을 수 있다. 일부 실시예들에 있어서, 상기 비율은 약 1이다. 일부 실시예들에 있어서, 상기 보론 및 카본 막의 상면 일부분 및 측벽 일부분의 균일성은 여기 설명된 것과 같은 플라즈마 퇴적-후 처리 공정과 같은 하나 또는 그 이상의 플라즈마 공정들에 노출된 이후에도 유지될 수 있다.
보론 및 카본(B,C) 막 성장 공정을 위한 하나 또는 그 이상의 공정 변수들은 요구되는 보론 및 카본 막 특성을 얻기 위하여 조절될 수 있다. 예를 들어, 보론 반응물의 선택, 반응물 펄스의 기간, 퍼지 단계의 기간, 공정 온도, 및/또는 상기 반응물 펄스의 반복 횟수는 요구되는 특성들을 포함하는 보론 및 카본 막을 제공하도록 결정될 수 있다. 일부 실시예들에 있어서, 반응물 펄스 및 퍼지 단계의 일 사이클의 하나 또는 그 이상의 변수들은 다른 사이클(예를 들어, 도 1을 참조로 설명한 반응물 펄스 및 퍼지 단계의 일 사이클)과는 다를 수 있다. 일부 실시예들에 있어서, 보론 반응물은 B-C 결합을 가질 수 있다. 일부 실시예들에 있어서, 보론 반응물은 알킬기를 포함하는 보론 반응물을 포함하여 탄화수소 리간드(hydrocarbon)와 같은 적어도 하나의 유기 리간드를 포함한다. 
여기 설명되는 것과 같이, 여기 설명된 하나 또는 그 이상의 공정들은 기판 상에 초박형(ultra-thin) 보론 및 카본 막을 형성하는 데 사용될 수 있고, 보론 및 카본 막들은 서브 나노미터 범위의 두께를 갖는다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 30 옹스트롬(Å)보다 작은 두께, 약 20 Å보다 작거나, 약 15 Å보다 작거나, 약 10 Å보다 작거나, 또는 약 7 Å보다 작은 두께를 가질 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 5 Å보다 작은 두께를 가질 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 1 Å과 같은, 약 3 Å보다 작은 두께를 가질 수 있다.
일부 실시예들에 있어서, 여기서 막으로 지칭되기는 하였지만, 초박형 보론 및 카본 막은 기판 상에서 연속적인 층을 형성하지 않을 수 있다. 예를 들어, 초박형 보론 및 카본 막은 그 상부에 초박형 보론 및 카본 막이 형성되는 기판 물질의 모든 표면들을 완전히 커버하지 않을 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 핀홀들(pinholes)을 포함할 수 있다. 여기서 사용된 것처럼, 초박형 보론 및 카본 막의 두께는 상기 막의 평균 두께를 가리킨다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 기판 상에서 연속적인 층을 형성할 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 막은 여기에서 설명된 사이클 펄스 CVD 공정들과 같은 여기서 설명한 하나 또는 그 이상의 사이클 공정들에 따라 형성될 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 펄스 열 CVD 공정에 의해 퇴적될 수 있다. 예를 들어, 보론 및 카본 막을 형성하기 위한 펄스 CVD 공정의 일 사이클은, 일 기간 동안 기판을 하나 또는 그 이상의 전구체들에 노출하는 단계와, 이를 뒤따르는 기판이 보론 전구체들에 노출되지 않는 간격(예를 들어, 전구체들이 없거나 실질적으로 없는 환경으로 기판을 제거함에 의해, 및/또는 퍼지 단계를 수행함에 의해)을 포함할 수 있다. 여기 설명된 것과 같이, 하나 또는 그 이상의 보론 전구체들을 반응 공간 내로 공급하는 것은 캐리어 가스에 의해 수반될 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 1 내지 100 사이클들, 바람직하게는 약 2 내지 50 사이클들, 더욱 바람직하게는 3 내지 20 사이클들을 수행함에 의해 형성될 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 5 내지 약 10 사이클들을 사용하여 형성될 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 막의 사이클 당 퇴적 속도는 그 상부에 초박형 보론 및 카본 물질이 퇴적되는 물질의 조성에 의존할 수 있다. 예를 들어, 알루미늄 질화물(AlN) 기판 상에서의 초박형 보론 및 카본 막 공정의 퇴적 속도는, 실리콘 질화물(SiN) 기판 상에 퇴적할 때의 유사하거나 동일한 초박형 보론 및 카본 퇴적 공정보다 더 낮을 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 막의 형성을 위한 공정은 퇴적 공정 동안 하나 또는 그 이상의 보론 전구체들을 연속적으로 또는 실질적으로 연속적으로 흘리는 단계를 포함할 수 있다. 예를 들어, 상기 공정은 연속적 흐름의 열 CVD 공정을 포함할 수 있다. 일부 실시예들에 있어서, 연속적 흐름 공정은 복수의 반응물 펄스들을 포함하는 공정보다 더욱 짧은 공정을 제공할 수 있다(예를 들어, 퍼지 단계들의 제거에 기인하여). 일부 실시예들에 있어서, 연속적 흐름 공정은 펄스 공정에 대하여 향상된 균일성을 제공할 수 있다. 일부 실시예들에 있어서, 연속적 흐름은 반응 공간 내의 전구체 도즈(dose) 및/또는 전구체 농도 조절에서의 요구되는 정밀성(accuracy)을 제공하도록 선택될 수 있다. 일부 실시예들에 있어서, 연속적 흐름은 반응기 챔버의 구성에 기초하여 선택될 수 있다. 예를 들어, 연속적 흐름 공정은 상대적으로 큰 반응 공간 부피를 갖는 반응기 챔버를 위하여 선택될 수 있다. 일부 실시예들에 있어서, 연속적 흐름 공정은 뱃치 반응기(batch reactor)를 위하여 선택될 수 있다. 일부 실시예들에 있어서, 연속적 흐름 공정은 도즈 조절에서 상대적으로 높은 정밀성을 갖는 반응기 챔버를 위하여 선택될 수 있다. 예를 들어, 연속적 흐름 공정은 특정한 CVD 반응 챔버를 위하여 선택될 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 물질은 단일 웨이퍼 반응기 내에서 형성될 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막은 뱃치 반응기 내에서 형성될 수 있다. 예를 들어, 초박형 보론 및 카본 막을 형성하기 위한 퇴적 공정은 수직형 뱃치 반응기 내에서 수행될 수 있다. 예를 들어, 뱃치 반응기는 약 25개 내지 약 200개의 웨이퍼들, 바람직하게는 약 50개 내지 약 150개의 웨이퍼들의 웨이퍼 로딩을 공정하도록 구성될 수 있다.
전술한 것과 같이, 일부 실시예들에서 뱃치 반응기 내에서 초박형 보론 및 카본 막의 퇴적을 위한 공정 온도는 약 250℃ 내지 약 400℃, 바람직하게는 약 275℃ 내지 약 375℃, 더욱 바람직하게는 약 300℃ 내지 약 350℃일 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 막은 약 5%보다 작고, 바람직하게는 약 2%보다 작은 1 시그마(1 σ) 불균일성을 나타낼 수 있다. 예를 들어, 여기 설명된 하나 또는 그 이상의 공정들을 이용하여 300 밀리미터(mm) 웨이퍼 상에 퇴적된 초박형 보론 및 카본 막은 약 2%보다 작은 1 시그마 불균일성을 나타낼 수 있다. 일부 실시예들에 있어서, 상대적으로 낮은 공정 온도들이 상대적으로 낮은 균일성 특성들을 달성하도록 사용될 수 있다.
일부 실시예들에 있어서, 초박형 보론 및 카본 막은 초박형 보론 및 카본 막 및 또 다른 물질을 포함하는 구조물의 식각 선택비 성능을 향상시키는 데 사용될 수 있다. 예를 들어, 다른 물질은, 희석 HF와 같은 특정한 습식 식각액들을 포함하여 특정한 식각액들에 상대적으로 적은 저항성을 갖는 물질을 포함할 수 있다. 초박형 보론 및 카본 막을 또 다른 물질과 함께 사용하는 것은, 유리하게는 다른 물질의 요구되는 특성들뿐만 아니라 요구되는 식각 특성들을 갖는 결과 구조물의 형성을 용이하게 할 수 있다. 예를 들어, 요구되는 전기적 및/또는 광학적 특성들을 제공하는 한편 요구되는 식각 특성들을 갖는 최종 구조물을 제공하도록 초박형 보론 및 카본 막은 알루미늄 질화물 및/또는 알루미늄 산화물과 함께 사용될 수 있다.
일부 실시예들에 있어서, 다른 물질은 질화물, 탄화물(carbide), 산화물, 및/또는 이들의 혼합물들 중 하나 또는 그 이상을 포함할 수 있다. 일부 실시예들에 있어서, 다른 물질은 금속의 질화물, 탄화물, 및/또는 산화물, 및/또는 반금속(semimetal) 중 하나 또는 그 이상을 포함할 수 있다. 일부 실시예들에 있어서, 다른 물질은 금속의 질화물 및/또는 반금속 중 하나 또는 그 이상을 포함할 수 있다. 예를 들어, 상기 하나 또는 그 이상의 질화물들은 실리콘 질화물, 저머늄 질화물(germanium nitride), 및/또는 알루미늄 질화물을 포함할 수 있다. 일부 실시예들에 있어서, 다른 물질은 금속의 탄화물 및/또는 반금속 중 하나 또는 그 이상을 포함할 수 있다. 일부 실시예들에 있어서, 다른 물질은 금속의 산화물 및/또는 반금속 중 하나 또는 그 이상을 포함할 수 있다. 예를 들어, 상기 하나 또는 그 이상의 산화물들은 저머늄 산화물, 및/또는 실리콘 산화물을 포함할 수 있다.
일부 실시예들에 있어서, 다른 물질은 플라즈마 강화 ALD (plasma enhanced ALD) 및/또는 CVD 공정들을 포함하여, ALD 및/또는 CVD 공정을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 다른 물질은 바람직하게는 ALD 공정을 사용하여, 더욱 바람직하게는 저온 ALD 공정(예를 들어 약 400℃까지의 공정 온도들)을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 다른 물질은 초박형 보론 및 카본 물질과 동일한 툴(예를 들어 클러스터 툴(cluster tool)) 내에서 형성될 수 있다. 예를 들어, 초박형 보론 및 카본 물질을 퇴적하기 위한 반응 챔버는, 기판을 주변 공기(ambient air)에 노출함에 없이 반응기 챔버들 사이의 이동이 수행될 수 있도록(예를 들어 "인시츄(in-situ)"), 다른 물질을 퇴적하는 데 사용되는 반응 챔버와 동일한 클러스터 툴 상에 있을 수 있다. 일부 실시예들에 있어서, 동일한 반응 챔버가 초박형 보론 및 카복 물질 및 다른 물질 모두를 퇴적하기 위하여 사용될 수 있고, 기판은 초박형 보론 및 카복 물질 및 다른 물질을 퇴적하는 단계 사이에 주변 공기에 노출되지 않는다.
일부 실시예들에 있어서, 다른 물질이 먼저 퇴적되고, 상기 다른 물질 상에 초박형 보론 및 카본 막이 퇴적될 수 있다. 예를 들어, 다른 물질은 그 상부에 초박형 보론 및 카본 막이 퇴적되는 기판일 수 있다. 예를 들어, 초박형 보론 및 카본 막은 다른 물질을 위한 캡층으로 작용할 수 있다. 일부 실시예들에 있어서, 초박형 보론 및 카본 막이 먼저 퇴적되고, 초박형 보론 및 카본 막 상에 다른 물질이 퇴적될 수 있다. 예를 들어, 초박형 보론 및 카본 막은 다른 물질을 위한 식각 정지막(etch stop layer)으로 작용할 수 있다. 다른 물질에 대한 캡층 및/또는 식각 정지막으로서의 초박형 보론 및 카본 막의 사용은 결과 구조물의 식각 특성들을 조절하는 것을 용이하게 할 수 있다.
일부 실시예들에 있어서, 약 30 Å보다 작은 두께, 약 20 Å, 약 15 Å, 약 10 Å, 약 7 Å, 약 5 Å 또는 약 3 Å보다 작은 두께를 갖는 초박형 보론 및 카본 막은 희석 HF에 의한 제거에 저항성이 있거나 실질적으로 저항성이 있을 수 있다. 이러한 두께들을 갖는 초박형 보론 및 카본 막은 초박형 보론 및 카본 막 상부에 퇴적된 다른 물질을 위한 식각 정지막으로 작용할 수 있거나, 그 상부에 초박형 보론 및 카본 막이 퇴적되는 다른 물질을 위한 캡층으로 작용할 수 있다. 일부 실시예들에 있어서, 약 50 사이클들까지, 약 20 사이클들까지, 또는 약 10 사이클들까지를 포함하여 약 1 내지 약 100회의 퇴적 사이클들을 사용하여 퇴적된 초박형 보론 및 카본 막은 희석 HF에 의한 식각에 대하여 저항성 또는 실질적인 저항성을 나타낼 수 있다. 약 100회 보다 적은 퇴적 사이클들을 사용하여 퇴적된 초박형 보론 및 카본 막은 초박형 보론 및 카본 막 상부에 퇴적된 다른 물질을 위한 식각 정지막으로 작용할 수 있거나, 그 상부에 초박형 보론 및 카본 막이 퇴적되는 다른 물질을 위한 캡층으로 작용할 수 있다.
여기 설명된 것과 같이, 일부 실시예들에서 보론 및 카본 막(B,C)은 고상 확산(SSD)층과 같은 도펀트막으로 작용할 수 있다. 일부 실시예들에 있어서, 보론 및 카본 막이 도펀트 막으로 작용할 때, 상기 보론 및 카본 막 상부에 캡층이 요구되지 않는다. 일부 실시예들에 있어서, 보론 및 카본 막(B,C)은 그 자체가 기판을 도핑하기 위한 다른 SSD층 상의 캡층으로 작용할 수 있다. 보론 및 카본 막은 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 예를 들어, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층을 퇴적하기 위한 공정은 펄스 열 CVD 공정을 포함할 수 있다. 일부 실시예들에 있어서, 열 CVD 공정은, 그 상부에 보론 및 카본 막이 퇴적되는 표면과 여기 설명된 하나 또는 그 이상의 보론 반응물들을 포함하는 하나 또는 그 이상의 반응물 펄스들을 접촉시키는 단계를 포함할 수 있다. 예를 들어, 반응물 펄스는 트리알킬보론(예를 들어 트리에틸보론(triethylboron, B(C2H5)3, TEB) 및/또는 트리메틸보론(trimethylboron, B(CH3)3, TMB))과 같은 유기 리간드를 포함하는 보론 반응물을 포함하여, B-C 결합을 포함하는 보론 반응물을 포함할 수 있다. 일부 실시예들에 있어서, 반응물 펄스는 아르곤 가스와 같은 캐리어 가스를 포함한다. 예를 들어, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층을 퇴적하기 위한 열 CVD 공정의 일 사이클은, TEB는 상기 사이클의 일부분 동안에만 흐르는 반면 아르곤 가스의 흐름은 상기 사이클 전체를 통해 연속되도록, TEB 및 아르곤 가스를 포함하는 반응물 펄스를 포함할 수 있고, 상기 반응물 펄스에 뒤이어 아르곤 가스의 흐름을 포함하며 TEB의 흐름은 흐르지 않는 퍼지 단계가 뒤따를 수 있다. 일부 실시예들에 있어서, 사이클은 약 1000회까지, 약 1500회, 약 2000회, 또는 약 5000회까지 반복될 수 있다. 일부 실시예들에 있어서, 사이클은 약 2회 내지 약 2000회, 약 3회 내지 약 2000회, 또는 약 5회 내지 약 5000회를 포함하여, 약 2회 내지 약 1000회 반복될 수 있다. 일부 실시예들에 있어서, 사이클은 약 10회 내지 약 1000회 반복될 수 있다. 일부 실시예들에 있어서, 사이클은 약 50회 내지 약 2000회 반복될 수 있다. 일부 실시예들에 있어서, 사이클은 약 100회 내지 약 1500회 반복될 수 있다.
일부 실시예들에 있어서, 반응물 펄스는 약 0.1초 내지 약 1초를 포함하여, 약 0.1초 내지 약 5초의 기간을 가질 수 있다. 예를 들어, 반응물 펄스는 약 0.3초의 기간을 가질 수 있다. 일부 실시예들에 있어서, 퍼지 단계는 약 0.5초 내지 약 5초를 포함하여, 약 0.5초 내지 약 10초의 기간을 가질 수 있다. 예를 들어, 퍼지 단계는 약 1초의 기간을 가질 수 있다.
일부 실시예들에 있어서, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층을 퇴적하기 위한 공정들은 약 350℃ 내지 약 450℃, 또는 약 400℃ 내지 약 450℃를 포함하여, 약 300℃ 내지 약 450℃의 공정 온도에서 수행될 수 있다. 예를 들어, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층은 약 430℃의 온도에서 퇴적될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층은 약 0.5 Torr 내지 약 10 Torr, 예를 들어 약 6 Torr의 반응기 챔버 압력에서 퇴적될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 막 두께는 하부의 기판 상에 요구되는 도핑을 제공하도록 선택될 수 있다. 예를 들어, 보론 및 카본 고상 확산층 및/또는 보론 및 카본 캡층의 두께는 요구되는 기판 도핑을 달성하도록 선택될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 고상 확산층은 약 5 나노미터(nm)까지의 두께를 가질 수 있다. 일부 실시예들에 있어서, 보론 및 카본 고상 확산층은 약 4 nm, 또는 약 3 nm의 두께를 가질 수 있다. 예를 들어, 보론 및 카본 고상 확산층은 약 1 nm의 두께를 가질 수 있다. 일부 실시예들에 있어서, 캡층이 없거나 실질적으로 없이, 기판 상에 보론 및 카본 고상 확산층을 포함하는 막 스택은 약 4 nm보다 작은 두께를 가질 수 있다. 일부 실시예들에 있어서, 보론 및 카본 캡층은 약 4 nm, 또는 약 3 nm까지를 포함하여, 약 5 nm까지의 두께를 가질 수 있다. 예를 들어, 보론 및 카본 캡층은 약 1 nm의 두께를 가질 수 있다. 일부 실시예들에 있어서, 캡층이 없거나 실질적으로 없이, 기판 상에 약 1 nm 두께의 보론 및 카본 고상 확산층을 포함하는 막 스택, 또는 통상의 보론-함유 고상 확산층 상의 약 1 nm 두께의 보론 및 카본 캡층을 포함하는 막 스택은 하부의 기판의 요구되는 도핑을 제공할 수 있다. 일부 실시예들에 있어서, 약 4 nm까지의 두께를 가지며, 캡층이 없거나 실질적으로 없이, 기판 상의 보론 및 카본 고상 확산층을 포함하는 막 스택, 또는 약 4 nm까지의 두께를 가지며, 통상의 보론 함유 고상 확산층 상의 보론 및 카본 캡층을 포함하는 막 스택은 하부의 기판의 요구되는 도핑을 제공할 수 있다.
하부의 기판의 요구되는 도핑을 달성하도록 도펀트 막 내의 요구되는 보론 농도를 제공하기 위해서와 같이, 보론 및 카본 도펀트 막 성장 공정을 위한 하나 또는 그 이상의 공정 변수들이 요구되는 보론 및 카본 도펀트 막 특성을 얻도록 조절될 수 있다. 하부의 기판의 요구되는 도핑을 제공하기 위하여, 요구되는 보론 및 카본 도펀트 막 두께를 얻도록 보론 및 카본 도펀트 막 성장 공정을 위한 하나 또는 그 이상의 공정 변수들이 조절될 수 있다. 예를 들어, 보론 반응물의 선택, 반응물 펄스의 기간, 퍼지 단계의 기간, 공정 온도, 및/또는 반응물 펄스의 반복 횟수는, 요구되는 보론 및 카본 막 두께와 같이 요구되는 특성들을 포함하는 보론 및 카본 막을 제공하기 위하여 결정될 수 있다. 일부 실시예들에 있어서, 요구되는 특성들을 갖는 막을 퇴적하기 위하여 반응물 펄스 및 퍼지 단계의 일 사이클의 하나 또는 다른 변수들은, 다른 사이클(예를 들어, 도 1을 참조로 설명한 것과 같은 반응물 펄스 및 퍼지 단계의 일 사이클)과는 다를 수 있다.
일부 실시예들에 있어서, 열 어닐링 공정은 보론 및 카본 도펀트 막을 퇴적하는 단계 이후에 수행될 수 있다. 예를 들어, 열 어닐링 공정은 고상 도핑 체계를 위한 요구되는 막 스택(예를 들어, 보론 및 카본 고상 확산층을 포함하는 막 스택 또는 보론 및 카본 캡층을 포함하는 막 스택)이 형성된 이후에 수행될 수 있다. 열 어닐링 공정은 보론 도펀트를 하부의 기판으로 유도하고(drive into), 약 800℃ 내지 약 1200℃를 포함하여, 약 800℃ 내지 약 1500℃의 공정 온도 하에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 어닐링 공정은 질소 가스(N2) 및/또는 헬륨 가스(He)를 포함하는 분위기에서 수행될 수 있다. 일부 실시예들에 있어서, 열 어닐링 공정은 수소 가스(H2)를 포함할 수 있다. 일부 실시예들에 있어서, 수소 가스(H2) 함유 분위기는 수소 가스(H2)를 사용하지 않는 열 어닐링 공정과 비교할 때와 같이, 기판 내부로의 보론의 증가된 확산을 제공할 수 있다. 일부 실시예들에 있어서, 열 어닐링 공정은 약 0.5초 내지 약 3초를 포함하여, 약 0.5초 내지 약 5초의 기간을 가질 수 있다. 예를 들어, 열 어닐링 공정은 약 1초 동안 질소 함유 분위기(예를 들어, N2 분위기) 내에서 약 1000℃의 공정 온도에서 수행될 수 있다. 열 어닐링 공정은 하부의 기판 내로의 요구되는 보론 도펀트 프로파일을 얻도록 복수 회 수행될 수 있다. 예를 들어, 열 어닐링 공정은 요구되는 도펀트 프로파일을 얻도록 1회 수행될 수 있다. 예를 들어, 열 어닐링 공정은 요구되는 도펀트 프로파일을 얻도록 2회 수행될 수 있다.
도 2a 및 도 2b는 보론 및 카본(B,C) 막들을 포함하는 막 스택들의 실험예들을 나타낸다. 도 2a는 실리콘 기판 상에 직접 퇴적된 보론 및 카본 도펀트 막을 나타낸다. 예를 들어, 보론 및 카본 막에 열 어닐링 공정을 가하는 것이 상기 보론 및 카본 막으로부터의 보론을 실리콘 기판 내부로 유도할 수 있고 기판에 도펀트를 제공할 수 있도록, 보론 및 카본 막은 실리콘 기판 상부로 직접 퇴적된 고상 확산(SSD)층일 수 있다.
일부 실시예들에 있어서, 언도프트층(un-doped layer)이 기판 상에 형성될 수 있고, 보론 및 카본 고상 확산층이 상기 언도프트층 상에 형성될 수 있다. 일부 실시예들에 있어서, 언도프트층은 실리콘 산화물을 포함할 수 있다. 예를 들어, 보론 및 카본 고상 확산층은 실리콘 기판 상에 형성되었던 실리콘 산화물 층 상에 퇴적될 수 있다. 임의의 특정 이론이나 구동 모드에 한정되지 않고, 언도프트층은 기판 도핑의 조절을 용이하게 할 수 있다. 예를 들어, 보론 및 카본 고상 확산층이 기판 상에 직접 퇴적되지 않도록 기판 상에 언도프트 실리콘 산화물층을 형성하는 것은, 어닐링 이후에 기판 내에 요구되는 보론 농도 프로파일을 제공할 수 있다. 일부 실시예들에 있어서, 언도프트층은 약 0.5 nm 내지 약 3 nm, 약 0.5 nm 내지 약 2 nm, 또는 약 0.5 nm 내지 약 1 nm을 포함하여, 약 0.5 nm 내지 약 4 nm의 두께를 가질 수 있다. 예를 들어, 일부 실시예들에 있어서, 언도프트 실리콘 산화물 층은 약 0.5 nm 내지 약 4 nm의 두께를 가질 수 있다.
여기 설명된 것과 같이, 보론 및 카본 막은 고상 도핑에서 캡층으로 작용할 수 있다. 예를 들어, 통상의 토펀트 막이 기판 상부에 형성될 수 있고, 보론 및 카본 캡층이 상기 통상의 도펀트 층 상부에 형성될 수 있다. 일부 실시예들에 있어서, 통상의 도펀트 막은 보론 도핑된 막일 수 있다. 도 2b는 실리콘 기판 상의 제1 보론 도핑된 막과, 상기 보론 도핑된 막 상의 다른 제2 보론 및 카본 막을 나타낸다. 제1 보론 도핑된 막은 BSG막과 같이, 통상적으로 형성된 보론 함유 고상 확산층을 포함할 수 있다. 통상적으로 형성된 보론 도핑된 막 상의 제2 보론 및 카본 막은 캡층일 수 있다. 일부 실시예들에 있어서, 캡층은 하부의 보론 도핑된 층으로부터의 도펀트의 외부 확산(out-diffusion)을 억제한다. 예를 들어, 제1 보론 도핑된 막이 실리콘 기판 상에 직접 퇴적될 수 있고, 제2 보론 및 카본 캡층이 여기 설명된 공정에 의해 상기 보론 도핑된 막 상에 직접 퇴적될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 캡층은 주변 공기에 노출되지 않고, 또는 실질적으로 노출되지 않고(예를 들어, 보론 도핑된 막 및 보론 및 카본 캡층을 퇴적하기 위한 공정들 사이의 공기 노출이 없이) 보론 도핑된 막 상에 퇴적될 수 있다. 예를 들어, 보론 및 카본 캡층은 인시츄 순차적 퇴적 공정(in-situ sequential deposition process) 내에서 보론 도핑된 막 상에 퇴적될 수 있다. 보론 도핑된 막 및/또는 보론 및 카본 캡층으로부터 보론을 실리콘 기판 내부로 유도하도록 도 2b에 나타난 막 스택에 열 어닐링 공정이 가해질 수 있다.
도 3은 일부 실시예들에 따른 보론 및 카본(B,C) 막들을 형성하기 위한 공정의 다른 예시의 플로우 차트(200)이다. 블록(202)에서, 상기 기판은 보론 및 카본 막 성장 공정에 노출된다. 상기 보론 및 카본 막 성장 공정은 요구되는 두께 및/또는 조성의 보론 및 카본 막을 퇴적하기 위한 펄스 열 CVD 공정과 같은 퇴적 공정을 포함할 수 있다. 예를 들어, 상기 보론 및 카본 막 성장 공정은 반응물 펄스 및 뒤따르는 퍼지 단계(예를 들어, 도 1을 참조로 설명한 반응물 펄스 및 퍼지 단계)를 포함하는 사이클을 수 회 반복하는 단계를 포함할 수 있다. 상기 사이클은 요구되는 보론 및 카본 막 두께 및/또는 조성을 얻기 위하여 수 회 반복될 수 있다.
블록(204)에서, 퇴적-후 처리 공정이 상기 퇴적된 보론 및 카본 막 상에 수행될 수 있다. 일부 실시예들에 있어서, 상기 퇴적-후 처리 공정은 플라즈마 공정을 포함한다. 예를 들어, 상기 처리 공정은 상기 퇴적된 보론 및 카본 막을 소정 기간 동안 하나 또는 그 이상의 에너지를 갖는 종(energized species)에 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 퇴적-후 처리 공정은 상기 보론 및 카본 막을 포함하는 상기 기판을 플라즈마에 접촉시키는 단계를 포함한다. 예를 들어, 상기 기판은 질소 함유 화합물들(예를 들어, 질소 가스), 비활성 가스, 및/또는 산소 함유 화합물들(예를 들어, 산소 가스 및/또는 오존)을 사용하여 생성된 플라즈마에 접촉될 수 있다. 일부 실시예들에 있어서, 상기 퇴적-후 처리 공정 후에 퍼지 단계가 뒤따를 수 있다. 예를 들어, 상기 퍼지 단계는 질소 가스 및/또는 하나 또는 그 이상의 비활성 가스들의 흐름을 포함할 수 있다. 일부 실시예들에 있어서, 상기 퇴적-후 처리 공정 이후에 상기 반응기 챔버를 퍼지하는 단계는 상기 플라즈마 전력을 턴오프(turn-off)시키는 한편, 상기 퇴적-후 처리 공정을 위하여 상기 플라즈마를 생성하는 데 사용되는 하나 또는 그 이상의 상기 가스들을 연속적으로 흘리는 것을 포함할 수 있다. 예를 들어, 상기 퍼지 단계 동안에, 상기 퇴적-후 처리 공정을 위한 상기 플라즈마를 생성하는 데 사용되는 상기 하나 또는 그 이상의 가스들은 상기 반응기 내부로 연속적으로 흐를 수 있는 한편, 상기 플라즈마 전력이 턴오프되고, 상기 퍼지 단계 동안에 상기 하나 또는 그 이상의 가스들의 유속은 상기 퇴적-후 처리 공정 동안의 유속과 동일하거나 다를 수 있다.
일부 실시예들에 있어서, 퇴적-후 처리 공정에 보론 및 카본 막을 노출하는 것은 예를 들어 상기 플라즈마 퇴적-후 처리 공정을 수행하지 않고 형성된 보론 및 카본 막과 비교할 때, 상기 처리된 보론 및 카본 막의 더욱 감소된 식각 속도를 용이하게 할 수 있다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 여기 설명된 것과 같이 플라즈마 퇴적-후 처리 공정에 보론 및 카본 막을 노출하는 것은 상기 보론 및 카본 막의 밀도를 증가시킬 수 있고, 이에 따라 처리되지 않은 보론 및 카본 막과 비교할 때 감소된 식각 속도를 나타내는 처리된 보론 및 카본 막을 제공할 수 있다. 일부 실시예들에 있어서, 상기 보론 및 카본 막을 플라즈마 퇴적-후 처리 공정에 노출한 이후에 3차원 구조의 측벽 상에 퇴적된 상기 보론 및 카본 막의 일부분의 식각 속도는 상기 구조의 상면 상에 퇴적된 상기 보론 및 카본 막의 일부분과 같이 균일하거나 실질적으로 균일한 식각 속도를 나타낼 수 있다(예를 들어, 상기 보론 및 카본 막의 상부 및 측벽 일부분 사이의 식각 속도 균일성은 상기 퇴적-후 처리 공정 이전의 상기 막과 비교할 때 상기 퇴적-후 처리 공정의 플라즈마 공정에 대한 노출 이후에도 유지될 수 있다). 예를 들어, 상기 보론 및 카본 막의 상면 일부분의 식각 속도에 대한 상기 보론 및 카본 막의 측벽 일부분의 식각 속도 비율은 플라즈마 퇴적-후 처리 공정 이후에 약 2보다 작고, 약 1.5보다 작은 것을 포함하여 약 4 미만일 수 있다. 일부 실시예들에 있어서, 상기 비율은 약 1이다.
여기 설명된 것과 같이, 일부 실시예들에 있어서, 플라즈마 퇴적-후 처리 공정은 퇴적된 보론 및 카본 막을 질소 함유 플라즈마에 접촉시키는 단계(예를 들어, 상기 퇴적된 보론 및 카본 막을 질소 함유 래디칼들(radicals) 및/또는 이온들에 접촉시키는 단계)를 포함할 수 있다. 수소(H)를 갖지 않는 질소 함유 화합물들과 같은 하나 또는 그 이상의 질소 함유 화합물들은 질소 함유 플라즈마를 생성하는 데 사용될 수 있다. 예를 들어, 상기 플라즈마 퇴적-후 처리 공정은 질소 가스(N2)를 사용하여 생성된 에너지를 갖는 종을 포함할 수 있다.
일부 실시예들에 있어서, 상기 플라즈마 퇴적-후 처리 공정은 약 10초 내지 약 100초, 또는 약 10초 내지 약 50초를 포함하여 약 1 내지 약 500초, 약 10초 내지 약 300초의 기간 동안 상기 질소 함유 플라즈마에 상기 보론 및 카본 막을 노출하는 단계를 포함한다. 상기 질소 함유 플라즈마 퇴적-후 처리 공정은 약 200℃ 내지 약 500℃, 및 약 200℃ 내지 약 400℃를 포함하여 약 100℃ 내지 약 500℃의 공정 온도에서, 약 1 Torr 내지 약 10 Torr, 약 1 Torr 내지 약 8 Torr를 포함하여 약 0.1 Torr 내지 약 20 Torr의 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 질소 함유 플라즈마를 생성하기 위한 플라즈마 파워는 약 50 와트(W) 내지 약 1000 W, 약 100 W 내지 약 400 W, 약 200 W 내지 약 400 W를 포함하여, 약 50 W 내지 약 2000 W일 수 있다.
일부 실시예들에 있어서, 플라즈마 퇴적-후 처리 공정은 퇴적된 보론 및 카본 막을 비활성 가스(noble gas) 함유 플라즈마에 접촉시키는 단계(예를 들어, 상기 퇴적된 보론 및 카본 막을 비활성 가스 함유 래디칼들 및/또는 이온들에 접촉시키는 단계)를 포함한다. 예를 들어, 상기 플라즈마 퇴적-후 처리 공정은 헬륨(He), 아르곤(Ar) 및/또는 네온(Ne) 가스를 사용하여 생성된 에너지를 갖는 종을 포함하는 플라즈마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 플라즈마 퇴적-후 처리 공정은 상기 보론 및 카본 막을 약 10초 내지 약 100초를 포함하여, 약 10초 내지 약 300초의 기간 동안 상기 비활성 가스 함유 플라즈마에 노출하는 단계를 포함한다. 상기 비활성 가스 함유 플라즈마 퇴적-후 처리 공정은 약 200℃ 내지 약 500℃ 및 약 200℃ 내지 약 400℃를 포함하여 약 100℃ 내지 약 500℃의 공정 온도에서, 약 1 Torr 내지 약 10 Torr 및 약 1 내지 약 8 Torr을 포함하여, 약 0.1 Torr 내지 약 20 Torr의 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 비활성 가스 함유 플라즈마를 생성하기 위한 플라즈마 전력은 약 50 W 내지 약 1000 W, 약 100 W 내지 약 400 W 및 약 200 W 내지 약 400 W를 포함하여, 약 50 W 내지 약 2000 W일 수 있다. 
일부 실시예들에 있어서, 상기 플라즈마 퇴적-후 처리 공정은 퇴적된 보론 및 카본 막을 산소 함유(O) 플라즈마에 접촉시키는 단계(예를 들어, 상기 퇴적된 보론 및 카본 막을 산소 함유 래디칼들 및/또는 이온들에 접촉시키는 단계)를 포함한다. 일부 실시예들에 있어서, 상기 산소 함유 플라즈마는 산소 가스(O2) 및/또는 오존(O3)과 같은 산소 함유 화합물들을 사용하여 생성될 수 있다. 일부 실시예들에 있어서, 상기 플라즈마 퇴적-후 처리 공정은 상기 보론 및 카본 막을 약 10초 내지 약 100초를 포함하여 약 10초 내지 약 300초의 기간 동안 산소 함유 플라즈마에 노출시키는 단계를 포함할 수 있다. 상기 산소 함유 플라즈마 퇴적-후 처리 공정은 약 200℃ 내지 약 500℃ 및 약 200℃ 내지 약 400℃를 포함하여 약 100℃ 내지 약 500℃의 공정 온도에서, 약 1 Torr 내지 약 10 Torr 및 약 1 Torr 내지 약 8 Torr를 포함하여, 약 0.1 Torr 내지 약 20 Torr의 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 산소 함유 플라즈마를 생성하기 위한 플라즈마 전력은 약 50 W 내지 약 1000 W, 약 100 W 내지 약 400 W 및 약 200 W 내지 약 400 W를 포함하여, 약 50 W 내지 약 2000 W일 수 있다.
일부 실시예들에 있어서, 상기 산소 함유 플라즈마(예를 들어, 산소 가스 및/또는 오존을 사용하여 생성된) 퇴적-후 처리 공정은 상기 보론 및 카본 막의 굴절률(refractive index)을 증가시킬 수 있다. 일부 실시예들에 있어서, 상기 산소 함유 플라즈마 퇴적-후 처리 공정은 상기 보론 및 카본 막의 두께를 감소시킬 수 있다(예를 들어, 상기 처리된 막의 두께는 상기 퇴적-후 처리 공정에 노출되기 이전의 상기 막의 두께보다 작을 수 있다). 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 보론 및 카본 막을 산소 함유 플라즈마에 노출하는 것은 상기 막의 수소(H) 및/또는 카본(C) 성분들을 산소(O)로 교체하는 것, 예를 들어 BOx 함유 막을 생성하는 것을 용이하게 할 수 있다. 게다가, 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 상기 막의 상기 수소(H) 및/또는 카본(C) 성분들을 산소(O)로 교체하는 것과 같은 상기 보론 및 카본 막의 조성 변화는 상기 막의 굴절률 감소 및/또는 막 두께 감소(예를 들어, 증가된 막 밀도 및/또는 휘발성 BOx 종의 제거에 기인한)에 의해 반영될 수 있다. 예를 들어, 특정한 조건들 하에서 상기 보론 및 카본 막을 상기 산소 함유 플라즈마에 노출하는 것은 상기 퇴적된 보론 및 카본 막의 완전한 또는 실질적으로 완전한 제거(예를 들어, 상기 보론 및 카본 막의 플라즈마 식각)를 가져올 수 있다. 
일부 실시예들에 있어서, 플라즈마 퇴적-후 처리 공정은 요구되는 두께 및/또는 조성의 보론 및 카본 막의 퇴적 단계 이후에 일 회 수행될 수 있다. 일부 실시예들에 있어서, 플라즈마 퇴적-후 처리 공정은 상기 보론 및 카본 막의 퇴적을 위한 퇴적 공정의 복수 회의 사이클들 중 각각의 반복 이후의 간격들에서(예를 들어, 도 1을 참조로 설명한 것과 같은 상기 반응물 및 퍼지 단계의 사이클의 복수의 반복들 이후에) 수행될 수 있다. 예를 들어, 퇴적-후 처리 공정은 보론 및 카본 막 퇴적 공정의 매 1, 2, 5, 10, 100, 1000 사이클들 이후에 수행될 수 있다. 다른 사이클 횟수들도 또한 적합할 수 있다. 일부 실시예들에 있어서, 요구되는 특성들을 갖는 보론 및 카본 막을 형성하기 위한 플라즈마 퇴적-후 처리 공정의 사이클 횟수에 대한 보론 및 카본 막의 퇴적 공정의 사이클들의 횟수의 비율(예를 들어 Y:X의 비율)은 약 2000:1 내지 약 50:1을 포함하여, 약 5000:1 내지 약 1:1일 수 있다. 일부 실시예들에 있어서, 상기 플라즈마 퇴적-후 처리 공정의 사이클의 횟수에 대한 보론 및 카본 막의 퇴적 공정의 사이클 횟수의 비율은 약 1000:1 내지 약 1:1, 약 500:1 내지 약 1:1, 약 100:1 내지 약 1:1, 약 50:1 내지 약 1:1 및 약 20:1 내지 약 1:1을 포함하여, 약 1500:1 내지 약 1:1일 수 있다.
일부 실시예들에 있어서, 플라즈마 퇴적-후 처리 공정의 하나 또는 그 이상의 변수들은 요구되는 특성들을 갖는 보론 및 카본 막의 형성을 용이하게 하기 위하여 조절될 수 이다. 예를 들어, 기간, 플라즈마 파워, 압력, 플라즈마 조성 및/또는 상기 공정의 반복 횟수는 요구되는 식각 특성들을 갖는 보론 및 카본 막의 제조를 용이하게 하도록 선택될 수 있다.
여기 설명된 하나 또는 그 이상의 보론 및 카본(B,C) 막 퇴적 공정들을 위한 적합한 반응 챔버는 집적 회로의 형성에서의 다양한 다른 공정들이 수행되는 클러스터 툴(cluster tool)의 일부분일 수 있다. 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 보론 및 카본 막 퇴적 공정들은 예를 들어 소형 뱃치 반응기(mini-batch reactor)(예를 들어, 8개 또는 그보다 적은 기판들의 수용량을 갖는 반응기) 및/또는 퍼니스 뱃치 반응기(furnace batch reactor)(예를 들어, 50개 또는 그 이상의 기판들의 수용량을 갖는 반응기)를 포함하는 뱃치 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 보론 및 카본 막 퇴적 공정들은 단일 웨이퍼 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 공간적 반응기 챔버(spatial reactor chamber)가 적합할 수 있다. 일부 실시예들에 있어서, 교차 흐름 구성(cross-flow configuration)을 갖는 반응기 챔버(예를 들어, 상기 반응기 챔버 내에 위치한 기판 표면에 평행하게 또는 실질적으로 평행하게 가스 흐름을 제공하도록 구성된 반응기 챔버)가 적합할 수 있다. 일부 실시예들에 있어서, 샤워헤드(showerhead) 구성을 갖는 반응기 챔버(예를 들어, 상기 반응기 챔버 내에 위치한 기판 표면에 수직하게 또는 실질적으로 수직하게 가스 흐름을 제공하도록 구성된 반응기 챔버)가 적합할 수 있다.
일부 실시예들에 있어서, 도펀트 막들로 작용하는 보론 및 카본 막들에 플라즈마 퇴적-후 처리 공정이 가해지지 않는다. 예를 들어, 보론 및 카본 도펀트 막들에, 하부의 기판 내부로 도펀트를 유도하기 위한 열 어닐링 공정 이전과 같이, 후속의 공정 이전에 여기 설명된 하나 또는 그 이상의 플라즈마 퇴적-후 처리 공정이 가해지지 않을 수 있다.
예시적인 단일 웨이퍼 반응기들은 Pulsar® 2000 및 Pulsar® 3000의 상표 하에서 ASM America, Inc. (Phoenix, AZ)로부터 상용 가능하며, Eagle® XP 및 XP8의 상표 하에서 ASM Japan K.K (Tokyo, Japan)로부터 상용 가능하다. 예시적인 뱃치 ALD 반응기들은 A400™ 및 A412™ 상표 하에서 ASM Europe B.V (Almere, Netherlands)로부터 상용 가능하다. 
B,C 막들의 실험예들
도 4는 일부 실시예들에 따라 퇴적된 보론 및 카본(B,C) 막들의, 공정 온도(섭씨 도)에 대한 사이클당 옹스트롬(Å/cycle)로 나타낸 성장 속도들의 그래프이다. 도 4의 상기 보론 및 카본 막들(B,C)은 교차 흐름 구성을 갖는 Pulsar® 3000 반응기 챔버 내에서 펄스 열 CVD 공정을 사용하여 퇴적되었다. 상기 펄스 열 CVD 공정의 일 사이클은 약 0.5초의 기간을 갖는 반응기 펄스 및 뒤따르는 약 5초의 기간을 갖는 퍼지 단계를 포함하였다. 상기 반응기 펄스는 상기 반응기 챔버 내부로 TEB 및 질소 가스를 공급하는 것을 포함하였다. 상기 TEB는 약 20℃의 온도에서 유지되는 소스 용기로부터 증기화된 TEB를 제공함에 의해 증기 인출 방법을 사용하여 상기 반응기 챔버 내부로 공급되었다. 상기 반응물 펄스 동안의 상기 반응기 챔버의 압력은 약 0.1 Torr 내지 약 10 Torr에서 유지되었다. 상기 퍼지 단계는 상기 반응기 챔버를 통한 질소 가스의 흐름을 포함하였다. 상기 펄스 열 CVD 공정에 따른 보론 및 카본 막들의 성장 속도들은 약 375℃, 약 400℃ 및 약 450℃의 공정 온도들에서 측정되었다. 도 4에 도시된 것과 같이, 사이클 당 상기 보론 및 카본 막의 성장 속도는 공정 온도 증가에 따라 증가되었다. 도 4에 도시된 것과 같이, 펄스 열 CVD 공정과 같은 것을 사용하여 퇴적한 보론 및 카본 막은 상기 공정 온도에 대하여 선형 또는 실질적으로 선형인 관계를 가질 수 있다. 
약 400℃의 공정 온도에서 도 4를 참조로 설명한 상기 공정에 따라 퇴적된 보론 및 카본(B,C) 막들의 조성이 러더포드 백스캐터링 분광기(rutherford backscattering spectrometry, RBS)에 의해 측정되었고, 약 B0. 608C0 .392 또는 B1.5C의 보론 및 카본 조성비(stoichiometry)를 갖는 것으로 밝혀졌다. 약 400℃의 공정 온도에서 도 4를 참조로 설명한 공정에 따라 퇴적된 보론 및 카본 막들의 굴절률은 분광 타원편광 분석법(spectroscopic ellipsometry)에 의해 측정되었다. 굴절률은 약 633 나노미터의 파장에서 약 1.98로 밝혀졌다. 약 400℃의 공정 온도에서 도 4를 참조로 설명한 상기 공정에 따라 퇴적된 막들의 희석 플루오르화 수소산 용액(예를 들어, 0.5 질량%의 수용액 HF 용액) 내의 습식 식각 속도 성능이 측정되었고, 상기 희석 HF 용액에 대하여 상당히 저항성이 있음이 밝혀졌다. 희석 HF 용액 내의 습식 식각 속도는 예를 들어 희석 HF 용액에 대한 약 10분까지의 노출(예를 들어, 약 10분까지의 dHF 디핑(dipping))에도 무시할만하다는 것이 밝혀졌다. 일부 실시예들에 있어서, 무시할만한 식각 속도는 약 30분의 노출 또는 그 이상까지도 관찰되었다. 희석 플루오르화 수소산 용액 내에서의 이러한 막들의 습식 식각 속도는 열 실리콘 산화물(TOX)의 0.3배보다 작음이 밝혀졌다.
도 5는 약 400℃의 공정 온도에서 도 4를 참조로 설명한 상기 공정에 따라 퇴적된 보론 및 카본(B,C) 막들의 푸리에 변환 적외분광기(fourier transform infrared spectroscopy, FTIR) 분석을 나타낸다. 상기 FTIR 분석은 상기 보론 및 카본 막들 내의 C-H, B-H, B-C, B-B 및 C-C 결합들의 존재를 나타낸다. 예를 들어, 약 2902 cm-1 에서의 피크는 C-H 결합들에 기인할 수 있고, 약 2573 cm-1에서의 피크는 상기 막 내의 B-H 결합들에 기인할 수 있다. 1201 cm-1 및 1051 cm-1에서의 피크들은 B-C, B-B 및 C-C 결합들의 존재를 가리킨다.
보론 및 카본(B,C) 막들은 약 400℃의 공정 온도에서 도 4를 참조로 설명한 상기 공정을 사용하여 약 300 밀리미터(mm)의 직경을 갖는 블랑켓 웨이퍼들(blanket wafers) 상에 퇴적되었다. 퇴적은 교차 흐름 구성을 갖는 Pulsar® 3000 반응기 챔버 내에서 수행되었다. 평균 막 두께는 도 4의 펄스 열 CVD 공정의 1000 사이클 적용 이후에 약 35.58 nm로 측정되었다. 퇴적된 보론 및 카본 막은 예를 들어 상기 웨이퍼의 가장자리(leading edge)(예를 들어, 웨이퍼의 노치(notch)로부터 더욱 멀리 떨어진 웨이퍼 에지 부분)와 같은 상기 웨이퍼의 에지와 비교할 때, 상기 웨이퍼의 중심을 향해 증가되는 막 두께를 보였다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 이러한 두께 프로파일은 표면 반응이 제한하는 성장 메커니즘(surface reaction limited growth mechanism)을 가리키며, 상기 두께 변화가 그 위에 상기 웨이퍼가 위치하는 서셉터의 온도 변화들에 기인할 수 있다. 표면 반응이 제한하는 성장은 유리하게는 3차원 피쳐들 상으로 보론 및 카본 막의 퇴적을 위한 컨포말리티 성능 향상을 용이하게 할 수 있다.
보론 및 카본(B,C) 막들이 약 450℃의 공정 온도에서 교차 흐름 구성을 갖는 Pulsar® 3000 반응기 챔버 내에서 블랑켓 웨이퍼들 상에 퇴적되었다. 퇴적된 보론 및 카본 막의 평균 두께는 도 4의 상기 펄스 열 CVD 공정의 1000 사이클 적용 이후에 약 81.88 nm였다. 약 400℃에서 퇴적된 상기 보론 및 카본 막과 비교할 때, 약 450℃에서 퇴적된 상기 막들은 증가된 두께를 보였다. 퇴적된 보론 및 카본 막들은 예를 들어 400℃에서 퇴적된 상기 보론 및 카본 막들과 비교할 때, 상기 블랑켓 웨이퍼의 가장자리에 가까운 증가된 두께를 가졌다. 임의의 특정한 이론이나 구동 모드에 한정되지 않고, 상기 가장자리에 근접한 증가된 두께는 표면 반응이 제한하는 성장 메커니즘과 대비되는 질량 이동이 제한하는(mass-transport limited) 막 성장 메커니즘을 가리킬 수 있다.
도 6a 내지 도 6d는 도 4를 참조로 설명한 상기 퇴적 공정을 사용하여 높은 종횡비의 트렌치 구조(500) 상에 퇴적된 보론 및 카본(B,C) 막의 단면도들을 나타내는 주사 전자 현미경(scanning electron microscopy, SEM) 이미지들이다. 상기 보론 및 카본 막은 약 400℃의 공정 온도에서, Pulsar® 3000 반응기 챔버 내에서, 도 4를 참조로 설명한 상기 반응물 펄스 및 뒤따르는 퍼지 단계의 1500회 사이클 적용에 의해 퇴적되었다. 도 6a는 높은 종횡비의 트렌치 구조(500)의 SEM 이미지를 약 1만5천 배율로 나타낸다. 도 6b는 높은 종횡비의 트렌치 구조(500)의 상측 부분(52)의 SEM 이미지를 약 10만 배율로 나타낸다. 도 6c는 높은 종횡비의 트렌치 구조(500)의 중앙 부분(504)의 SEM 이미지를 약 10만 배율로 나타내며, 도 6d는 높은 종횡비의 트렌치 구조(500)의 하측 부분(506)의 SEM 이미지를 약 10만 배율로 나타낸다. 높은 종횡비의 트렌치 구조의 상측, 중앙 및 하측 부분들 각각 내에 퇴적된 보론 및 카본 막의 두께가 도 6b, 도 6c 및 도 6d에 각각 도시된다. 도 6a 내지 도 6d에 도시된 것과 같이, 상대적으로 균일한 막 두께가 상기 트렌치 구조의 상기 상측, 중앙 및 하측 부분들에서 상기 높은 종횡비의 트렌치 구조의 측면들 상에 얻어지고, 예를 들어, 상기 퇴적된 보론 및 카본 막의 향상된 컨포말리티를 나타낸다. 예를 들어, 높은 종횡비의 트렌치 구조(500)의 상측 부분(502)에서 약 72 nm의 막 두께가 측정되었고, 상기 트렌치 구조(500)의 중앙 부분(504)에서 약 69 nm의 막 두께가 측정되었으며, 상기 트렌치 구조(500)의 하측 부분(506)에서 약 69 nm의 막 두께가 측정되었고, 예를 들어 약 95% 또는 그 이상의 컨포말리티가 얻어졌음을 보여준다. 임의의 특정한 이론이나 구동 모드에 한정되지 않고, 약 400℃ 또는 이하의 공정 온도에서 상기 보론 및 카본 막의 퇴적은 표면 반응이 제한하는 영역(surface-reaction limited regime) 내에서의 상기 막의 퇴적을 용이하게 할 수 있고, 상기 퇴적된 막의 향상된 컨포말리티를 용이하게 한다.
도 7은 대응되는 보론 및 카본 막을 형성하기 위하여 사용된 퇴적 사이클들의 횟수에 따라, 0.5 중량% HF 용액(희석 HF 용액)에 노출될 때의 다양한 보론 및 카본(B,C)막들의 제거 속도를 나타내는 그래프이다. y축은 60초 동안 희석 HF에 상기 막들을 노출시킨 후에, 제거된 보론 및 카본 막들의 두께들을 옹스트롬(Å) 단위로 나타낸다. x축은 개별적인 보론 및 카본 막들을 형성하는 데 사용된 퇴적 사이클들의 횟수를 나타내며, 제거 속도들은 1 사이클, 10 사이클, 20 사이클 및 30 사이클을 사용하여 퇴적된 보론 및 카본 막들에 대하여 측정되었다. 네 개의 다른 기판들 상에 퇴적된 보론 및 카본 막들을 위한, 희석 HF에 대한 노출 이후의 식각 성능이 도시된다. 도 7에서의 식각 성능 곡선 A는 낮은 공정 온도의 공정을 사용하여 형성된 실리콘 질화물(SiN) 상에 퇴적된 보론 및 카본 막들에 대응된다. 식각 성능 곡선 B는 자연 실리콘 산화물 상에 퇴적된 보론 및 카본 막들에 대응된다. 식각 성능 C는 알루미늄 질화물(AlN) 상에 퇴적된 보론 및 카본 막들에 대응되며, 여기서 알루미늄 질화물의 형성 이후와 상기 알루미늄 질화물 상의 보론 및 카본 막 퇴적 이전에, 상기 알루미늄 질화물에 대하여 공기 휴지기(air break)가 가해졌다. 도 7의 식각 성능 곡선 D는 알루미늄 질화물(AlN) 상에 퇴적된 보론 및 카본 막들에 대응되며, 여기서 알루미늄 질화물의 형성 이후와 상기 알루미늄 질화물 상의 보론 및 카본 막 퇴적 이전에, 상기 알루미늄 질화물에 대하여 공기 휴지기가 가해지지 않았다.
도 7의 보론 및 카본 막들은 120-웨이퍼 로딩을 갖는 뱃치 반응기 내에서 퇴적되었다. 상기 막들은 대응되는 횟수의 퇴적 사이클들 동안 반응기 챔버 내부로 공급됨에 의해 퇴적되었다. 보론 및 카본 막 퇴적 공정의 상기 사이클들 각각은 약 5초의 기간을 갖는 보론 전구체 펄스를 포함하였고, 여기서 보론 전구체 펄스는 반응기 챔버 내부로 TEB 및 질소 가스(N2)의 흐름을 포함하였으며, 질소 가스(N2)가 불활성 캐리어 가스로 작용하였다. 각각의 사이클은 약 350℃의 공정 온도에서 수행되었고, 보론 전구체 펄스를 뒤따르는 퍼지 단계를 포함하였다. 퍼지 단계는 약 18초의 기간을 가졌고, 반응기 챔버 내부로의 질소 가스(N2)의 흐름을 포함하였다.
도 7에 도시된 것과 같이, 보론 및 카본 막들은 일반적으로 상기 막들을 형성하는 데 사용된 퇴적 사이클들의 횟수가 증가됨에 따라 희석 HF에 의한 제거에 대하여 증가된 저항성을 나타내었다. 10회 이상의 퇴적 사이클들을 사용하여, 저온 공정 실리콘 산화물 상에 퇴적된 보론 및 카본 막은 희석 HF에 60초간 노출에 의한 제거에 대하여 저항성을 나타냈다. 반면, 20회 이상의 퇴적 사이클들을 사용하여, 자연 실리콘 산화물 상에 퇴적된 보론 및 카본 막은 희석 HF에 60초간 노출에 의한 제거에 대하여 저항성을 나타냈다. 알루미늄 질화물 상에 20회 퇴적 사이클들을 사용하여 퇴적되고, 공기 휴지기가 가해진 보론 및 카본 막은 희석 HF에 대한 60초 노출에 의한 제거에 대하여 저항성을 나타낸 반면, 희석 HF에 대한 60초 노출에 의한 제거에 대하여 저항성을 나타내며 공기 휴지기가 가해지지 않은 알루미늄 질화물 상에 보론 및 카본 막을 퇴적하기 위하여 30 퇴적 사이클들이 사용되었다.
도 8은 공정 온도에 따라 보론 및 카본(B,C) 막들의 퇴적 속도들을 나타내는 그래프이며, 여기서 퇴적 공정들을 위한 반응물 펄스는 TEB 및 아르곤 가스를 포함하였다. 퇴적 속도들이 나노미터/분(nm/min)의 단위로 y축에 도시되고, 각각의 그래프의 퇴적 속도에 대응되는 공정 온도들이, 섭씨 도(℃)의 단위로 x축 상에 도시되었다. 도 8의 보론 및 카본 막들은 Eagle® 12 반응기 내에서 펄스 열 CVD 공정을 사용하여 퇴적되었다. 펄스 열 CVD 공정의 일 사이클은 약 0.3초의 기간을 갖는 반응물 펄스와, 이에 뒤따르는 약 1초의 기간을 갖는 퍼지 단계를 포함하였다. 반응물 펄스는 반응기 챔버 내로 TEB와 아르곤 가스를 공급하는 것을 포함하였다. TEB 는 증기 인출 방법을 사용하여, 약 20℃의 온도에서 유지되는 소스 용기로부터 증기화된 TEB를 제공함에 의해 반응기 챔버 내부로 공급되었다. 반응물 펄스 동안의 방응기 챔버의 압력은 약 0.1 Torr 내지 약 10 Torr에서 유지되었다. 퍼지 단계는 반응기 챔버를 통한 아르곤 가스의 흐름을 포함하였다. 펄스 열 CVD 공정에 따라 퇴적된 보론 및 카본 막들의 성장 속도들은, 약 350℃, 약 400℃, 약 420℃ 및 약 430℃의 공정 온도들에서 측정되었다. 도 8에 도시된 것과 같이, 사이클 당 보론 및 카본 막의 성장 속도는 공정 온도 증가에 따라 증가되었다. 도 8에 도시된 것과 같이, TEB와 아르곤 가스를 사용하고 이러한 펄스 열 CVD 공정을 사용하여 퇴적된 보론 및 카본 막은 공정 온도에 대한 비선형 관계를 가질 수 있다. 예를 들어, 약 430℃의 공정 온도에서의 막 성장 속도는 약 350℃의 공정 온도에서의 막 성장보다 현저히 높다. 일부 실시예들에 있어서, 이러한 보론 및 카본 막들은 보론 및 카본 고상 확산층들 및 캡층들을 포함하여, 도펀트 막들일 수 있다.
도 9a는 도 8을 참조로 전술한 공정을 사용하여, 약 430℃의 공정 온도에서 퇴적된 보론 및 카본 막의 단면도의 18만배 확대한 주사 투과 전자 현미경(scanning transmission electron microscopy, STEM) 이미지를 나타낸다. 보론 및 카본 막은 트렌치 피쳐의 컨포말한 커버리지를 나타냈다. 도 9a에 도시된 것과 같이, 보론 및 카본 막은 기판 상에 직접 퇴적되었다.
도 9b는 도 9a의 보론 및 카본(B,C) 막의 조성을 제공하는 표이다. 표에 나타난 것과 같이, 보론 및 카본 막은 보론, 카본 및 수소를 주로 포함하였다. 보론 및 카본 막은 약 35 원자%의 보론(B), 약 33 원자%의 카본(C), 약 28 원자%의 수소(H), 약 2 원자%의 질소(N) 및 약 2 원자%의 산소(O)를 포함하였다.
도 10은 전술한 공정에 의해 실리콘층 상에 퇴적된 보론 및 카본 층의 어닐링에 따라, 실리콘 층 내의 다양한 깊이들에서의 보론 농도를 나타내는 그래프이다. 보론 농도는 이차이온 질량 분석법(Secondary Ion Mass Spectrometry, SIMS)을 사용하여 측정되었다. 보론 농도는 단위 세제곱 센티미터 당 원자(atoms/cm3)로 y 축 상에 도시되고, 실리콘층의 상면으로부터 측정된 깊이는 나노미터(nm)로 x 축 상에 도시되었다. 약 415℃의 공정 온도에서 도 8을 참조로 설명한 공정을 사용하여, 약 1 nm의 두께를 갖는 보론 및 카본 막이 실리콘 기판 상에 직접 퇴적되었다. 보론 및 카본 고상 확산층을 포함하는 막 스택에, 이후 질소(N2) 분위기에서 약 1초의 기간 동안 약 1000℃의 공정 온도에서 수행된 열 어닐링 공정이 가해졌다.
도 10에 도시된 것과 같이, 실리콘 기판 표면에서의 약 2E+20 atom/cm3인 보론 농도, 또는 도핑 레벨은 1 nm 두께의 보론 및 카본 고상 확산층을 사용하여 얻어졌다. 보론 및 카본 고상 확산층을 사용하여 얻어진 보론 농도들은 통상의 고상 확산층 및 통상의 캡층(예를 들어, 1 nm의 보로실리케이트 글래스(borosilicate glass, BSG) 고체 확산층 상의 3 nm의 실리콘 이산화물 캡층)을 사용하여 얻어진 보론 농도들보다 현저히 높았다. 예를 들어, 보론 및 카본 고상 확산층을 사용하여 얻어진 보론 농도들은 약 40 nm의 깊이까지 통상의 고상 확산층 및 통상의 캡층을 사용하여 얻어진 보론 농도들보다 현저히 높았다.
도 11은 푸리에 변환 적외분광기(Fourier Transform Infrared Spectroscopy, FTIR)를 사용한 보론 및 카본 막의 에이징 분석을 나타내는 그래프이다. 약 415℃의 공정 온도에서 도 8을 참조로 설명한 공정을 사용하여 보론 및 카본 막이 퇴적되었다. 상기 막의 퇴적 이후 약 7일 동안 보론 및 카본 막 상에서 FTIR 분석이 수행되었다. 보론 및 카본 막은 약 7일 동안 세정실 주변과 같은 주변 공기에 노출되었다.
도 11의 FTIR 분석은 약 7일의 과정에 걸쳐 보론 및 카본 막의 특성들이, 퇴적 이후의 요구되는 화학적 안정성을 나타내면서, 변화하지 않거나 실질적으로 변화하지 않고 잔류함을 나타낸다. 예를 들어, FTIR 분석은 상기 막이 공기로부터 상당한 양의 수분을 흡수하지 않았음을 보여주었다. 퇴적 이후 요구되는 안정성, 예를 들어 주변 공기에 노출될 때 무시할만한 수분의 흡수를 나타낼 수 있는 보론 및 카본 막은 고상 도핑 체계들에서의 캡층으로서 사용될 수 있다. 여기 설명된 것과 같이, 반도체 기판의 요구되는 도핑을 제공하도록 보론 및 카본 캡층은 보로실리케이트 글래스(BSG)층과 같은 통상의 고상 확산층 상에 퇴적될 수 있다. 임의의 특정 이론 또는 구동 모드에 한정되지 않고, 주변 공기에 노출될 때 무시할만한 수분의 흡수를 나타낼 수 있는 보론 및 카본 막은 캡층이 없거나, 실질적으로 없는 고상 확산층으로서 사용될 수 있다.
도 12는 415℃의 공정 온도에서 도 8을 참조로 설명한 공정을 사용하여 퇴적된 보론 및 카본 막의 실험예의 광학적 특성들 및 퇴적 성능들을 나타내는 표이다. 질소(N2) 분위기 하에서, 약 1000℃의 공정 온도에서 약 1초의 기간 동안 상기 막에 열 어닐링이 가해졌다. 표에 나타난 것과 같이, 퇴적 공정은 약 0.045 nm/사이클 및 약 2.091 nm/분의 퇴적 속도를 제공하였다. 퇴적된 막의 1 시그마 불균일성은 약 18.42%였다.
보론 및 카본 막의 굴절률이 분광 타원법(spectroscopic ellipsometry)에 의해 측정되었다. 도 12의 표에 도시된 것과 같이, 상기 막은 약 633 나노미터의 파장에서 약 1.805의 평균 굴절률을 나타냈다.
보론 및 카본 함유 실리콘 질화물 막들 
여기 설명된 것과 같이, 실리콘 질화물 막들은 보론 및 카본 성분들을 포함하며 퇴적될 수 있고, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막들은 반도체 장치 제조에서의 어플리케이션들을 포함하여 다양한 어플리케이션들을 가질 수 있다. 예를 들어 감소된 열 버짓(thermal budgets)을 갖는 공정들을 제공하기 위하여 감소된 온도들에서(예를 들어 약 500℃ 미만의 온도들에서) 원자층 퇴적법(atomic layer deposition, ALD)을 사용하여 요구되는 특성들을 갖는 실리콘 질화물계 막들을 퇴적하는 것은 어려울 수 있다. 낮은 공정 온도들에서 통상의 공정들에 의해 퇴적된 실리콘 질화물계 막들은 우수하지 않은 막 품질, 그 상부에 실리콘 질화물계 막이 퇴적되는 3차원 구조물에 대한 우수하지 못한 막 컨포말리티, 원치않게 높은 건식 식각 속도들, 및/또는 원치않게 낮은 식각 선택비(예를 들어, 상기 장치 제조 공정에서 사용되는 하나 또는 그 이상의 후속적인 열 실리콘 산화물 식각 단계들을 상기 실리콘 질화물 막이 견딜수 있도록, 열 실리콘 산화물 물질을 포함하는 반도체 장치 내의 다른 물질에 대한 식각 선택비)를 갖는 막들을 제공할 수 있다. 
실리콘 질화물 막의 화학식은 편의 및 단순화를 위하여 여기서 일반적으로 SiN으로 칭해질 수 있다. 그러나, 당업자들은 상기 실리콘 질화물의 실제 화학식이 SiNx이며, 일부 Si-N 결합들이 형성되는 한 x는 약 0.5 로부터 약 2.0까지 달라질 수 있음을 이해할 것이다. 일부 경우들에서, x는 바람직하게는 약 0.9로부터 약 1.7까지 달라지며, 더욱 바람직하게는 약 1.0 으로부터 약 1.5까지, 가장 바람직하게는 약 1.2로부터 약 1.4까지 달라진다. 일반적으로 Si이 +IV의 산화 상태를 갖는 실리콘 질화물이 형성되고, 상기 물질 내의 질화물의 양은 달라질 수 있다. 
보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 화학식은 편의 및 단순화를 위하여 여기서 일반적으로 SiN(B,C)로 칭해질 수 있다. 그러나, 당업자들은 SiN(B,C)의 실제 화학식이 SiNx(By,Cz)일 수 있다는 것을 이해할 것이다. 일부 실시예들에 있어서, 예를 들어 일부 Si-N 결합들이 형성되는 한 x는 약 0.5로부터 약 3.0까지 달라질 수 있다. 일부 경우들에서, x는 바람직하게는 약 1.0으로부터 약 2.0까지 달라지고, 더욱 바람직하게는 약 1.3으로부터 약 1.8까지 달라진다. 일부 실시예들에 있어서, y는 바람직하게는 약 0.3으로부터 약 3.0까지, 더욱 바람직하게는 약 0.5로부터 약 1.5까지를 포함하여 약 0.1 내지 약 5.0일 수 있다. 예를 들어, y는 약 1.5일 수 있다. 일부 실시예들에 있어서, z는 바람직하게는 약 0.2로부터 약 2.5까지, 더욱 바람직하게는 약 0.3으로부터 약 1.3까지를 포함하여, 약 0.1로부터 약 5.0까지일 수 있다. 예를 들어, z는 약 1.0일 수 있다.
여기 설명된 하나 또는 그 이상의 방법들은 원자층 퇴적(ALD) 공정 및/또는 화학 기상 퇴적(CVD) 공정을 포함할 수 있고, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(SiN(B,C))과 같은 실리콘 질화물계 막을 형성하는 데 사용될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막들은 하나 또는 그 이상의 향상된 3차원 피쳐들의 컨포말한 커버리지, 요구되는 건식 식각 속도, 요구되는 습식 식각 속도 및/또는 다른 물질(예를 들어, 반도체 장치 내의 열 실리콘 산화물층(TOX))에 대한 요구되는 식각 선택비를 갖는다. 예를 들어, 여기 설명된 하나 또는 그 이상의 공정들에 따라 퇴적된 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어, 핀펫들(finFETs)과 같은 다중 게이트 트랜지스터들을 포함하는 반도체 트랜지스터들에서의 게이트 피쳐들의 스페이서 물질과 같은 어플리케이션들을 위하여)은 향상된 스텝 커버리지, 습식 식각액에서의 감소된 식각 속도(예를 들어, 0.5 질량% HF 용액과 같은 희석 플루오르화 수소산(HF 또는 dHF) 용액과 같은 습식 식각액에 대한 저항성) 및/또는 열 실리콘 산화물 물질에 대한 감소된 습식 식각 비율(예를 들어, 열 실리콘 산화물 물질의 습식 식각 속도에 대한 상기 실리콘 질화물계 막의 습식 식각 속도의 비율이 약 0.5보다 작은 것을 포함하여, 약 1보다 작은)을 나타낼 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막은 요구되는 유전 상수(k 값), 예를 들어 약 6보다 작거나, 약 5.5보다 작은 것을 포함하여 약 7보다 작은 유전 상수를 가질 수 있다. 예를 들면, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막은 약 4.8 내지 약 5.5를 포함하여 약 4.8 내지 약 6의 유전 상수를 가질 수 있다.
일부 실시예들에 있어서, 실리콘 질화물 ALD 퇴적 공정은 요구되는 두께 및 조성의 실리콘 질화물(SiN) 막을 퇴적하는 데 사용될 수 있다. ALD 형의 공정들은 조절된 자기제한적(self-limiting) 표면 반응들에 기초한다. 상기 기판을 교대로 그리고 순차적으로 반응물들에 접촉시킴에 의해 기상 반응들이 방지된다. 예를 들어 반응물 펄스들 사이에 상기 반응 챔버로부터 여분의 반응물들 및/또는 반응물 부산물들을 제거함에 의해 기상 반응물들은 상기 반응 챔버 내에서 서로 분리된다. 예를 들어, 상기 ALD 퇴적 공정은 실리콘 반응물이 상기 기판 표면 상에 흡착되도록 기판을 상기 실리콘 반응물에 접촉시키는 단계, 및 그 이후 상기 기판을 질소 반응물에 접촉시키는 단계를 포함할 수 있다. 실리콘 반응물은 상기 실리콘 질화물 막의 성장에 실리콘이 기여할 수 있는 실리콘 함유 화합물들을 포함할 수 있다. 질소 반응물은 상기 실리콘 질화물 막의 성장에 질소가 기여할 수 있는 질소 함유 화합물들을 포함할 수 있다. 상기 실리콘 반응물 및 상기 질소 반응물로의 상기 기판의 노출은 요구되는 두께 및 조성의 막을 얻도록 요구되는 한 여러 번 반복될 수 있다. 여분의 반응물들은 예를 들어 각각의 접촉 단계 이후에 상기 반응 공간으로부터 불활성 가스로 퍼지함에 의해 상기 기판 부근으로부터 제거될 수 있다. 예를 들어, 상기 반응기 챔버는 반응물 펄스들 사이에 퍼지될 수 있다. 각각의 반응물의 유속 및 시간은 퍼지 단계에서와 같이 조절 가능하며, 상기 막 내의 도펀트 농도 및 깊이 프로파일 조절을 가능하게 한다. 일부 실시예들에 있어서, 상기 반응기 챔버의 여분의 반응물들 및/또는 반응 부산물들의 퍼지 단계 이전에 상기 기판은 반응물들이 없거나 실질적으로 없는 공간으로 이동될 수 있다.
일부 실시예들에 있어서, 실리콘 질화물(SiN) 막을 퇴적하기 위한 ALD 공정은 하나 또는 그 이상의 사이클들을 포함할 수 있고, 각각의 사이클이 적어도 두 개의 구별되는 공정들 또는 단계들(phases)을 포함한다. 상기 반응 공간으로부터 반응물의 제공 및 제거가 하나의 단계로 여겨질 수 있다. 제1 공정 또는 단계에서, 실리콘을 포함하는 제1 반응물이 제공되고 상기 기판 표면 상에 약 하나 이하의 단일층(monolayer)을 형성한다. 이러한 반응물은 또한 여기서 "실리콘 전구체" 또는 "실리콘 반응물"로 칭해진다. 제2 공정 또는 단계에서, 질소 함유 화합물을 포함하는 제2 반응물이 제공되고, 상기 흡착된 실리콘 전구체와 반응하여 SiN을 형성한다. 이러한 제2 반응물은 또한 "질소 전구체" 또는 "질소 반응물"로 칭해질 수 있다. 여기 설명된 바와 같이, 상기 제2 반응물은 암모니아(NH3) 및/또는 다른 적합한 질소 함유 화합물을 포함할 수 있다. 최종 막의 조성을 조절하도록 요구되는 것에 따라, 추가적인 공정들 또는 단계들이 추가될 수 있고 단계들이 제거될 수 있다. 실리콘 질화물(SiN) 막을 퇴적하기 위한 일부 실시예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들이 일반적으로 상기 실리콘 전구체 및 뒤따르는 상기 질소 전구체의 제공과 함께 시작된다. 일부 실시예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들은 상기 질소 전구체 및 뒤따르는 상기 실리콘 전구체의 제공에 의해 시작된다. 하나 또는 그 이상의 상기 반응물들은 질소(N2), 아르곤(Ar) 및/또는 헬륨(He)과 같은 캐리어 가스의 도움과 함께 제공될 수 있다.
일부 실시예들에 있어서, 요구되는 특성들을 갖는 보론 및 카본 성분들을 포함하는 실리콘 질화물(SiN(B,C)) 막의 퇴적을 위한 공정은 ALD 공정 및 CVD 공정 모두를 포함하는 복합 공정을 포함할 수 있다. 예를 들어, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막을 형성하기 위한 공정은 실리콘 질화물의 퇴적을 위한 ALD 부분 및 상기 성장하는 막 내부로 보론 및 카본 성분들을 병합하기(incorporate) 위한 CVD 부분을 포함할 수 있다. 상기 실리콘 질화물 및 보론 및 카본 성분들은 일부 실시예들에서 그 내부에 실리콘 질화물 및 보론 및 카본 성분들이 구별되는 층들(distinct layers)을 형성하지 않거나 실질적으로 구별되는 층들을 형성하지 않는 연속적인 막을 형성할 수 있다.
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막의 퇴적에 플라즈마가 사용되지 않는다. 예를 들어, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막을 퇴적하기 위한 공정은 열 ALD 공정 및 펄스 열 CVD를 포함하여 열 CVD 공정 모두를 포함할 수 있다. 일부 실시예들에 있어서, 질소 전구체 플라즈마가 상기 실리콘 질화물의 퇴적을 위한 ALD 공정에서 사용된다. 예를 들어, 질소 전구체를 위한 플라즈마를 포함하는 PEALD 공정은 실리콘 질화물의 퇴적을 위해 사용될 수 있고, 상기 PEALD 공정은 상기 실리콘 질화물 내부로 보론 및 카본 성분들을 병합하기 위한 열 CVD 공정과 결합될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물(SiN(B,C)) 막을 퇴적하기 위한 공정은 실리콘 질화물(SiN) 막을 퇴적하기 위한 ALD 공정(예를 들어, 상기 기판을 예를 들어 옥타클로로트리실란(octachlorotrisilane, Si3Cl8, OCTS)을 포함하는 실리콘 반응물과 예를 들어 암모니아(NH3)를 포함하는 질소 반응물에 교대로 그리고 순차적으로 접촉시키는 단계를 포함하는 ALD 공정), 및 상기 보론 및 카본 성분들을 상기 실리콘 질화물 막 내부로 도입시키기(introduce) 위하여 하나 또는 그 이상의 보론 반응물들이 상기 기판 표면 상에서 분해되는 분해 공정(예를 들어, 트리에틸보론(triethylboron, B(C2H5)3, TEB)을 포함하며, 그 공정에서 TEB가 분해되는, 하나 또는 그 이상의 보론 반응물들을 사용한 CVD 공정)을 포함할 수 있다. 일부 실시예들에 있어서, 상기 SiN 막을 퇴적하기 위한 상기 ALD 공정은 상기 기판을 헥사클로로디실란(hexachlorodisilane, Si2Cl6, HCDS)을 포함하는 실리콘 반응물에 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들의 도입을 위한 상기 CVD 공정은 상기 기판을 트리메틸보론(trimethylboron, (B(CH3)3, TMB) 또는 트리에틸보론(triethylboron, TEB)과 같은 트리알킬보론(trialkylboron)을 포함하는 보론 반응물에 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 여분의 반응물들 및/또는 반응 부산물들은 각각의 공정 이후의 퍼지 단계에 의해 제거될 수 있다(예를 들어, 퍼지 단계는 실리콘 반응물 펄스, 질소 반응물 펄스 및/또는 보론 반응물 펄스 이후에 수행될 수 있다). 예를 들어, 질소 반응물이 상기 흡착된 실리콘 반응물과 반응하여 상기 기판 상에 실리콘 질화물의 단일층을 형성하도록, 상기 질소 반응물들의 도입 단계 이전에 여분의 실리콘 반응물들 및/또는 반응 부산물들이 상기 반응 공간으로부터 제거될 수 있다. 일부 실시예들에 있어서, 상기 기판은 상기 반응 공간을 퍼지하는 단계 이전에 반응물들이 없거나 실질적으로 없는 공간으로 이동될 수 있다. 
일부 실시예들에 있어서, 펄스 CVD 공정이 분해 공정을 위하여 사용된다. 일부 실시예들에 있어서, 다수의 짧은 보론 반응물의 펄스들이 제공되는 펄스 CVD 공정이 사용된다. 일부 실시예들에 있어서, 보론 반응물의 단일하고, 더 긴 펄스가 제공된다. 일부 실시예들에 있어서, 상기 조건들은 동일한 조건들 하에서 상기 SiN이 표면 반응으로부터(ALD) 형성되는 한편, 상기 보론이 분해되도록(CVD) 선택된다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 상기 SiN 막 내부로 도입하기 위한 펄스 CVD 공정은 상기 보론 카본 공정의 병합을 용이하게 한다. 일부 실시예들에 있어서, 상기 SiN 막 내부로 보론 및 카본 성분들을 도입하기 위한 펄스 CVD 공정은 상기 SiN 막 내부로 병합된 보론 및 카본 성분들의 양 조절의 향상을 용이하게 한다. 일부 실시예들에 있어서, 상기 보론 반응물은 또한 ALD 조건들 하에서 제공될 수 있다.
본 개시의 일부 실시예들에 따르면, 공정 동안의 상기 반응 챔버의 압력은 0.01 Torr 내지 약 50 Torr, 바람직하게는 약 0.1 Torr 내지 약 10 Torr에서 유지된다.
상기 실리콘 질화물 막을 퇴적하기 위한 상기 공정 및/또는 상기 보론 및 카본 성분들을 도입하기 위한 상기 공정의 하나 또는 그 이상의 변수들은 요구되는 특성들을 갖는 막을 제공하기 위하여 조정될 수 있다. 예를 들어, 상기 보론 및 카본 성분들을 도입하기 위한 CVD 공정의 하나 또는 그 이상의 보론 반응물들의 유속 및/또는 공정 온도가 조정될 수 있다. 예를 들어, 펄스 CVD 공정에서 하나 또는 그 이상의 보론 반응물들을 제공하기 위한 반응물 펄스의 기간이 조정될 수 있다. 일부 실시예들에 있어서, 상기 SiN 막을 퇴적하기 위한 상기 ALD 공정의 공정 온도, 반응기 챔버 압력 및/또는 반응물 노출 기간과 같은 하나 또는 그 이상의 변수들이 조정될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 퇴적을 위한 상기 공정은 상기 실리콘 질화물(SiN) 막을 제공하기 위한 상기 공정의 하나 또는 그 이상의 사이클들(예를 들어, 상기 SiN 공정의 반복들) 및/또는 상기 보론 및 카본 성분들의 도입을 위한 상기 공정의 하나 또는 그 이상의 사이클들(예를 들어, 상기 보론 카본 공정의 반복들)을 포함할 수 있다. 일부 실시예들에 있어서, 상기 SiN 공정 및 상기 보론 카본 공정의 반복 횟수들은 요구되는 특성을 막을 제공하도록 조절될 수 있다. 일부 실시예들에 있어서, 상기 보론 카본 공정의 반복 횟수에 대한 상기 SiN 공정의 반복 횟수의 비율은 요구되는 막 조성을 제공하도록 선택된다. 일부 실시예들에 있어서, 상기 SiN 공정 사이클은 각각의 보론 카본 공정 사이클에 대하여 2, 3, 4, 5, 6, 7, 8, 9, 10회 또는 그 이상 반복된다. 일부 실시예들에 있어서, 상기 SiN 공정 사이클의 복수 회 반복 이후에 상기 보론 카본 공정 사이클의 복수 회 반복이 뒤따를 수 있고, 여기서 상기 SiN 공정 사이클의 반복 횟수는 상기 보론 카본 공정 사이클의 반복 횟수와는 다르다.
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 퇴적을 위한 공정은 상기 실리콘 질화물(SiN) 막의 퇴적을 위한 ALD 공정의 복수 회 반복 및/또는 상기 보론 및 카본 성분들을 도입하기 위한 CVD 공정의 복수 회 반복을 포함하는 시퀀스를 포함할 수 있고, 상기 ALD 공정, 상기 CVD 공정 각각의 반복 횟수 및/또는 상기 ALD 공정 및 CVD 공정 모두의 상기 시퀀스 내에서의 총 반복 횟수는 요구되는 특성들 및/또는 요구되는 보론 및 카본 성분들의 조성을 갖는 막을 제공하도록 선택된다. 예를 들어, 보론 및 카본 함량은 요구되는 식각 성능(예를 들어, 습식 식각 속도 및/또는 건식 식각 속도) 및/또는 컨포말리티 성능을 갖는 막을 제공하도록 조절될 수 있다. 일부 실시예들에 있어서, 상기 CVD 공정의 반복 횟수는 상기 CVD 공정 및/또는 상기 ALD 공정의 하나 또는 그 이상의 변수들에 기초하여 선택될 수 있다. 일부 실시예들에 있어서, 상기 ALD 공정의 반복 횟수는 상기 ALD 공정 및/또는 상기 CVD 공정의 하나 또는 그 이상의 변수들에 기초하여 선택될 수 있다. 일부 실시예들에 있어서, 상기 ALD 공정 사이클의 복수 회 반복 및 상기 CVD 공정 사이클의 복수 회 반복을 포함하는 상기 시퀀스는 요구되는 조성 및/또는 두께의 막을 제공하도록 반복될 수 있다.
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 퇴적을 위한 상기 공정은 플라즈마 향상 공정(plasma enhanced process)을 포함하지 않는다. 즉, 전체 공정 동안에 플라즈마가 사용되지 않는다. 예를 들어, 상기 공정은 열 ALD 공정 및 펄스 열 CVD 공정(예를 들어 TEB의 분해와 같은 하나 또는 그 이상의 보론 반응물의 열 분해)을 모두 포함할 수 있다.
도 13은 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어 SiN(B,C) 막)을 형성하기 위한 공정 플로우(700)의 예시의 플로우 차트이다. 블록(702)에서, 기판은 하나 또는 그 이상의 기상 실리콘 반응물들(예를 들어, 하나 또는 그 이상의 실리콘 전구체들)에 노출될 수 있다. 실리콘 반응물의 층이 상기 기판 표면 상에 형성된다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 기상 실리콘 반응물들은 상기 기판의 표면 상에 흡착될 수 있다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 실리콘 반응물들은 상기 기판 표면에서 적어도 부분적으로 분해된다. 블록(704)에서, 상기 기판은 하나 또는 그 이상의 기상 질소 반응물들(예를 들어, 질소 전구체)에 노출될 수 있다. 예를 들어, 상기 하나 또는 그 이상의 질소 반응물들은 상기 기판의 상기 표면 상에서 상기 하나 또는 그 이상의 실리콘 반응물들과 상호작용할 수 있다(예를 들어, .상기 하나 또는 그 이상의 질소 반응물들이 상기 기판 표면 상에서 상기 하나 또는 그 이상의 실리콘 반응물들과 반응하여 실리콘 질화물(SiN)을 형성할 수 있다). 블록(706)에서, 상기 기판은 하나 또는 그 이상의 기상 보론 반응물들(예를 들어, 하나 또는 그 이상의 보론 및/또는 카본 전구체들)에 노출될 수 있다. 상기 하나 또는 그 이상의 보론 반응물들은 상기 기판 표면 상에서 상기 실리콘 질화물과 반응할 수 있고, 이에 따라 보론 및 카본 성분들을 포함하는 실리콘 질화물 막이 형성되도록 상기 막 내부로 보론 및 카본 성분들을 도입한다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 보론 반응물들은 상기 기판 표면 상에서 분해된다.
일부 실시예들에 있어서, 하나 또는 그 이상의 상기 반응물들은 상기 기판 표면 상에서 적어도 부분적으로 분해될 수 있다. 예를 들어, 하나 또는 그 이상의 상기 실리콘, 질소 또는 보론 반응물들이 화학 기상 퇴적(CVD) 조건들 하에서 제공된다. 
일부 실시예들에 있어서, 기판을 실리콘 반응물, 질소 반응물 및/또는 보론 반응물에 노출하기 위한 공정은 화학 기상 퇴적(CVD) 공정을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 반응물, 질소 반응물 및 보론 반응물에 기판을 노출하는 단계 각각은 예를 들어 펄스 CVD 공정을 포함하는 CVD 공정을 포함할 수 있다.  
일부 실시예들에 있어서, 기판을 실리콘 반응물, 질소 반응물 및/또는 보론 반응물에 노출하는 단계는 하나 또는 그 이상의 반응물들이 분해되어 상기 SiN(B,C) 막의 형성을 용이하게 하는 기상 퇴적 공정을 포함할 수 있다.   
일부 실시예들에 있어서, 상기 ALD 및/또는 상기 CVD 공정들은 플라즈마 향상 공정(예를 들어, 직접 플라즈마 공정 및/또는 리모트 플라즈마 공정(remote plasma process))일 수 있다. 일부 실시예들에 있어서, 상기 ALD 및/또는 상기 CVD 공정들은 플라즈마 향상 공정을 포함하지 않는다. 예를 들어, 상기 ALD 공정은 열 ALD 공정일 수 있다. 
일부 실시예들에 있어서, 기판을 실리콘 반응물, 질소 반응물 및/또는 보론 반응물에 노출하는 단계는 중첩되거나(overlap) 결합될 수 있다. 예를 들어, 상기 실리콘 반응물, 질소 반응물 및/또는 보론 반응물 중 하나 또는 그 이상은 부분적으로 또는 완전히 중첩되는 펄스들 내에서 제공될 수 있다. 
일부 실시예들에 있어서, 질소 함유 가스(예를 들어 질소 가스(N2) 및/또는 암모니아(NH3)는 SiN(B,C) 막을 퇴적하기 위한 공정을 통해 연속적으로 공급될 수 있다(예를 들어, 상기 질소 함유 가스가 캐리어 가스 및/또는 반응물로 기능할 수 있다). 예를 들어, 상기 질소 함유 가스는 플라즈마 공정(예를 들어, 질소 함유 플라즈마를 생성하기 위하여 사용되는) 내에서 반응물들을 위한 캐리어 가스로 작용할 수 있다. 일부 실시예들에 있어서, 상기 질소 함유 가스는 퇴적 공정을 통해, 예를 들어 실리콘 반응물 및/또는 보론 반응물의 반응물 펄스들이 상기 반응기 챔버 내부로 도입되는 동안, 상기 반응 챔버 내부로 연속적으로 또는 실질적으로 연속적으로 공급된다. 질소 함유 가스 유속 및/또는 상기 질소 흐름의 농도는 상기 퇴적 공정 동안, 예를 들어 상기 실리콘 반응물 및/또는 보론 및/또는 카본 반응물의 펄스 과정에서 조절될 수 있다. 
다양한 실리콘 반응물들이 적합할 수 있다. 일부 실시예들에 있어서, 실리콘 질화물막을 퇴적하기 위한 공정에서 적합한 실리콘 반응물은 실리콘 할로겐화물, 실리콘 알킬아민들, 실리콘 아민들 및/또는 실란들(예를 들어, 하나 또는 그 이상의 알킬기들을 포함하는 실란들을 포함하여) 중 적어도 하나를 포함할 수 있다. 예를 들어, 적합한 실리콘 반응물은 염화실리콘(silicon chloride)을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 반응물은 할로실란(halosilane)을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 반응물은 할로겐화물을 포함하는 알킬 실리콘 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 반응물은 알킬실란(alkyl silane)일 수 있다. 일부 실시예들에 있어서, 실리콘 반응물은 옥타클로로트리실란(octachlorotrisilane, Si3Cl8, OCTS)을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 반응물은 헥사클로로디실란(hexachlorodisilane, Si2Cl6, HCDS)을 포함할 수 있다. 
적합한 질소 반응물들은 다양한 질소 함유 반응물들을 포함할 수 있다. 일부 실시예들에 있어서, 질소 반응물은 질소에 결합된 수소(N-H)를 포함할 수 있다. 일부 실시예들에 있어서, 적합한 질소 반응물은 암모니아(NH3)를 포함할 수 있다. 일부 실시예들에 있어서, 적합한 질소 반응물은 히드라진(hydrazine, N2H4)일 수 있다. 일부 실시예들에 있어서, 적합한 질소 반응물은 예를 들어 질소 함유 래디칼들을 포함하는 질소 함유 플라즈마에 의해 생성된 하나 또는 그 이상의 반응성 종을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 질소 반응물은 질소 원자들을 포함할 수 있다.
일부 실시예들에 있어서, 적합한 보론 반응물은 적어도 하나의 유기 리간드를 갖는 보론 화합물을 포함할 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 이중 및/또는 삼중 결합들을 가질 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 사이클릭 리간드일 수 있다. 일부 실시예들에 있어서, 상기 유기 리간드는 국부화되지 않는 전자들을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리알킬보론 화합물들을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리에틸보론(B(C2H5)3, TEB)을 포함할 수 있다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리메틸보론(B(CH3)3, TMB)을 포함할 수 있다.  일부 실시예들에 있어서, 적합한 보론 반응물은 예를 들어 선형 또는 분지형 C3-C8을 포함하며, 더욱 바람직하게는 선형 또는 분지형 C3-C5을 포함하는 선형 또는 분지형 알킬 그룹들을 갖는 선형 또는 분지형 알킬기들을 갖는 트리알킬보론 화합물들을 포함할 수 있다. 적합한 보론 반응물들은 다양한 다른 보론 함유 반응물들을 포함할수 있다. 일부 실시예들에 있어서, 보론 반응물은 보론 할로겐화물, 알킬보론 및/또는 보레인을 포함할 수 있다. 일부 실시예들에 있어서, 보론 반응물은 보론 할로겐화물, 보레인 할로겐화물 및 이들의 복합체들을 포함할 수 있다. 예를 들어, 적합한 보론 할로겐화물은 약 0.5 내지약 1의 할로겐화물에 대한 보론의 비율을 가질 수 있다.    
적합한 보레인들은 화학식 I 또는 화학식 II에 따른 화합물들을 포함할 수 있다. 
BnHn +x (화학식 I)
n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, x는 짝수, 바람직하게는 4, 6 또는 8이다. 
BnHm (화학식 II)
n은 1 내지 10의 정수, 바람직하게는 2 내지 6의 정수이며, m은 1 내지 10, 바람직하게는 2 내지 6에서의 n과는 다른 정수이다. 
화학식 I에 따른 전술한 보레인들 중에서, 예시들은 니도-보레인들(BnHn +4), 아라크노-보레인들(BnHn+6) 및 히프-보레인들(BnHn+8)을 포함한다. 화학식 II에 따른 보레인들 중에서, 예시들은 콘정토-보레인들(BnHm)을 포함한다. 또한, (CH3CH2)3N--BH3와 같은 보레인 복합체들이 사용될 수 있다. 
일부 실시예들에 있어서, 적합한 보론 반응물들은 보레인 할로겐화물, 특히 플루오르화물, 브롬화물 및 염화물들을 포함할 수 있다. 적합한 화합물의 예시는 B2H5Br이다. 추가의 예시들은 B2F4, B2Cl4 및 B2Br4와 같은 높은 보론/할로겐화물 비율을 갖는 보론 할로겐화물들을 포함한다. 보레인 할로겐화물 복합체들을 사용하는 것 또한 가능하다. 
일부 실시예들에 있어서, 화학식 III에 따른 할로겐화보레인들은 적합한 보론 반응물들일 수 있다. 
BnXn (화학식 III)
X는 Cl 또는 Br이며, X가 Cl일 때, n은 4 또는 8 내지 12의 정수이고, X가 Br일 때, n는 7 내지 10의 정수이다.
일부 실시예들에 있어서, 화학식 IV에 따른 카보레인들은 적합한 보론 반응물들일 수 있다.
C2BnHn +x (화학식 IV)
화학식 IV에 따른 카보레인들의 예시들은 클로소-카보레인들(C2BnHn +2), 니도-카보레인들(C2BnHn+4) 및 아라크노-카보레인들(C2BnHn+6)을 포함한다.  
일부 실시예들에 있어서, 화학식 V에 따른 아미노 보레인 어덕트들(amine-borane adducts)은 적합한 보론 반응물들일 수 있다.
R3NBX3  (화학식 V)
R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 H이며, X는 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬, H 또는 할로겐이다.
일부 실시예들에 있어서, 화학식 VI에 따른 B 상의 하나 또는 그 이상의 치환기들(substituents)이 아미노기인 아미노 보레인들이 적합한 보론 반응물들일 수 있다.
R2N (화학식 VI)
R은 선형 또는 분지형 C1 내지 C10, 바람직하게는 C1 내지 C4 알킬 또는 치환되거나 치환되지 않은 아릴기(aryl group)이다.
적합한 아미노보레인의 예시는 (CH3)2NB(CH3)2이다.  
일부 실시예들에 있어서, 적합한 보론 반응물은 사이클릭 보라진(cyclic borazine, (--BH--NH--)3) 및/또는 이들의 휘발성 유도체들을 포함할 수 있다.
일부 실시예들에 있어서, 알킬 보론들 또는 알킬 보레인들은 적합한 보론 반응물들일 수 있고, 상기 알킬이 일반적으로 선형 또는 분지형 C1 내지 C10 알킬, 바람직하게는 C2 내지 C4 알킬이다. 
 일부 실시예들에 있어서, 반도체 공작물(workpiece)과 같이, 상부에 보론 및 카본을 포함하는 실리콘 질화물 막의 퇴적이 요구되는 상기 기판이 반응기 챔버 내부로 로딩된다. 상기 반응기 챔버는 집적 회로의 형성에서의 다양한 다른 공정들이 수행되는 클러스터 툴의 일부분일 수 있다. 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 퇴적 공정들은 예를 들어 소형 뱃치 반응기(예를 들어, 8개 또는 그보다 적은 기판들의 수용량을 갖는 반응기) 및/또는 퍼니스 뱃치 반응기(예를 들어, 50개 또는 그 이상의 기판들의 수용량을 갖는 반응기)를 포함하는 뱃치 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 퇴적 공정들은 단일 웨이퍼 반응기 내에서 수행될 수 있다. 일부 실시예들에 있어서, 공간적 반응기 챔버(예를 들어, 공간적 ALD 반응기 챔버)가 적합할 수 있다. 일부 실시예들에 있어서, 교차 흐름 구성을 갖는 반응기 챔버가 적합할 수 있다. 일부 실시예들에 있어서, 샤워헤드 구성을 갖는 반응기 챔버가 적합할 수 있다.
예시적인 단일 웨이퍼 반응기들은 Pulsar® 2000 및 Pulsar® 3000의 상표 하에서 ASM America, Inc. (Phoenix, AZ)로부터 상용 가능하며, Eagle® XP 및 XP8의 상표 하에서 ASM Japan K.K (Tokyo, Japan)로부터 상용 가능하다. 예시적인 뱃치 ALD 반응기들은 A400™ 및 A412™ 상표 하에서 ASM Europe B.V (Almere, Netherlands)로부터 상용 가능하다.  
도 14는 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어 SiN(B,C) 막)을 형성하기 위한 공정 플로우(800)의 다른 예시를 나타내는 플로우 차트이다. 공정(800)은 기판 표면 상에 실리콘 질화물을 형성하기 위한 공정(804) 및 상기 실리콘 질화물 내부로 보론 및 카본 성분들을 도입하기 위한 공정(812)을 갖는 시퀀스(802)를 포함할 수 있다. 일부 실시예들에 있어서, 상기 시퀀스(802)는 요구되는 조성 및/또는 두께를 갖는 SiN(B,C) 막을 형성하도록 복수 회 반복될 수 있다. 공정(812)이 수행되는 횟수에 대한 공정(804)이 수행되는 횟수의 비율은 상기 막 내의 보론 및 카본 성분들의 조성을 조절하고, 이에 따라 요구되는 특성들을 갖는 막을 얻도록 달라질 수 있다. 예를 들어, 공정(812)이 반복되는 횟수에 대하여 공정(804)이 반복되는 횟수는 요구되는 보론 및 카본 성분들의 함량을 갖는 막을 제공하도록 선택될 수 있다.
기판 표면 상에 실리콘 질화물을 형성하기 위한 공정(804)은 블록들(806, 808, 810)을 포함할 수 있다. 블록(806)에서, 상기 기판은 하나 또는 그 이상의 실리콘 반응물들에 노출될 수 있다. 블록(808)에서, 상기 기판은 하나 또는 그 이상의 질소 반응물들에 노출될 수 있다. 블록(810)에서, 블록들(806, 808)이 복수 회(예를 들어, 공정(804)의 복수의 사이클들) 반복될 수 있다. 일부 실시예들에 있어서, 블록(806)에서 상기 하나 또는 그 이상의 실리콘 반응물들에 상기 기판을 노출하는 단계는 실리콘 반응물 펄스에 상기 기판을 노출하는 단계를 포함할 수 있고, 블록(808)에서 상기 하나 또는 그 이상의 질소 반응물들에 상기 기판을 노출하는 단계는 질소 반응물 펄스에 상기 기판을 노출하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 블록(806)의 실리콘 반응물 펄스와 블록(808)의 질소 반응물 펄스는 상기 반응기 챔버로부터 여분의 실리콘 반응물들 및/또는 반응 부산물들을 제거하도록 구성된 퍼지 단계(도시되지 않음)에 의해 분리된다. 퍼지 단계는 여분의 반응물들 미/또는 반응 부산물들을 제거하거나 실질적으로 제거하도록 퍼지 가스를 흘리는 단계 및/또는 상기 반응기 챔버를 비우는 단계(예를 들어, 상기 반응기 챔버 상으로 진공을 뽑아냄에 의해)를 포함할 수 있다. 일부 실시예들에 있어서, 여분의 질소 반응물 및/또는 반응 부산물들은 블록(810)에서 반복 공정을 수행하기 전에 예를 들어 블록(808)에서 상기 기판을 상기 하나 또는 그 이상의 질소 반응물들에 노출한 이후에 퍼지 단계(도시되지 않음)를 수행함에 의해 제거된다. 일부 실시예들에 있어서, 공정(804)은 ALD 공정이다. 일부 실시예들에 있어서, 공정(804)은 적어도 하나의 상기 반응물들이 상기 기판 표면 상에서 적어도 부분적으로 분해되는 CVD 공정이다. 일부 실시예들에 있어서, 상기 실리콘 및 질소 반응물들의 펄스들은 적어도 부분적으로 중첩될 수 있다.
상기 실리콘 질화물 내부로 보론 및 카본 성분들을 도입하기 위한 공정(812)는 블록들(814, 816)을 포함한다. 블록(814)에서, 상기 기판은 하나 또는 그 이상의 보론 반응물들에 노출될 수 있다. 블록(816)에서, 블록(814)이 복수 회(예를 들어, 공정(812)의 복수의 사이클들) 반복될 수 있다. 일부 실시예들에 있어서, 블록(806)에서 상기 하나 또는 그 이상의 보론 반응물들에 상기 기판을 노출하는 단계는 보론 반응물 펄스에 상기 기판을 노출하는 단계를 포함할 수 있다. 예를 들어, 블록(816)에서, 블록(814)의 상기 보론 반응물 펄스는 복수 회 반복될 수 있다. 일부 실시예들에 있어서, 보론 반응물 펄스 각각은 여분의 실리콘 반응물들 및/또는 반응 부산물들을 제거하도록 구성된 퍼지 단계(도시되지 않음)에 의해 분리될 수 있다. 일부 실시예들에 있어서, 단일한 보론 반응물 펄스가 제공된다(예를 들어, 공정(814)의 반복이 수행되지 않는다). 상기 하나 또는 그 이상의 보론 반응물들이 상기 기판 표면 상에서 분해되도록, 일부 실시예들에 있어서 상기 하나 또는 그 이상의 보론 반응물들이 CVD 조건들 하에서 제공된다.
일부 실시예들에 있어서, SiN(B,C) 막의 형성을 위한 공정(예를 들어, 도 14의 공정(800))은 ALD 및 CVD 공정들 모두를 포함하는 복합 공정일 수 있다. 예를 들어, 실리콘 질화물(SiN) 막을 형성하기 위한 공정은 ALD 공정을 포함할 수 있고, 상기 실리콘 질화물(SiN) 막 내부로 보론 및 카본 성분들을 도입하기 위한 공정(예를 들어, 도 14의 공정(812), SiN(B,C) 막을 형성하기 위한)은 CVD 공정을 포함할 수 있다.
일부 실시예들에 있어서, 플라즈마는 공정(804) 내지 공정(812)에 사용되지 않는다. 예를 들어, 공정(804) 및/또는 공정(812)은 열 ALD 공정 및/또는 열 CVD 공정과 같은 열 공정을 포함할 수 있다.
실리콘 질화물(SiN) 막을 제공하기 위한 ALD 공정의 실리콘 반응물은 옥타클로로트리실란(octachlorotrisilane, Si3Cl8, OCTS) 및/또는 헥사클로로디실란(hexachlorodisilane, Si2Cl6, HCDS)을 포함할 수 있고, 상기 ALD 공정의 질소 반응물은 암모니아(NH3)를 포함할 수 있다. 상기 실리콘 반응물에 상기 기판을 노출하는 단계(예를 들어, 도 14의 블록(806))는 상기 기판을 Si3Cl8 및/또는 Si2Cl6에 노출하는 단계를 포함할 수 있다. 예를 들어, Si3Cl8 및/또는 Si2Cl6는 질소 캐리어 가스의 도움을 포함하여, 일정 기간 동안 반응기 챔버 내부로 공급될 수 있다(예를 들어, 실리콘 반응물 펄스). 상기 질소 반응물에 상기 기판을 노출하는 단계(예를 들어, 도 14의 블록(808))는 상기 기판을 NH3에 노출하는 단계를 포함할 수 있다. 예를 들어, NH3는 질소 캐리어 가스의 도움을 포함하여, 일정 기간 동안 반응기 챔버 내부로 공급될 수 있다(예를 들어, 질소 반응물 펄스). 실리콘 반응물 펄스 및/또는 질소 반응물 펄스의 펄스 길이는 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0초일 수 있다. 예를 들어, 질소 반응물 펄스 및/또는 실리콘 반응물 펄스는 약 1초일 수 있다.
여기 설명된 것과 같이, ALD 공정에서 반응기 챔버 내부로 하나 또는 그 이상의 반응물들을 이송하기 위한 반응물 펄스 이후에, 상기 기판 표면 부근으로부터 여분의 반응물 및/또는 반응 부산물들의 제공을 위한 것과 같은 퍼지 단계가 뒤따를 수 있다. 질소(N2), 아르곤(Ar) 및/또는 헬륨(He)과 같은 가스가 상기 여분의 반응물 및/또는 반응 부산물들의 제거를 돕기 위한 퍼지 가스로서 사용될 수 있다. 일부 실시예들에 있어서, ALD 공정의 퍼지 단계는 약 5초를 포함하여, 약 1초 내지 약 20초, 약 1초 내지 약 15초 또는 약 1초 내지 약 10초일 수 있다. 예를 들어, 실리콘 반응물 및/또는 질소 반응물에 기판을 노출하기 위한 ALD 공정의 일 사이클은 약 0.5초의 반응물 펄스, 및 이를 뒤따르는 약 5초의 퍼지 단계를 포함할 수 있다. 일부 실시예들에 있어서, ALD 공정의 일 사이클은 약 0.5초의 실리콘 반응물 펄스 및 이를 뒤따르는 약 5초의 퍼지 단계, 이를 뒤따르는 약 0.5초의 질소 반응물 펄스 및 이후에 뒤따르는 약 5초의 퍼지 단계를 포함할 수 있다.
상기 ALD 공정의 일 사이클은 요구되는 두께 및/또는 조성의 막이 얻어질 때까지 수 회 반복될 수 있다. 일부 실시예들에 있어서, 반응물 유속, 반응물 흐름 기간, 퍼지 단계 기간 및/또는 반응물 자체들과 같은 퇴적 변수들이 요구되는 특성들을 갖는 막을 얻기 위하여 상기 ALD 공정 동안에 하나 또는 그 이상의 퇴적 사이클들 내에서 달라질 수 있다. 예를 들어, ALD 공정 사이클의 하나 또는 그 이상의 퇴적 변수들은 다른 ALD 공정 사이클과는 다를 수 있다.
여기 설명된 것과 같이, 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어, SiN(B,C) 막)을 퇴적하기 위한 공정은 화학 기상 퇴적(CVD) 공정을 포함할 수 있다. 실리콘 질화물 막 내부로 보론 및 카본 성분들을 도입하기 위한 CVD 공정은 상기 실리콘 질화물 막 상에서 하나 또는 그 이상의 반응물들의 분해 및/또는 복수의 반응물들 사이의 화학적 상호작용을 포함할 수 있다. 예를 들어, 반응물이 반응기 챔버 내부로 공급되고, 상기 분해는 요구되는 막의 형성을 용이하게 한다. 일부 실시예들에 있어서, 적합한 보론 반응물은 트리에틸보론(B(C2H5)3, TEB) 및/또는 트리메틸보론(B(CH3)3, TMB)을 포함할 수 있다. 예를 들어, 상기 반응기 챔버 내부로 공급된 TEB는 보론 및 카본 성분들의 상기 실리콘 질화물 막 내부로의 도입을 용이하게 하도록 상기 실리콘 질화물 막 상에서 분해될 수 있다.
일부 실시예들에 있어서, 펄스 CVD 공정이 사용될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막을 퇴적하기 위한 상기 공정은 기판 표면 상에 실리콘 질화물(SiN) 막을 제공하도록 구성된 ALD 공정을 포함하며, CVD 공정이 상기 ALD 공정의 적어도 일 사이클 이후에 수행되고, 상기 CVD 공정은 SiN(B,C) 막을 형성하도록 보론 및 카본 성분들을 상기 실리콘 질화물 막 내부로 도입하기 위하여 구성된다(예를 들어, 상기 반응기 챔버 내부로 하나 또는 그 이상의 보론 반응물들의 펄스들을 이송하기 위한 펄스 CVD 공정). 일부 실시예들에 있어서, 상기 CVD 공정은 요구되는 조성을 갖는 SiN(B,C) 막을 제공하도록 수 회 반복될 수 있다(예를 들어, 반응물 펄스 및 뒤따르는 퍼지 공정을 포함하는 CVD 공정의 사이클의 복수 회 반복). 일부 실시예들에 있어서, 보론 및 카본 성분들을 도입하기 위한 상기 CVD 공정은 요구되는 보론 및 카본 성분들 함량을 갖는 SiN(B,C) 막을 얻기 위해 보론 반응물이 상기 반응기 챔버 내부로 연속적이거나 또는 실질적으로 연속적인 흐름으로 공급되도록 펄스 CVD 공정이 아니다.
펄스 CVD 공정은 일정 기간 동안 반응기 챔버 내부로 반응물 가스(예를 들어, 반응물 펄스)를 공급하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, CVD 공정의 상기 반응물 펄스는 약 0.05초 내지 약 5.0초, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0초의 기간을 가질 수 있다. 예를 들어, 반응물 펄스는 약 0.5초일 수 있다.
일부 실시예들에 있어서, 두 개의 반응물 펄스들 사이의 간격은 상기 반응물 펄스의 하나 또는 그 이상의 반응물들의 불연속적인 흐름을 포함할 수 있다. 반응물 펄스들 사이의 간격은 약 1초 내지 약 15초 또는 약 1초 내지 약 10초를 포함하여, 약 1초 내지 약 20초의 기간을 가질 수 있다. 예를 들어, 상기 간격은 약 5초일 수 있다. 일부 실시예들에 있어서, 상기 간격은 상기 기판을 반응물들이 없거나, 실질적으로 없는 공간으로 이송하는 것을 포함한다. 일부 실시예들에 있어서, 상기 간격은 퍼지 단계를 포함할 수 있다. 예를 들어, 상기 간격은 반응물들이 없거나 실질적으로 없는 공간으로의 상기 기판의 이송 및 퍼지 단계를 포함할 수 있다. 예를 들어, 펄스 CVD 공정을 위한 반응기 챔버 내부로 하나 또는 그 이상의 반응물들을 전달하기 위한 펄스 이후에, 상기 기판 표면 부근으로부터 여분의 반응물 및/또는 반응 부산물들의 제거를 위한 것과 같은 퍼지 단계가 뒤따를 수 있다. 퍼지 단계는 상기 반응기 챔버를 통한 하나 또는 그 이상의 불활성 기체들(예를 들어, 아르곤(Ar), 헬륨(He) 및/또는 질소(N2))의 흐름을 포함할 수 있다. 일부 실시예들에 있어서, 각각의 반응물 펄스 이후에 퍼지 단계가 뒤따를 수 있다. 퍼지 단계는 상기 기판 부근으로부터 여분의 반응물 및/또는 반응 부산물들의 제거를 포함할 수 있다. 일부 실시예들에 있어서, CVD 공정의 퍼지 단계는 약 1초 내지 약 20초, 약 1초 내지 약 15초 또는 약 1초 내지 약 10초의 기간을 가질 수 있다. 예를 들어, 퍼지 공정은 약 5초일 수 있다. 특정한 상황들에 따라 당업자에 의해 결정될 수 있는 것과 같이, 반응물 펄스 및/또는 퍼지 공정을 위한 다른 기간들 또한 적합할 수 있다.
반응물 가스가 반응기 챔버 내부로 제공되는 적합한 기간 및/또는 퍼지 기간, 상기 반응물 펄스 및/또는 퍼지 단계 내의 가스 유속은, 상기 기판 표면 부근으로 요구되는 반응물들이 제공되고 및/또는 이로부터 제거되도록 상기 반응 공정의 하나 또는 그 이상의 변수들, 예를 들어 반응물 펄스 기간의 조절 및/또는 반응물 펄스 가스 유속 및/또는 퍼지 단계 기간 및/또는 퍼지 단계 가스 유속에 의존할 수 있다.
여기 설명된 것과 같이, SiN(B,C) 막을 퇴적하기 위한 공정은 실리콘 질화물 막을 제공하기 위한 공정의 수 회 반복 및 뒤따르는 상기 실리콘 질화물 막 내부로 보론 및 카본 성분들을 도입하기 위한 공정의 수 회 반복을 갖는 시퀀스(예를 들어, 도 14의 시퀀스(802))를 포함할 수 있다. 일부 실시예들에 있어서, 상기 시퀀스는 요구되는 조성 및/또는 두께를 갖는 SiN(B,C) 막을 제공하도록 수 회 반복될 수 있다(예를 들어, 상기 시퀀스는 Z 회 반복된다). 예를 들어, SiN(B,C) 막을 형성하기 위한 공정은 실리콘 질화물(SiN) 막을 퇴적하기 위한 ALD 공정들의 다수 사이클, 및 뒤따르는 보론 및 카본 성분들을 실리콘 질화물(SiN) 막 내부로 도입하기 위한 CVD 공정들의 다수 사이클을 갖는 시퀀스를 포함할 수 있고, 상기 시퀀스는 요구되는 조성 및/또는 두께의 SiN(B,C) 막을 제공하도록 수 회 동안 반복된다.
일부 실시예들에 있어서, 실리콘 질화물 막을 퇴적하기 위한 ALD 공정의 다수 사이클들 및 뒤따르는 보론 및 카본 성분들을 상기 실리콘 질화물 막 내부로 도입하기 위한 CVD 공정의 다수 사이클들을 포함하는 시퀀스는 약 25회 내지 약 75회를 포함하여, 약 1회 내지 약 150회 반복될 수 있다. 예를 들어, 상기 시퀀스는 75회 반복될 수 있다. 예를 들어, 상기 시퀀스는 100회 반복될 수 있다.
시퀀스 내의 실리콘 질화물(SiN) 막을 제공하기 위한 공정의 다수 사이클들(예를 들어, 도 14의 공정(804)을 복수 회 반복하거나 다수 사이클들을 수행하는 것과 같은 ALD 공정의 X 사이클들) 및/또는 상기 실리콘 질화물 내부로 보론 및 카본 성분들을 도입하기 위한 공정의 다수 사이클들(예를 들어, 도 14의 공정(812)을 복수 회 반복하거나 다수 사이클들을 수행하는 것과 같은 CVD 공정의 Y 사이클들)은 요구되는 막 특성들을 얻도록 선택될 수 있다. 예를 들어, 시퀀스는 ALD 공정 다수 사이클들 및 뒤따르는 CVD 공정 다수 사이클들을 포함할 수 있다. ALD 사이클들의 횟수 및/또는 CVD 사이클들의 횟수는 요구되는 조성 및/또는 두께를 포함하는 SiN(B,C) 막을 제공하도록 달라질 수 있다. 예를 들어, 보론 및 카본 성분들을 도입하기 위한 공정의 사이클들 횟수는 요구되는 보론 및 카본 성분들 함량(예를 들어, 요구되는 식각 속도, 컨포말리티 성능 및/또는 다른 막 특성)을 갖는 SiN(B,C) 막을 제공하도록 선택될 수 있다.
일부 실시예들에 있어서, 시퀀스 내에서 상기 실리콘 질화물 막의 보론 및 카본 성분들을 제공하기 위한 공정의 사이클들 횟수는 약 1 사이클 내지 약 10 사이클을 포함하여 약 1 사이클 내지 약 20 사이클일 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 제공하기 위한 상기 공정은 5회 반복될 수 있다. 예를 들어, 보론 및 카본 성분들을 실리콘 질화물 막 내부로 도입하기 위한 펄스 CVD 공정은 시퀀스 내에서 3회 반복될 수 있다. 보론 및 카본 성분들을 제공하기 위한 공정의 사이클들은 실리콘 질화물(SiN) 막의 퇴적을 위한 공정의 추가적인 사이클 이전에 수행될 수 있다. 예를 들어, SiN(B,C) 막 퇴적 공정의 시퀀스는 실리콘 질화물(SiN) 막의 퇴적을 위한 공정의 복수 회인 제1 사이클 및 뒤따르는 상기 SiN 막으로 상기 보론 및 카본 성분들을 추가하기 위한 공정의 복수 회인 제2 사이클을 포함할 수 있다.
일부 실시예들에 있어서, 시퀀스 내에서 보론 및 카본 성분들을 도입하기 위한 공정의 사이클 횟수에 대한 실리콘 질화물 막의 퇴적 공정의 사이클 횟수의 비율(예를 들어, Y:X의 비율)은 약 3:1 내지 약 50:1을 포함하여 약 1:1 내지 약 100:1일 수 있다. 일부 실시예들에 있어서, 시퀀스 내에서 보론 및 카본 성분들을 도입하기 위한 공정의 사이클 횟수에 대한 실리콘 질화물 막의 퇴적 공정의 사이클 횟수의 비율은 약 5:1 내지 약 20:1일 수 있다. 상기 비율은 시퀀스 내의 보론 및 카본 성분들을 도입하기 위한 공정 사이클들의 총 횟수의 퍼센트와 같이, 퍼센트 내지 보론 카본 공정 분율(boron carbon process fraction)로 표현될 수 있다. 예를 들어, 보론 카본 공정 분율 또는 시퀀스 내의 보론 및 카본 성분들을 도입하기 위한 공정 사이클들의 총 횟수의 퍼센트는 요구되는 조성을 갖는 SiN(B,C) 막을 제공하도록 조절될 수 있다. 상기 퍼센트 또는 보론 카본 공정 분율은 다음의 식으로 계산될 수 있다: X/(X+Y) * 100%. 일부 실시예들에 있어서, 상기 보론 카본 공정 분율 또는 시퀀스 내의 보론 및 카본 성분들을 도입하기 위한 공정 사이클들의 총 횟수의 퍼센트는 약 5% 내지 약 20%를 포함하여, 약 0.01% 내지 약 50%일 수 있다. 예를 들어, 상기 보론 카본 공정 분율은 약 10%일 수 있다. 예를 들어, 약 5.0% 내지 약 10%의 보론 카본 공정 분율을 갖는 공정이 x가 약 1.3 내지 약 1.8이고, y가 약 0.5 내지 약 1.5이며, z가 약 0.3 내지 약 1.3일 수 있는 SiNx(By,Cz) 막을 형성할 수 있다.
ALD 공정의 제1 단계 및/또는 제2 단계, 및/또는 CVD 공정은 약 100℃ 내지 약 600℃를 포함하여, 약 25℃ 내지 약 800℃의 공정 온도에서 수행될 수 있다. 여기 언급되는 것과 같은 상기 공정 온도는 반응기 챔버 서셉터, 반응기 챔버 벽의 온도 및/또는 상기 기판 자체의 온도를 포함할 수 있다. 일부 실시예들에 있어서, ALD 공정의 제1 단계 및/또는 제2 단계, 및/또는 CVD 공정은 약 150℃ 내지 약 500℃의 공정 온도에서 수행될 수 있다. 예를 들어, 상기 ALD 공정의 상기 제1 단계 및/또는 상기 제2 단계의 하나 또는 모두, 및/또는 상기 CVD 공정은 약 200℃ 내지 약 400℃의 공정 온도에서 수행될 수 있다. 예를 들어, 여기서 설명된 ALD 공정의 하나 또는 그 이상의 사이클들의 제1 단계 및/또는 제2 단계는 약 400℃의 온도와 같은, 약 200℃ 내지 약 400℃의 온도로 가열된 서셉터, 기판 및/또는 반응기 챔버 벽을 갖는 반응기 챔버 내에서 수행될 수 있다. 예를 들어, 상기 CVD 공정은 약 400℃의 온도로 가열된 서셉터, 기판 및/또는 반응기 챔버 벽을 갖는 반응기 챔버 내에서 수행될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 상기 실리콘 질화물 내부로 도입하기 위한 상기 CVD 공정은 예를 들어 약 325℃ 내지 약 400℃, 및 약 350℃ 내지 약 400℃를 포함하여 400℃보다 낮은 공정 온도에서 수행될 수 있다.
일부 실시예들에 있어서, 하나 또는 그 이상의 반응물들의 분해(예를 들어 ALD 공정의 실리콘 반응물 및/또는 질소 반응물, 및/또는 CVD 공정의 보론 반응물) 및/또는 반응물들 사이 및/또는 반응물들과 상기 기판 표면 사이의 반응을 용이하게 하는 한편, 감소된 열 버짓을 갖는 공정을 제공하도록, 실리콘 질화물(SiN) 막을 퇴적하기 위한 공정 및/또는 보론 및 카본 성분들을 도입하기 위한 공정의 온도는 충분히 높을 수 있다. 일부 실시예들에 있어서, 실리콘 질화물(SiN) 막을 퇴적하기 위한 및/또는 보론 및 카본 성분들을 도입하기 위한 공정 온도는 약 350℃ 내지 약 600℃, 약 400℃ 내지 약 600℃, 또는 약 375℃ 내지 약 450℃를 포함하여, 약 325℃ 내지 약 800℃일 수 있다. 예를 들어, 보론 및 카본 성분들을 실리콘 질화물 내부로 도입하기 위한 CVD 공정(예를 들어, 상기 보론 및 카본 성분들을 상기 실리콘 질화물 막 내부로 도입하기 위한 상기 보론 반응물 TEB의 분해를 포함하는 CVD 공정)은 약 400℃의 공정 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 실리콘 질화물(SiN) 막을 퇴적하기 위한 ALD 공정(예를 들어, 상기 실리콘 질화물 막을 형성하는 단계에서 하나 또는 그 이상의 반응물들이 분해될 수 있는 ALD 공정)은 약 400℃의 공정 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 ALD 공정의 온도는 상기 CVD 공정의 온도와 다를 수 있다. 일부 실시예들에 있어서, 실리콘 질화물(SiN) 막을 형성하기 위한 ALD 공정 및 보론 및 카본 성분들을 상기 SiN 막으로 첨가하기 위한 CVD 공정을 위해 동일한 온도가 사용된다.
SiN(B,C) 막의 형성을 위한 퇴적 공정의 예시는 실리콘 질화물(SiN) 막 형성을 위한 열 ALD 공정을 이용하여(예를 들어, ASM America, Inc. (Phoenix, AZ)로부터 상용 가능한) Pulsar® 3000 챔버 내에서 수행될 수 있다. 상기 열 ALD 공정은, 실리콘 반응물 펄스가 약 1초의 기간을 갖고, 그 이후에 약 5초의 기간을 갖는 퍼지 공정(예를 들어 질소를 포함하는 퍼지 가스를 사용한)이 뒤따르도록 캐리어 가스(예를 들어 질소)와 함께 상기 반응기 챔버 내부로 공급되는 옥타클로로트리실란(Si3Cl8, OCTS)을 포함하여, 약 300 밀리미터 웨이퍼 상에 약 400℃의 공정 온도에서 수행될 수 있다. 상기 OCTS는 약 40℃ 온도의 버블러(bubbler) 내에 저장될 수 있고, 상기 버블러로부터 상기 반응기 챔버 내로 제공된다(예를 들어, 상기 OCTS를 상기 반응기 챔버 내부로 전달하기 위한 밸브가 열린 정도를 조절함에 의해 상기 OCTS의 질량 유속이 조절될 수 있다). 상기 열 ALD 공정은 상기 반응기 챔버 내부로 공급된 암모니아(NH3)를 포함하는 질소 반응물을 포함할 수 있고 상기 질소 반응물 펄스는 약 1초의 기간을 가지며, 그 후에 약 5초의 기간을 갖는 퍼지 공정(예를 들어, 질소를 포함하는 퍼지 가스를 사용한)이 뒤따른다. 상기 NH3는 약 1.5 bar의 압력에서 유지되는 가스 소스로부터 상기 반응기 챔버 내부로 제공될 수 있다(예를 들어, 상기 NH3를 상기 반응기 챔버 내부로 전달하기 위한 밸브가 열린 정도를 조절함에 의해 상기 NH3의 질량 유속이 조절될 수 있다). 상기 ALD 공정은 복수 회 사이클 될 수 있다. 상기 ALD 공정의 복수 회 사이클들 이후에 상기 SiN 막 내부로 보론 및 카본 성분들을 도입하기 위한 열 CVD 공정의 복수 회 사이클들이 뒤따를 수 있다. 상기 열 CVD 공정은 약 400℃의 온도에서 수행될 수 있고, 트리에틸보론(B(C2H5)3, TEB)을 포함하는 보론 반응물을 상기 반응기 챔버 내부로 제공하기 위한 보론 반응물 펄스를 포함할 수 있으며, 여기서 상기 보론 반응물 펄스는 약 0.5초의 기간을 가질 수 있다. 상기 보론 반응물 펄스 이후에 약 5초의 기간을 갖는 퍼지 단계(예를 들어 질소를 포함하는 퍼지 가스를 사용한)가 뒤따를 수 있다. 예를 들어, 상기 퇴적 공정은 상기 ALD 공정의 19 사이클 및 뒤따르는 상기 CVD 공정의 2 사이클을 포함하는 시퀀스(예를 들어 약 10%의 보론 카본 공정 분율을 제공하는)를 포함할 수 있고, 여기서 상기 시퀀스가 75회 반복된다.
보론 및 카본 성분들을 포함하는 실리콘 질화물 막의 조성은 예를 들어 상기 막을 퇴적을 위한 공정의 보론 카본 공정 분율을 증가시키거나 감소시킴에 의해 조정될 수 있다. 예를 들어, 상기 막의 보론 및 카본 함량은 상기 막 제조 공정의 보론 카본 공정 분율을 조정함에 의해 조정될 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막은 약 1 원자% 내지 약 35 원자%의 보론을 포함하여, 약 0.1 원자% 내지 약 50 원자%의 보론을 가질 수 있다. 예를 들어, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막은 약 5 원자% 내지 약 30 원자%의 보론을 포함할 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막은 약 1 원자% 내지 약 35 원자%의 카본을 포함하여, 약 0.1 원자% 내지 약 50 원자%의 카본을 가질 수 있다. 예를 들어, 보론 및 카본 성분들을 포함하는 상기 실리콘 질화물 막은 약 5 원자% 내지 약 30 원자%의 카본을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 및/또는 질소 함량은 상기 막 제조 공정의 보론 카본 공정 분율을 조정함에 의해 조정될 수 있다.
일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 SiN(B,C) 막은 요구되는 유전 상수(k-값)을 가질 수 있다. SiN(B,C) 막의 유전 상수는 통상의 실리콘 질화물 막들의 유전 상수보다 낮을 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 약 6보다 작은 것을 포함하여, 약 7보다 작은 유전 상수를 가질 수 있다. 예를 들어, SiN(B,C) 막은 약 4.8 내지 6, 약 4.8 내지 5.5를 포함하여, 약 4.8 내지 약 7의 유전 상수를 가질 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막의 유전 상수는 상기 막 제조 공정의 보론 카본 공정을 조정함에 따라 조정될 수 있다. 일부 실시예들에 있어서, 약 5.5의 유전 상수를 갖는 SiN(B,C) 막은 약 10% 이상의 보론 카본 공정 분율을 갖는 퇴적 공정을 사용하여 형성될 수 있다. 반도체 장치의 특정 어플리케이션들을 위하여 사용되는(예를 들어, 트랜지스터 게이트 피쳐를 위한 스페이서 물질로서) 감소된 유전 상수들, 예를 들어 통상의 실리콘 질화물 막들의 유전 상수보다 작은 유전 상수를 갖는 SiN(B,C) 막들은 장치의 기생 커패시턴스들(parasitic capacitances)을 포함하여, 하나 또는 그 이상의 장치의 전기적 변수들의 향상들을 용이하게 할 수 있다.
여기 설명된 것과 같이, SiN(B,C) 막은 반도체 장치 제조 공정에서 희생막일 수 있다. 예를 들어, 상기 SiN(B,C) 막은 식각 공정에서 선택적으로 제거될 수 있다. 일부 실시예들에 있어서, 희생 SiN(B,C) 막은 염소 및/또는 플루오르 함유 플라즈마 공정들과 같은 염소(Cl) 및/또는 플루오르(F)를 포함하는 식각 공정을 사용한 반도체 장치의 제조 동안에 선택적으로 제거될 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 완성된 반도체 장치의 일부분을 형성할 수 있다. 예를 들어, 상기 SiN(B,C) 막은 상기 반도체 장치의 제조에서 사용되는 하나 또는 그 이상의 다른 물질들을 식각하는 데 더욱 저항성이 있을 수 있다.
상기 SiN(B,C) 막은 상기 장치 내의 다른 물질에 대하여 요구되는 식각 선택비를 가질 수 있다. 예를 들어, 상기 SiN(B,C) 막의 식각 선택비는 상기 막의 보론 및 카본 성분들 함량을 조정함에 의해(예를 들어, 상기 막 제조 공정의 보론 카본 공정 분율을 조정함에 의해) 조절될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 건식 식각 공정 및/또는 습식 식각 공정에 의해 식각될 수 있다. 예를 들어, 상기 SiN(B,C) 막은 플루오르 함유 플라즈마를 포함하는 플라즈마 식각 공정에 의해 식각될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 상기 장치의 다른 물질에 대하여 약 10 이상, 약 20 이상 또는 약 50 이상의 선택비를 포함하여, 약 5 이상의 식각 선택비(예를 들어 건식 식각 및/또는 습식 식각 선택비)를 가질 수 있다.
일부 실시예들에 있어서, 상기 SiN(B,C) 막은 열 실리콘 산화물(TOX) 막에 대한 습식 식각 선택비와 같은 요구되는 습식 식각 선택비를 나타낼 수 있다. 예를 들어, 상기 SiN(B,C) 막은 약 1보다 작거나, 약 0.5보다 작거나 또는 약 0.3보다 작은 열 실리콘 산화물 층의 습식 식각 속도에 대한 상기 SiN(B,C) 막의 습식 식각 속도의 비율을 가지며, 상기 열 실리콘 산화물 층보다 습식 식각에 더욱 저항성이 있을 수 있다. 일부 실시예들에 있어서, 상기 열 실리콘 산화물 층의 습식 식각 속도에 대한 상기 SiN(B,C) 막의 습식 식각 속도의 비율은 약 0.1보다 작을 수 있다.
일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 보론 및 카본 성분들을 포함하는 하나 또는 그 이상의 실리콘 질화물 막들(SiN(B,C))은 복수의 식각 용액들 내에서 요구되는 식각 속도를 가질 수 있다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어SiN(B,C) 막)은 하나 또는 그 이상의 습식 식각액들에 저항성이 있거나 실질적으로 저항성이 있을 수 있다. 예를 들어, SiN(B,C) 막은 하나 또는 그 이상의 식각 용액들에서 약 0.5 nm/min보다 작고, 약 0.2 nm/min보다 작고, 약 0.1 nm/min보다 작은 것을 포함하여, 약 1 nm/min보다 작은 식각 속도를 가질 수 있다: 약 80℃에서 농축된 질산(HNO3) 용액(예를 들어, 약 65 내지 약 75 질량%의 HNO3 농도를 갖는 용액), 대략 상온(약 25℃의 온도)에서 5.5 질량%의 플루오르화 수소산(HF), 대략 상온(예를 들어 약 25℃의 온도)에서 약 1:1:5의 질산:플루오르화 수소산:물(HNO3:HF:H2O)의 비율을 갖는 용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 10 질량%의 NaOH 농도를 갖는 수산화나트륨(NaOH)의 수용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 농축된 염산(HCl) 용액(예를 들어, 약 35 내지 약 40 질량%의 HCl 농도를 갖는 용액), 및 대략 상온(예를 들어, 약 25℃의 온도)에서 농축된 황산(H2SO4) 용액(예를 들어, 약 90 질량%보다 큰 H2SO4 농도를 갖는 용액).
일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어 SiN(B,C) 막)은 대략 상온(예를 들어 약 25℃의 온도)에서 약 85 질량%의 농도에서의 인산(H3PO4) 용액을 포함하는 습식 식각액에 저항성이 있거나 실질적으로 저항성이 있을 수 잇다. 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어 SiN(B,C) 막)은 다음의 습식 식각액들 중 하나 또는 그 이상에 대하여 저항성이 있거나 실질적으로 저항성이 있을 수 있다(예를 들어, 약 3 nm/min 미만의 식각 속도), 약 2분 동안 플루오르화 수소산(HF)의 1 질량%에서의 디핑 이후에): 대략 상온(예를 들어 약 25℃의 온도)에서 약 85 질량%의 농도에서의 인산(H3PO4) 용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 10 질량%의 NaOH 농도를 갖는 수산화나트륨(NaOH)의 수용액, 대략 상온(예를 들어, 약 25℃의 온도)에서 약 35 내지 약 40 질량%의 농도를 갖는 염산(HCl) 용액(예를 들어, 약 37 질량%), 및 대략 상온(예를 들어, 약 25℃의 온도)에서 약 90 질량%보다 큰 농도를 갖는 황산(H2SO4) 용액(예를 들어, 98 질량%).
일부 실시예들에 있어서, SiN(B,C) 막은 대략 상온에서(예를 들어, 약 25℃의 온도) 5:5:90의 과산화수소:플루오르화수소산:물(H2O2:HF:H2O)의 부피 비율을 갖는 용액 내에서 약 1.0 nm/min보다 큰 식각 속도를 가질 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 식각되고, 이후 예를 들어 오존 및/또는 산소 함유 플라즈마(예를 들어 산소 원자들 및/또는 다른 산소 함유 래디칼들을 포함하는 플라즈마)를 포함하여, 산소 함유 분위기에서의 처리에 노출될 수 있다.
여기 설명된 것과 같이, SiN(B,C) 막은 요구되는 컨포말리티 또는 스텝 커버리지를 나타내며 3차원 구조 상에 및/또는 상부에 퇴적될 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 약 3:1 이상, 약 5:1 이상, 또는 약 8:1 이상을 포함하여, 약 2:1 이상의 종횡비를 갖는 3차원 구조 상부에서 요구되는 컨포말리티 또는 스텝 커버리지를 나타낼 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 약 10:1 이상, 약 25:1 이상, 또는 약 50:1 이상의 종횡비를 갖는 3차원 구조 상부에서 요구되는 스텝 커버리지를 나타낼 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 약 90% 이상, 약 95% 이상, 또는 약 100%를 포함하여, 약 80% 이상의 스텝 커버리지를 포함하여, 여기 설명된 것과 같은 하나 또는 그 이상의 피쳐들 상부에서 요구되는 스텝 커버리지를 나타낼 수 있다. 일부 실시예들에 있어서, SiN(B,C) 막은 약 150:1까지 및 약 100:1까지를 포함하여 약 250:1까지의 종횡비를 갖는 3차원 구조 상에 형성될 때, 약 90% 이상, 약 95% 이상, 또는 약 100%를 포함하여, 약 80% 이상의 스텝 커버리지를 나타낼 수 있다.
일부 실시예들에 있어서, 3차원 구조의 측벽 상에 퇴적된 SiN(B,C) 막의 일부분은, 예를 들어 상기 3차원 구조의 상면 상에 퇴적된 상기 막의 일부분의 식각 속도와 비교할 때, 요구되는 식각 속도를 나타낼 수 있다. 일부 실시예들에 있어서, 3차원 구조의 측벽 상에 퇴적된 SiN(B,C) 막의 일부분은, 상기 구조의 상면 상에 퇴적된 상기 SiN(B,C) 막의 일부분과 같이 균일하거나 실질적으로 균일한 상기 SiN(B,C) 막의 식각 속도를 나타낼 수 있다. 예를 들어, 상기 SiN(B,C) 막의 상면 부분의 식각 속도에 대한 상기 SiN(B,C) 막의 측벽 부부의 식각 속도의 비율은 약 2보다 작고, 약 1.5를 포함하여, 약 4보다 작을 수 있다. 일부 실시예들에 있어서, 상기 비율은 약 1이다.
 일부 실시예들에 있어서, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어 SiN(B,C) 막)은 그 형성 이후에 어닐링 공정이 가해질 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 불활성 가스 분위기(예를 들어 질소 및/또는 하나 또는 그 이상의 비활성 가스들을 포함하는 분위기)에서 어닐링될 수 있다. 예를 들어, 상기 어닐링 공정은 약 600℃ 이상, 약 800℃ 이상 또는 약 1000℃ 이상의 온도에서 질소 분위기에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 약 900℃까지의 온도에서 어닐링될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 약 900℃까지를 포함하여, 약 600℃ 이상, 약 800℃ 이상 또는 약 1000℃ 이상의 온도에서와 같이, 수소 분위기에서 어닐링될 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막의 보론 및 카본 성분들은 약 900℃까지의 온도에서 질소 분위기에서 어닐링될 때 상기 막 밖으로 확산되지 않는다. 일부 실시예들에 있어서, 상기 어닐링은 예를 들어 약 600℃ 이상, 약 800℃ 이상 또는 약 1000℃ 이상의 온도에서 수소 또는 불활성 가스 분위기에서 수행될 수 있다.
SiN(B,C) 막들의 실험예들
도 15a는 약 0%로부터 약 15%인 보론 카본 공정 분율(예를 들어, 실리콘 질화물(SiN) 막으로 보론 및 카본 성분을 도입하기 위한 공정의 사이클들의 총 사이클 횟수의 퍼센트)을 갖는 네 개의 막들의 조성들(예를 들어 러더포드 백스캐터링 분광기(RBS)로 측정된)의 그래프들이다. 상기 네 개의 막들 각각의 실리콘, 질소, 보론, 카본 및 염소의 원자 퍼센트가 나타나며, 실리콘, 질소, 보론 및 카본의 원자 퍼센트가 좌측 수직축을 참조로 도시되고, 염소의 원자 퍼센트가 우측 수직 축을 참조로 나타난다. 네 개의 막들 각각은 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 예를 들어, 달라지는 조성의 SiN 막 및 SiN(B,C) 막들은 실리콘 질화물(SiN) 막을 형성하기 위한 열 ALD 공정을 사용하여 Pulsar® 3000 챔버(ASM America, Inc. (Phoenix, AZ)로부터 상용 가능한) 내에서 수행되는 퇴적 공정을 사용하여 퇴적될 수 있다. 상기 열 ALD 공정은, 실리콘 반응물 펄스가 약 1초의 기간을 갖고, 그 이후에 약 5초의 기간을 갖는 퍼지 공정(예를 들어 질소를 포함하는 퍼지 가스를 사용한)이 뒤따르도록 캐리어 가스(예를 들어 질소)와 함께 상기 반응기 챔버 내부로 공급되는 옥타클로로트리실란(Si3Cl8, OCTS)을 포함하는 실리콘 반응물을 포함하여, 약 300 밀리미터 웨이퍼 상에서 약 400℃의 공정 온도 및 약 0.1 Torr 내지 약 10 Torr의 압력에서 수행될 수 있다. 상기 OCTS는 약 40℃ 온도의 버블러 내에 저장될 수 있고, 상기 버블러로부터 상기 반응기 챔버 내로 제공된다(예를 들어, 상기 OCTS를 상기 반응기 챔버 내부로 전달하기 위한 밸브가 열린 정도를 조절함에 의해 상기 OCTS의 질량 유속이 조절될 수 있다). 상기 열 ALD 공정은 상기 반응기 챔버 내부로 공급된 암모니아(NH3)를 포함하는 질소 반응물을 포함할 수 있고 상기 질소 반응물 펄스는 약 1초의 기간을 가지며, 그 후에 약 5초의 기간을 갖는 퍼지 공정(예를 들어, 질소를 포함하는 퍼지 가스를 사용한)이 뒤따른다. 상기 NH3는 약 1.5 bar의 압력에서 유지되는 가스 소스로부터 상기 반응기 챔버 내부로 제공될 수 있다(예를 들어, 상기 NH3를 상기 반응기 챔버 내부로 전달하기 위한 밸브가 열린 정도를 조절함에 의해 상기 NH3의 질량 유속이 조절될 수 있다). 상기 ALD 공정은 복수 회 사이클 될 수 있다. 상기 ALD 공정의 복수 회 사이클들 이후에 상기 SiN 막 내부로 보론 및 카본 성분들을 도입하기 위한 펄스 열 CVD 공정의 복수 회 사이클들이 뒤따를 수 있다. 상기 열 CVD 공정은 약 400℃의 온도 및 약 0.1 Torr 내지 약 10 Torr의 압력에서 수행될 수 있고, 상기 반응기 챔버 내부로 공급되는 트리에틸보론(B(C2H5)3, TEB)을 포함하며, 여기서 상기 보론 반응물 펄스는 약 0.5초의 기간을 가질 수 있고, 상기 보론 반응물 펄스 이후에 약 5초의 기간을 갖는 퍼지 단계(예를 들어 질소를 포함하는 퍼지 가스를 사용한)가 뒤따를 수 있다. 예를 들어, 상기 SiN(B,C) 막 퇴적 공정은 상기 ALD 공정의 복수의 사이클들 및 뒤따르는 상기 CVD 공정의 1 내지 3 사이클들을 포함하는 시퀀스(예를 들어 약 0% 내지 약 15%의 보론 카본 공정 분율을 제공하도록)를 포함할 수 있고, 여기서 상기 시퀀스가 복수 회(예를 들어, 약 50회 내지 약 100회) 반복될 수 있다. 예를 들어, 상기 시퀀스가 75회 반복될 수 있다.
도 15a의 그래프들은 막의 보론 및 카본 함량이 상기 보론 카본 공정 분율의 증가와 함께 증가할 수 있다는 점을 나타낸다. 예를 들어, 상기 보론 및 카본 성분들 함량은 상기 보론 카본 공정 분율의 증가와 함께 선형적으로 또는 실질적으로 선형적으로 증가할 수 있다. 도 15a는 보론 카본 공정 분율의 증가와 함께 실리콘 함량 및 질소 함량이 감소할 수 있음을 나타낸다. 예를 들어, 상기 실리콘 및/또는 질소 함량은 상기 보론 카본 공정 분율의 증가와 함께 선형적으로 또는 실질적으로 선형적으로 감소할 수 있다. 도 15a는 또한 상기 보론 카본 공정 분율의 증가와 함께 염소 함량이 감소할 수 있음을 나타낸다.
도 15b는 약 0% 내지 약 15%의 보론 카본 공정 분율을 갖는 제조 공정들에 의해 형성된 네 개의 막들의 막 성장 속도를 사이클 당 옹스트롬(Å/cycle) 단위로 나타낸다. 도 15b에 도시된 것과 같이, 일 사이클이 실리콘 질화물(SiN) 막을 제공하기 위한 공정의 복수의 사이클들 및 상기 SiN 막 내부로 보론 및 카본을 도입하기 위한 공정의 복수의 사이클들을 포함하는 시퀀스(예를 들어 도 14의 시퀀스(802))에 해당할 수 있다. 상기 네 개의 막들 각각은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명한 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 15b는 보론 카본 공정 분율의 증가와 함께 막 성장 속도가 감소할 수 있다는 점을 나타낸다. 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 기판의 표면 상에 흡착된 보론 반응물들은 상기 기판의 표면 상에 실리콘 반응물들 및/또는 질소 반응물들(예를 들어, 후속의 실리콘 질화물 퇴적 공정으로부터의 실리콘 반응물들 및/또는 질소 반응물들)이 적절하게 흡착될 능력을 감소시킬 수 있다. 증가하는 보론 카본 공정 분율(예를 들어, SiN(B,C) 막 제조 공정에서 제공되는 증가된 양의 보론 반응물들)은 후속의 실리콘 질화물 퇴적 공정들로부터의 실리콘 및/또는 질소 반응물들이 상기 기판 표면 상에 흡착될 수 있는 능력을 현저히 감소시킬 수 있다. 게다가, 임의의 특정한 이론 또는 구동 모드에 한정되지 않고, 후속의 실리콘 질화물 퇴적 공정들로부터의 실리콘 및/또는 질소 반응물들이 상기 기판 표면 상에 흡착될 수 있는 감소된 능력은 또한, 그렇지 않았다면 상기 보론 카본 공정 분율에 기초하여 기대될 것보다 더 높은 보론 및 카본 성분들 함량을 갖는 막을 유발할 수 있다.
일부 실시예들에 있어서, 막 두께 불균일성(예를 들어 1 시그마(1σ) 두께 불균일성)은 증가된 보론 카본 공정 분율에 의해 부정적으로 영향받지는 않을 수 있다. 일부 실시예들에 있어서, 보론 카본 공정 분율 증가에 따라, 막 두께 불균일성은 동일하거나 실질적으로 동일하게 유지된다. 예를 들어, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막을 퇴적하기 위한 공정의 막 두께 불균일성은 약 10%보다 작고, 약 5%보다 작은 것을 포함하여, 약 20%보다 작을 수 있다. 일부 실시예들에 있어서, 막 두께 불균일성은 특정한 값까지의 보론 카본 공정 분율의 감소와 함께 향상될 수 있다. 예를 들어, 약 10%보다 작은 보론 카본 공정 분율은 향상된 막 두께 불균일성을 제공할 수 있다.
도 16을 참조하면, 약 0% 내지 약 15%의 보론 카본 공정 분율을 갖는 네 개의 막들의 푸리에 변환 적외분광기(fourier transform infrared spectroscopy, FTIR) 분석이 나타난다. 네 개의 막들 각각은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 상기 FTIR은 예를 들어 다양한 화학적 결합들의 존재를 포함하여, 각각의 막 내의 다양한 피쳐들의 존재를 가리킨다. 예를 들어, 상기 FTIR 분석은 막 제조 공정이 가해진 이후에, 막의 추가적인 피쳐들 및/또는 피쳐들의 변화들을 나타낼 수 있다. 도 16의 각각의 막의 다양한 특성들에 상응하는 피크들은 표시된 피쳐들의 근원을 가리키도록 "○" 또는 "*"로 표시되었다. 예를 들어, 그래프에서 "○"로 표시된 피크(예를 들어, 질소에 결합된 수소(N-H), 산소에 결합된 수소(O-H), 실리콘에 결합된 수소(Si-H), 실리콘에 결합된 질소(Si-N))는 피쳐들이 상기 실리콘 질화물(SiN) 막의 퇴적을 위한 공정에 기인한다는 점을 가리킨다. 예를 들어, "*"로 표시된 피크(예를 들어, 카본에 결합된 수소, 보론에 결합된 수소, 보론에 결합된 카본, 다른 카본에 결합된 카본, 다른 보론에 결합된 보론)는 피쳐들이 상기 실리콘 질화물(SiN) 막의 퇴적을 위한 공정에 기인한다는 점을 가리킨다. 상기 실리콘 질화물(SiN) 막 내부로 보론 및 카본 성분들을 도입하기 위한 공정에 기인한다는 점을 가리킨다. 도 16은 보론 및 카본 성분들을 상기 실리콘 질화물(SiN) 막 내부로 도입하기 위한 공정이 카본에 결합된 수소(C-H) 및/또는 보론에 결합된 수소(B-H)(예를 들어 도 16의 약 2500 cm-1 내지 약 3000 cm-1에 도시된 것과 같이)와 같은 특성들 및 보론에 결합된 카본(B-C), 다른 보론에 결합된 보론(B-B) 및/또는 다른 카본에 결합된 카본(C-C)(예를 들어 약 1200 cm-1와 같이 도 16의 약 1000 cm-1 내지 약 1500 cm-1에 도시된 것과 같이)와 같은 피쳐들을 제공할 수 있음을 나타낸다. 도 16은 보론 카본 공정 분율 증가에 따른 Si-H 결합 피쳐들의 감소를 나타낸다. Si-H 결합 피쳐들의 감소는 향상된 SiN(B,C) 막 성능, 예를 들어 상기 막의 전기적 특성 향상을 용이하게 할 수 있다. 도 16은 또한 실리콘에 결합된 질소(Si-N)에 상응하는 피크가 보론 카본 공정 분율 증가에 따라 더 높은 파장으로 이동할 수 있으며, 예를 들어, 실리콘 및 질소 사이의 결합들의 증가를 가리킨다.
도 17은 약 0% 내지 약 15%의 보론 카본 공정 분율들을 갖는 네 개의 막들의 X선 반사율(X-ray reflectivity, XRR) 측정들에 기초한 분석을 나타낸다. 나노미터(nm) 단위의 막 두께, 입방 센티미터당 그램(g/cm3) 단위의 막 밀도 및 나노미터 단위의 막 거칠기가 도시된다. 상기 네 개의 막들 각각은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 17은 보론 카본 공정 분율 증가에 따른 막 밀도 감소 및 막 거칠기의 약간의 감소를 나타낸다.
도 18은 다양한 보론 카본 공정 분율들을 갖는 공정들에 의해 형성된 상응하는 막들의 희석 HF 용액(예를 들어 0.5 질량%의 HF 용액) 내에서의 습식 식각 속도들을 분당 나노미터(nm/min) 단위로 도시한다. 상기 막들은 도 9a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 18을 참조하면, 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(예를 들어, SiN(B,C) 막)의 습식 식각 속도는 보론 카본 공정 분율 증가와 함께 현저하게 감소될 수 있다. 도 18은 약 5% 이상의 보론 카본 공정 분율을 갖는 막 퇴적 공정들이 현저히 감소된 습식 식각 속도를 갖는 SiN(B,C) 막들을 제조할 수 있다는 점을 나타낸다. 예를 들어, 희석 HF 내에서 요구되는 습식 식각 속도를 갖는 SiN(B,C) 막은(예를 들어, 스페이서 어플리케이션을 위해 적합한 SiN(B,C) 막) 약 10% 이상의 보론 카본 공정 분율을 갖는 공정에 의해 형성될 수 있다.
도 19a 내지 도 19d는 기판의 트렌치 구조들(1300) 상에 퇴적된 보론 및 카본 성분들을 포함하는 실리콘 질화물 막(SiN(B,C) 막)의 습식 식각 성능을 나타낸다. 상기 막은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 19a 내지 도 19c는 상기 막(1302)을 습식 식각액에 노출하기 전에 상기 트렌치 구조들(1300)의 하나 또는 그 이상의 표면들 상에서의 상기 막(1302)을 갖는 상기 트렌치 구조들(1300)의 주사 전자 현미경(SEM) 이미지들을 나타낸다. 예를 들어, 일정 기간 동안(예를 들어 약 2분 동안) 희석 플루오르화수소산(HF) 용액을 포함하는 습식 식각액(예를 들어 약 0.5 질량% HF 용액)이 사용된다. 도 19b 및 19d는 상기 습식 식각액으로의 노출 이후의 상기 막(1302)을 나타낸다. 도 19b 및 도 19d는 상기 막(1302)이 상기 습식 식각액에 의해 영향받지 않거나, 실질적으로 영향받지 않음을 나타낸다. 예를 들어, 하부의 열 산화물층(예를 들어 열 실리콘 이산화물, TOX)의 식각 속도에 대한 상기 막(1302)의 습식 식각 속도의 비율은 약 3:10보다 작을 수 있다. 도 19b 및 19d는 또한 예를 들어 상기 막(1302)이 상기 하부 트렌치 구조들로부터 벗겨지지(delaminate) 않고, 및/또는 다른 결함들을 나타내지 않으며, 상기 트렌치 구조들(1300)의 컨포말한 커버리지를 제공하는 습식 식각 이후의 상기 막(1302)를 나타낸다.
도 20a 내지 도 20d는 약 4분의 기간 동안 습식 식각액에 노출된 이후(예를 들어 0.5 질량%의 HF 용액과 같은 희석 플루오르화수소산(HF 또는 dHF) 용액 내의 디핑 이후)의 높은 종횡비의 트렌치들(1400)의 표면들 상의 SiN(B,C) 막의 주사 전자 현미경(SEM) 이미지들을 나타낸다. 상기 막은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 20a는 상기 트렌치들의 상측 부분(1402), 상기 트렌치들의 중앙 부분(1404) 및 상기 트렌치들의 하측 부분(1406)을 나타내는 상기 구조들(1400)의 1만3천 배율에서의 저배율 이미지이다. 상기 상측 부분(1402)은 도 13b에서 25만 배율의 고배율로 도시되며, 상기 중앙 부분(1404)은 도 20c에서 25만 배율의 고배율로 도시되며, 상기 하측 부분(1406)은 도 20d에서 25만 배율의 고배율로 도시된다. 도 20a 내지 도 20d에 도시된 것과 같이, 상기 SiN(B,C) 막은 상기 습식 식각액에 노출된 이후에 높은 종횡비의 트렌치들(1400)의 훌륭한 컨포말리티 또는 스텝 커버리지를 나타낼 수 있다. 예를 들어, 도 20a 내지 도 20d는 상기 트렌치 구조(1400)의 상측 부분(1402) 상에 형성된 약 20 nm 두께, 상기 트렌치 구조(1400)의 중앙 부분(1404) 상에 형성된 약 20 nm의 두께 및 상기 트렌치 구조(1400)의 하측 부분(1406) 상에 형성된 약 19 nm의 두께를 갖는 SiN(B,C) 막(예를 들어, 약 95% 이상의 컨포말리티)을 도시한다. 도 20a 내지 도 20d에 도시된 것과 같은 상기 SiN(B,C) 막의 식각 속도 대 하부의 열 산화물(예를 들어, 열 실리콘 이산화물, TOX)의 식각 속도의 비율은 약 1:2보다 작을 수 있다.
도 21a 내지 도 21d는 습식 식각액에 노출되기 이전의 높은 종횡비의 트렌치들(1500) 표면 상의 보론 및 카본을 포함하는 실리콘 질화물 막(예를 들어, SiN(B,C) 막)의 주사 전자 현미경(SEM) 이미지들을 나타낸다. 상기 막은 도 15a를 참조로 설명한 상기 공정들과 같이, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성될 수 있다. 도 21a는 상기 트렌치 구조들(1500)의 1만1천 배율에서의 저배율 이미지이고, 도 21b는 트렌치들(1500)의 상측 부분(1502)을 20만 배율의 고배율로 도시하며, 도 21c는 트렌치들(1500)의 중앙 부분(1504)을 20만 배율의 고배율로 도시하고, 도 21d는 트렌치들(1500)의 하측 부분(1506)을 20만 배율의 고배율로 도시한다. 도 21a 내지 도 21d는 상기 SiN(B,C) 막이 우수한 스텝 커버리지 또는 컨포말리티를 나타낼 수 있다는 점을 보여준다. 예를 들어, 도 21b 내지 도 21d는, 상기 트렌치 구조(1500)의 상측 부분(1502) 상에 형성된 약 23 nm 두께, 상기 트렌치 구조(1500)의 중앙 부분(1504) 상에 형성된 약 23 nm의 두께 및 상기 트렌치 구조(1500)의 하측 부분(1506) 상에 형성된 약 24 nm의 두께를 갖는 SiN(B,C) 막(예를 들어, 약 95% 이상의 컨포말리티)을 도시한다.
습식 식각액 내에서의 SiN(B,C) 막의 식각 속도(예를 들어, 부피비 약 5:5:90인 H2O2:HF:H2O의 비율을 갖는 습식 식각액)는 약 1.1 nm/min ± 0.3 nm/min일 수 있다. 일부 실시예들에 있어서, 상기 SiN(B,C) 막은 예를 들어 상기 막의 식각 속도를 증가시키도록 상기 습식 식각액에 노출되기 이전에 오존(O3)에 적셔질(soak) 수 있다. 상기 습식 식각에 노출되기 이전에 오존에 적셔진 상기 SiN(B,C) 막의 식각 속도는 약 2.2 nm/min ± 0.5 nm/min일 수 있다. 일부 실시예들에 있어서, 상기 식각 속도들은 상기 막 조성에 따라 달라질 수 있다.
0.5 질량%의 HF 용액 내에 약 2분 동안 디핑되기 이전 및 이후에 RBS에 의해 분석된 블랑켓 실리콘 웨이퍼 상에 퇴적된 SiN(B,C) 막은 퇴적된 상태의 막의 조성이 실리콘 20 원자%, 질소 35 원자%, 보론 20 원자%, 카본 18 원자%, 산소 6 원자%, 염소 1 원자%임을 나타낸다. 상기 HF 용액 내에 디핑된 이후의 상기 막의 조성은 실리콘 19 원자%, 질소 30 원자%, 보론 25 원자%, 탄소 19 원자%, 산소 7 원자%, 염소 1 원자%였다. 상기 RBS 분석은 상기 막의 조성이 상기 HF 디핑 공정에 의해 현저하게 영향받지는 않을 수 있음을 나타낸다.
본 개시가 특정한 실시예들 및 예시들의 맥락 내에서 제공되었을지라도, 당업자는 본 개시가 특정하게 설명된 실시예들을 넘어 다른 대안적인 실시예들 및/또는 상기 실시예들의 용도들 및 명백한 이들의 개선들 및 동류물들에까지 연장됨을 이해할 것이다. 게다가, 본 개시의 실시예들의 몇몇 변형들이 상세하게 도시되고 설명된 한편, 본 개시의 범위 내에 포함되는 다른 개선들은 본 개시에 기초하여 당업자들에게 즉각적으로 명백해질 것이다. 또한 특정한 특징들 및 실시예들의 측면들의 다양한 조합들 또는 서브 조합들이 만들어질 수 있고, 여전히 본 개시의 범위 내에 존재하는 것으로 의도된다. 개시된 실시예들의 다양한 특징들 및 측면들이 본 개시의 실시예들의 다양한 모드들을 형성하기 위하여 서로 조합되거나, 또는 치환될 수 있음이 이해되어야 한다. 따라서, 본 개시의 범위는 위에 설명된 특정한 실시예들에 한정되어서는 안됨이 의도된다.
여기 제공되는 목차들은 편의를 위한 것일 뿐 여기서 설명된 장치들 및 방법들의 범위 또는 의미에 영향을 줄 필요는 없다.

Claims (27)

  1. 반도체 기판의 도핑 방법으로서,
    상기 기판을 300℃ 내지 450℃의 공정 온도에서 기상 보론 전구체(vapor phase boron precursor)에 노출시킴에 의해 반응 공간 내에서 상기 반도체 기판 상에 보론 및 카본 막을 퇴적하는 단계; 및
    상기 보론 및 카본 막을 800℃ 내지 1200℃의 온도에서 어닐링하는 단계를 포함하고,
    상기 보론 전구체는 보론, 카본 및 수소를 포함하는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  2. 제1항에 있어서,
    상기 기상 보론 전구체는 상기 기판 상에서 분해되는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  3. 제1항에 있어서,
    상기 기상 보론 전구체는 트리에틸보론(triethylboron) 또는 트리메틸보론(trimethylboron)을 포함하는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  4. 제1항에 있어서,
    상기 기상 보론 전구체는 아르곤을 포함하는 캐리어 가스와 함께 상기 반응 공간으로 공급되는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  5. 제1항에 있어서,
    상기 보론 및 카본 막을 퇴적하는 단계 이전에, 상기 기판 상에 실리콘 산화물 막을 퇴적하는 단계를 더 포함하는 반도체 기판의 도핑 방법.
  6. 제1항에 있어서,
    상기 보론 및 카본 막은 상기 반도체 기판 상에 직접 퇴적되는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  7. 제1항에 있어서,
    상기 보론 및 카본 막을 퇴적하는 단계 이전에 상기 기판 상에 보론 도펀트 막을 퇴적하는 단계를 더 포함하고,
    상기 보론 도펀트 막은 상기 보론 및 카본 막과는 다르며,
    상기 보론 도펀트 막 및 상기 보론 및 카본 막은 순차적으로, 상기 보론 및 카본 막을 퇴적하는 단계와 상기 보론 도펀트 막을 퇴적하는 단계 사이에 주변 공기(ambient air)에 상기 기판을 노출함이 없이, 퇴적되는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  8. 제1항에 있어서,
    상기 기판을 상기 기상 보론 전구체에 노출시키는 단계 동안에, 상기 반응 공간 내에 0.5 Torr 내지 10 Torr의 압력을 유지하는 단계를 더 포함하는 반도체 기판의 도핑 방법.
  9. 제1항에 있어서,
    상기 보론 및 카본 막은 5 nm까지의 두께를 갖는 것을 특징으로 하는 반도체 기판의 도핑 방법.
  10. 화학 기상 퇴적 공정을 이용하여 반응 공간 내에서 기판 상에 보론 및 카본 막을 퇴적하는 단계로서, 300℃보다 높은 공정 온도에서 비활성 가스 분위기 내에서 상기 기판을 기상 보론 전구체에 노출시키는 단계, 및 상기 기판 상의 3차원 구조물을 상기 기상 보론 전구체에 노출시키는 단계 이후에 상기 반응 공간을 퍼지하는 단계를 포함하는, 상기 퇴적하는 단계; 및
    질소 분위기에서 상기 보론 및 카본 막을 어닐링하는 단계로서, 어닐링 이전에 상기 보론 및 카본 막 상에 캡층이 형성되지 않는 상기 어닐링하는 단계를 포함하고,
    상기 어닐링하는 단계는 800℃ 내지 1200℃의 온도에서 수행되는 것을 특징으로 하는 기판의 도핑 방법.
  11. 제10항에 있어서,
    상기 퇴적하는 단계는 상기 기판 상의 상기 3차원 구조물 상에 상기 보론 및 카본 막을 퇴적하는 단계를 포함하는 것을 특징으로 하는 기판의 도핑 방법.
  12. 제11항에 있어서,
    상기 3차원 구조물은 8:1보다 큰 종횡비를 가지며, 상기 보론 및 카본 막은 80%보다 큰 스텝 커버리지(step coverage)를 갖는 것을 특징으로 하는 기판의 도핑 방법.
  13. 제10항에 있어서,
    상기 보론 및 카본 막은 5 nm까지의 두께를 갖는 것을 특징으로 하는 기판의 도핑 방법.
  14. 제10항에 있어서,
    상기 보론 및 카본 막을 퇴적하는 단계 이전에, 상기 기판 상에 실리콘 산화물 막을 퇴적하는 단계를 더 포함하는 기판의 도핑 방법.
  15. 제10항에 있어서,
    아르곤을 포함하는 캐리어 가스와 함께 상기 기상 보론 전구체가 상기 반응 공간으로 공급되는 것을 특징으로 하는 기판의 도핑 방법.
  16. 삭제
  17. 반응 공간 내에서 기판 상에 보론 및 카본 함유 막을 퇴적하는 방법으로서,
    상기 기판 상에 상기 보론 및 카본 함유 막을 형성하도록, 퍼지 단계에 의해 분리되는 적어도 2개의 퇴적 사이클들 내에서 250℃ 내지 400℃ 까지의 공정 온도에서 상기 기판을 기상 보론 전구체와 접촉시키는 단계를 포함하는 사이클 공정(cyclic process);을 포함하며,
    상기 기상 보론 전구체는 상기 기판 상에서 분해되고,
    상기 막은 30 옹스트롬(Å)보다 작은 두께를 갖는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  18. 제17항에 있어서,
    상기 보론 및 카본 함유 막은 15 옹스트롬(Å)보다 작은 두께를 갖는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  19. 제18항에 있어서,
    상기 보론 및 카본 함유 막은 5 옹스트롬(Å)보다 작은 두께를 갖는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  20. 제17항에 있어서,
    상기 보론 및 카본 함유 막은 희석 플로오르화 수소산 용액에 실질적으로 저항성이 있는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  21. 제17항에 있어서,
    뱃치 반응기(batch reactor) 내에서 상기 보론 및 카본 함유 막을 퇴적하는 단계를 더 포함하는 보론 및 카본 함유 막의 퇴적 방법.
  22. 제17항에 있어서,
    상기 반응 공간 내에서 상기 보론 및 카본 함유 막 상에, 실리콘 산화물, 알루미늄 질화물, 알루미늄 산화물 및 실리콘 질화물 중 적어도 하나를 형성하는 단계를 더 포함하는 보론 및 카본 함유 막의 퇴적 방법.
  23. 제17항에 있어서,
    실리콘 산화물, 알루미늄 질화물, 알루미늄 산화물 및 실리콘 질화물 중 적어도 하나의 상부에 상기 보론 및 카본 함유 막을 퇴적하는 단계를 더 포함하는 보론 및 카본 함유 막의 퇴적 방법.
  24. 제23항에 있어서,
    상기 반응 공간 내에서 실리콘 산화물, 알루미늄 질화물, 알루미늄 산화물 및 실리콘 질화물 중 적어도 하나를 형성하는 단계를 더 포함하는 보론 및 카본 함유 막의 퇴적 방법.
  25. 제17항에 있어서,
    상기 사이클 공정은 100회보다 적은 퇴적 사이클들을 포함하는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  26. 제17항에 있어서,
    상기 보론 및 카본 함유 막은 5%보다 작은 1-시그마 불균일성(1-sigma non-uniformity)을 갖는 것을 특징으로 하는 보론 및 카본 함유 막의 퇴적 방법.
  27. 삭제
KR1020160045173A 2015-04-14 2016-04-12 보론 및 카본 함유 물질들의 퇴적 KR102291056B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/686,595 2015-04-14
US14/686,595 US9576790B2 (en) 2013-10-16 2015-04-14 Deposition of boron and carbon containing materials

Publications (2)

Publication Number Publication Date
KR20160122659A KR20160122659A (ko) 2016-10-24
KR102291056B1 true KR102291056B1 (ko) 2021-08-19

Family

ID=57256997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160045173A KR102291056B1 (ko) 2015-04-14 2016-04-12 보론 및 카본 함유 물질들의 퇴적

Country Status (2)

Country Link
KR (1) KR102291056B1 (ko)
TW (1) TWI673761B (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4822582B2 (ja) * 2000-12-22 2011-11-24 Sumco Techxiv株式会社 ボロンドープされたシリコンウエハの熱処理方法
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma

Also Published As

Publication number Publication date
KR20160122659A (ko) 2016-10-24
TW201637073A (zh) 2016-10-16
TWI673761B (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
KR102135799B1 (ko) 보론 및 카본 함유 물질들의 퇴적
US9576790B2 (en) Deposition of boron and carbon containing materials
US10818489B2 (en) Atomic layer deposition of silicon carbon nitride based material
CN106992114B (zh) 含硅薄膜的高温原子层沉积
US10424477B2 (en) Si precursors for deposition of SiN at low temperatures
TW202208663A (zh) 含矽及氮膜的製造方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
KR20210055101A (ko) 실리콘-함유 필름의 고온 원자 층 증착
KR102291056B1 (ko) 보론 및 카본 함유 물질들의 퇴적
US11056353B2 (en) Method and structure for wet etch utilizing etch protection layer comprising boron and carbon

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant