KR102230560B1 - 다이아몬드 증착 방법 - Google Patents

다이아몬드 증착 방법 Download PDF

Info

Publication number
KR102230560B1
KR102230560B1 KR1020157025573A KR20157025573A KR102230560B1 KR 102230560 B1 KR102230560 B1 KR 102230560B1 KR 1020157025573 A KR1020157025573 A KR 1020157025573A KR 20157025573 A KR20157025573 A KR 20157025573A KR 102230560 B1 KR102230560 B1 KR 102230560B1
Authority
KR
South Korea
Prior art keywords
substrate
diamond
reactor
silicon
derivatives
Prior art date
Application number
KR1020157025573A
Other languages
English (en)
Other versions
KR20150133720A (ko
Inventor
다비드 라츠
크리스토프 프로벵
Original Assignee
네오코트 에스에이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 네오코트 에스에이 filed Critical 네오코트 에스에이
Publication of KR20150133720A publication Critical patent/KR20150133720A/ko
Application granted granted Critical
Publication of KR102230560B1 publication Critical patent/KR102230560B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/274Diamond only using microwave discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/00373Selective deposition, e.g. printing or microcontact printing
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/25Diamond
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/25Diamond
    • C01B32/26Preparation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/276Diamond only using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/279Diamond only control of diamond crystallography
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32201Generating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32293Microwave generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Geology (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 발명은 진공원에 연결된 반응 챔버를 포함하는 진공 반응기(3); 반응 챔버에 적어도 2-차원인 행렬을 따라 배열된 복수의 플라즈마 공급원; 및 반응기에 배열된 기판 홀더(5)를 포함하는 다이아몬드 증착 설비를 사용하여 나노결정질 다이아몬드를 부착하는 방법에 관한 것으로서, 상기 방법은 부착이 100 내지 500℃의 온도에서 수행되는 것을 특징으로 한다.

Description

다이아몬드 증착 방법{METHOD FOR A DIAMOND VAPOR DEPOSITION}
본 발명은 기판에 다이아몬드를 부착하는 분야에 관한 것이며, 특히 나노결정질 다이아몬드의 증착에 관한 것이다. "나노결정질 다이아몬드"는 1 내지 50nm, 전형적으로 약 10nm의 입자 크기를 가진 다결정질 다이아몬드를 말하며, 이것으로써 100nm 미만, 바람직하게 20nm 미만의 평균 조도를 얻는 것이 가능하다.
다이아몬드는 상당한 경도, 높은 영률 또는 높은 열전도성과 같은 뛰어난 특성을 가진 재료이다. 적어도 하나의 탄소 전구체와 수소를 함유하는 기체 혼합물을 열적으로 또는 플라즈마에 의해서 활성화하는 화학증착(CVD)에 의해서 박막으로 그것을 합성하는 것이 가능하다. 기체상의 활성화로써 원자 수소 또는 메틸 라디칼과 같은 라디칼 종을 충분한 농도로 생성하는 것이 가능하고, 이로써 양질의 결정을 가진 다이아몬드 층의 쾌속 성장이 보장된다.
일반적으로 사용되는 기술에 의해서 10 마이크론 미만의 두께에 대해 1 마이크론 정도의 입자 크기를 가진 다결정질 다이아몬드를 얻는 것이 가능하다. 이런 큰 입자 크기는 100nm를 초과하는 평균 표면 조도를 수반하고, 이로써 전자 구성요소나 마이크로시스템(MEMS)에서 마찰 또는 집적 용도에 사용하는 것이 불가능해진다.
이것은 예를 들어 핫 필라멘트에 의한 반응 가스의 열 활성화를 사용한 경우이다(HFCVD, 핫 필라멘트 화학증착). 이 기술은 넓은 표면(>0.5㎡)에 걸친 부착물을 허용하지만, 그것의 입자 크기는 1 마이크론 정도로서 만족스럽지 않다. 더 나아가, 부착 온도가 400℃를 훨씬 초과하며, 일반적으로 750℃ 이상이다. 따라서, 부착 후 기판이 냉각될 때 다이아몬드와의 차이나는 계수에 따라서 열 변형을 겪게 된다. 차등적 변형은 다이아몬드 층이나 다이아몬드 층과의 계면에 응력이나 결함을 빈번히 수반한다.
매끄러운 부착물을 얻기 위하여 폴리싱과 같은 후처리가 가능하지만, 이것은 비용이 많이 들고, 복잡한 기하구조를 가진 부품, 즉 기준 평면에 대해 융기/함몰부를 가진 부품이나 3-차원 부품에는 아주 부적합하다. 복잡한 부품은 다이아몬드 부착물이 비-평면 표면의 층을 생성하는 부품이다(부착물의 조도는 여기서 중요하지 않다). 다시 말해서, 다이아몬드 층, 즉 자신의 두께를 감안하지 않은 층의 표면이 3-차원이다.
다른 해결책은 부착 동안 연속 핵화를 촉진함으로써 나노결정질 다이아몬드 층을 부착하는 것이다. 얻어진 다이아몬드는 수십 나노미터 정도의 입자 크기와 20 나노미터 미만의 평균 조도를 가질 수 있다. 이 목적을 위해, 종래의 플라즈마 마이크로파 기술은 양질의 나노결정질 다이아몬드의 부착을 허용하지만, 작은 표면(<0.05㎡)에서 400℃ 이상(일반적으로 >600℃)의 온도에서는 상기 언급된 것들과 유사한 열 응력이 야기된다.
상술한 기술은 모두 10mbar를 초과하는 작업 압력을 사용하며, 대류 현상이 발생하는데, 이것은 작은 공간에 라디칼 종들을 확산시키는데 매우 유리하지 않다.
산업 규모로 부품을 처리하는 것을 가능하게 하기 위해서는 0.1㎡을 초과하는 부착 표면이 제한된 처리 비용을 얻기 위해 요구된다.
더 나아가, 벌크로 구조화되거나 완전히 개방된 부품에 균일한 부착을 이루기 위해서 라디칼 종들이 작은 공간에서 낮은 재조합 확률로 수송될 수 있도록 하는 것이 중요하다(충분히 긴 수명이 필요).
마지막으로, 코팅될 재료의 고유 특성이나 그것의 기하구조적 관용성의 변경 없이 나노결정질 다이아몬드 층을 부착할 수 있도록 하기 위해서, 다이아몬드와 기판의 상이한 열 거동으로부터 생기는 열 변형 및 응력을 최소화하기 위해 저온에서 부착하는 것이 중요하다.
표면 파를 통해 마이크로파 에너지가 결합된 플라즈마 기술의 사용이 알려져 있는데, 이것은 부착 온도를 거의 100℃의 값까지 감소시키는 것을 가능하게 한다. 그러나, 공지된 장치는 복잡한 모양을 가진 표면에 규칙적인 다이아몬드 층의 용이한 적용을 허용하지 않는다.
본 발명은 이들 문제의 해결을 목표로 한다.
더 구체적으로, 본 발명은 청구항에 언급된, 다이아몬드 부착 방법 및 이 방법에 따라서 얻어진 부품에 관한 것이다.
본 발명의 다른 세부내용은 첨부한 도면을 참조하여 이후의 설명을 숙독함으로써 더 분명해질 것이다.
도 1은 본 발명에 따른 방법에서 유익하게 사용되는 다이아몬드 부착 장비를 예시하는 도면이다.
도 2, 3, 4 및 5는 본 발명에 따른 방법을 사용하여 다이아몬드로 유익하게 피복될 수 있는 기판의 예들을 제공한다.
도 6 및 7은 현재 기술에 의해서 얻어진 비-부합형 부착물과 본 발명에 의해서 얻어진 부합형 부착물을 각각 도시한다.
상기 언급된 대로, 나노결정질 다이아몬드의 부착은 다양한 기판에 플라즈마 화학증착을 적용함으로써 행해질 수 있으며, 기판은 이후 한정될 것이다. 그러나, 현재 기술의 장비들은 복잡한 모양을 가진 기판에 부착을 수행하는 것이 가능하지 않았다. 복잡한 모양의 기판은 융기/함몰부, 즉 기준 평면에 비해 함몰되거나 돌출한 부분을 가진 기판, 또는 3-차원 부품으로서 한정된다. 따라서, 이러한 부품 상의 다이아몬드 부착물은 비-평면 층, 즉 부착물의 표면에 법선인 벡터들이 전부 서로 평행하지 않은 층은 생성한다. 다시 말해서, 다이아몬드 층, 즉 자신의 두께를 감안하지 않은 층의 표면이 3-차원이다.
예를 들어, 도 6a 및 6b는 선행기술의 기술을 사용하여 얻어진 부착물을 예시한다. 도 6a에서 반응 기체 혼합물 도트로 기호화되어 도시되고, 이것은 기판의 표면으로 침투하려고 시도하지만, 균일하게 분포되도록 되지 않는데, 특히 구조의 하부에서 특별히 그것이 깊고 좁을 경우 그러하다. 도 6b에서 두꺼운 선은 다이아몬드 부착물을 나타낸다. 기판의 전체 표면에서, 특히 구조의 하부에서 균일하지 않은 것을 볼 수 있다.
본 발명에 따라서, 이런 종류의 기판 상의 이들 부착물은 도 1에 제안된 장비를 가진 점형 플라즈마 공급원의 행렬(MEPS, 행렬 단위 플라스마 공급원)을 사용하는 마이크로파 플라즈마 부착 기술을 적용함으로써 생성된다.
이 부착 시스템은 진공 반응기(3), 기판 홀더(5) 및 표면-파 플라즈마 공급원을 포함하며, 여기서 공축 어플리케이터(6)가 진공 반응기(3)의 벽에 3-차원 행렬로 배열된다. 평면 표면에 부착물을 생성하는 것이 목적이라면 어플리케이터는 2-차원 행렬로도 위치될 수 있음이 주지될 수 있다. 바람직하게, 공축 어플리케이터는 각각 반응 챔버에 위치된 그것의 단부에 반응 챔버에 위치된 활성 영역을 한정하는 석영 또는 알루미나 윈도우를 가진다. 이런 종류의 어플리케이터는 상업적으로 이용가능하며 상세히 설명될 필요는 없다.
바람직하게, 행렬은 80 내지 320의 제곱미터당 다수의 플라즈마 공급원을 가진 균일하며 질적인 부착물을 갖는 것을 가능하게 한다. 예로서, 0.1㎡의 플라즈마 표면적에 대해 약 8 내지 32 공급원을 갖는 것이 가능하며, 이것은 300 x300㎟의 기판 홀더에 해당한다. 플라즈마 표면적은 상이한 공급원에 의해서 제공된 플라즈마에 의해서 형성된 표면적인 것으로 정의된다. 이 표면적은 플라즈마의 모양과 코팅될 표면에 따라 자체 개조되는 그것의 곡률에 따라 변할 수 있다.
점형 플라즈마 공급원 행렬의 사용 덕분에 작업 압력을 1mbar 미만, 바람직하게 0.1 내지 1mbar의 값까지 저하시키는 것이 가능하다. 이러한 압력은 화학 종들의 확산 현상을 촉진하고, 따라서 기판의 융기/함몰부에, 그리고 필요하다면 그 구조의 측면 상에 다이아몬드의 특성의 변경 없이 매우 균질한 부착물을 갖는 것을 가능하게 한다.
또한, 100 내지 500℃의 온도에서 작동시키는 것이 가능하며, 이것은 기판, 특히 금속 기판의 열 변형을 제한할 수 있다. 따라서, 코팅된 기판의 냉각 동안에 기판과 다이아몬드 층의 변형 차이가 감소되며, 이것은 중간층에 의존할 필요 없이 재료들의 계면에서 기계적 응력을 제한한다.
점형 플라즈마 공급원의 행렬을 사용한 이 부착 기술의 다른 이점은 공축 애플리케이터의 수 또는 도파관이나 반응기의 구멍의 수에 물리적 한계가 없다는 것이며, 이로써 매우 넓은 유효 부착 표면을 얻는 것이 가능해진다.
도 7a는 반응 조건 덕분에 도트로 기호화된 반응 기체 혼합물이 기판의 구조에 침투하게 되어 특히 구조의 하부에 그것이 깊고 좁다 하더라도 균질하게 분포된 것을 도시한다. 도 7b에서 두꺼운 선은 다이아몬드 부착물을 나타낸다. 도 6b에서 관찰된 것과 달리 구조의 하부를 포함하는 기판의 전체 표면에서 부착물이 균일한 것을 볼 수 있다.
따라서, 이 설비를 사용함으로써 볼록한 표면을 가진 도 2에 제안된 것과 같은 복잡한 부품에 나노결정질 다이아몬드 부착을 수행하는 것이 가능하다. 당연히 오목한 표면의 부착도 가능하다. 이러한 부품은 다음의 재료들로부터 선택된 재료로 제조될 수 있다: 규소 및 규소계 화합물, 다이아몬드, 내화성 금속 및 유도체, 전이금속 및 유도체, 스테인리스 스틸, 티타늄계 합금, 초합금, 초경합금(cemented carbides), 폴리머, 세라믹, 유리, 산화물(용융 실리카, 알루미나), 주기율표의 칼럼 III-V 또는 II-VI의 반도체. 또한, 부착될 부품은 상술한 재료의 박막으로 코팅된 어떤 재료로 제조된 베이스를 포함할 수 있고, 이것은 다이아몬드 부착을 위한 기판을 한정한다. 또한, 이러한 부품은 비균질 표면을 형성하는 몇몇 재료들의 조립체로 구성될 수 있다(마이크로프로세서, MEMS, MOEMS, 센서 등).
특정 예에 따라서, 도 2에 예시된 기판은 등급 316L의 스틸로 제조되며, 반구 모양이고, 현재 기술의 방법으로만 산재되며, 어떤 추가의 전처리나 확산 장벽과 같은 중간층의 예비 부착은 없었다.
200nm 나노결정질 다이아몬드 층이 다음의 부착 조건에서 상기 설명된 설비를 사용하여 점형 플라즈마 공급원의 행렬로 보조된 화학증착 방법을 사용하여 부착되었다:
- 기판의 온도 = 300℃
- 작업 압력 = 0.5mbar
부착물의 색은 연분홍/녹색이다. 부착된 층의 두께(UV-VIS 반사계로 측정)와 부착물 품질(라만 분광계로 측정)의 검증은 균일성의 변동(식 = (최소-최대)/평균을 사용하여 계산)이 전체 부착된 표면에서 10% 미만임을 나타낸다.
또한, 도 3에 제안된 대로 구조화된 부품 상에 나노결정질 다이아몬드 부착을 수행하는 것이 가능하다. 상기 설명된 종류의 설비를 사용하여, 도 4에 도식적으로 도시된 대로, 두께와 품질의 측면에서 높은 균일성으로 활성 면 전체에 코팅을 생성하는 것이 가능하다.
두 번째 예에 따라서, 부착이 도 3에 제안된 대로 구조화된 부품 상에 수행되었다. 이러한 부품은 다음의 재료들로부터 선택된 재료로 제조될 수 있다: 규소 및 규소계 화합물, 다이아몬드, 내화성 금속 및 유도체, 전이금속 및 유도체, 스테인리스 스틸, 티타늄계 합금, 초합금, 초경합금, 폴리머, 세라믹, 유리, 산화물(용융 실리카, 알루미나), 주기율표의 칼럼 III-V 또는 II-VI의 반도체. 위와 같이, 부착될 부품은 상술한 재료의 박막으로 코팅된 어떤 재료로 제조된 베이스를 포함할 수 있고, 이것은 다이아몬드 부착을 위한 기판을 한정한다. 또한, 이러한 부품은 비균질 표면을 형성하는 몇몇 재료들의 조립체로 구성될 수 있다(마이크로프로세서, MEMS, MOEMS, 센서 등).
더욱 구체적으로, 직경 200mm, 두께(11) 1mm의 단결정 규소 웨이퍼 상에 변 1mm, 두께 0.1mm의 정사각형 구조(12)가 포토리소그래피 및 플라즈마 에칭 방법에 의해서 약 0.1mm의 두 연속 구조 사이에 공간(13)을 만듦으로써 제조되었다.
200nm 나노결정질 다이아몬드 층이 다음의 부착 조건에서 상기 설명된 설비에 의해서 점형 플라즈마 공급원의 행렬을 사용하는 화학증착 방법을 사용하여 부착되었다:
- 기판의 온도 = 300℃
- 작업 압력 = 0.5mbar
부착된 층의 두께(주사전자현미경으로 구획의 측정)와 부착물 품질(라만 분광계로 측정)의 검증은 균일성의 변동(식 = (최소-최대)/평균을 사용하여 계산)이 전체 부착된 표면에서 10% 미만임을 나타낸다.
도 5에 추가의 예가 도시되며, 여기서는 기판의 전체 길이에 걸쳐 또는 벌크로 구멍을 포함하는 구조화된 기판이 나노결정질 다이아몬드 층으로 피복된다. 더욱 구체적으로, 직경 100mm, 두께(7) 0.5mm의 단결정 규소 웨이퍼 상에 변이 10mm인 정사각형 구조(8)가 전형적으로 레이저 방법에 의해서 전형적으로 크기가 0.05 내지 0.5mm인 지지체와 구조 사이에 공간(9)을 만듦으로써 절단되었다. 각 구조는 실질적으로 폭이 0.1mm인 4개의 빔에 의해서 유지된다.
200nm 나노결정질 다이아몬드 층이 다음의 부착 조건에서 상기 설명된 설비에 의해서 점형 플라즈마 공급원의 행렬을 통한 화학증착 방법을 사용하여 부착되었다:
- 기판의 온도 = 300℃
- 작업 압력 = 0.5mbar
부착된 층의 두께(주사전자현미경으로 구획의 측정)와 부착물 품질(라만 분광계로 측정)의 검증은 균일성의 변동(식 = (최소-최대)/평균을 사용하여 계산)이 전체 부착된 표면에서 10% 미만임을 나타낸다.
또한, 부착이 티타늄계 합금인 Ti-4Al-6V로 제조된 디스크 모양 기판(직경 = 100mm, 두께 = 2mm) 상에 행해졌으며, 현재 기술의 방법으로만 산재되고, 어떤 추가의 전처리나 확산 장벽과 같은 중간층의 예비 부착은 없었다.
300nm 나노결정질 다이아몬드 층이 다음의 부착 조건에서 상기 설명된 설비를 적용함으로써 점형 플라즈마 공급원의 행렬을 사용하는 화학증착 방법을 사용하여 부착되었다:
- 기판의 온도 = 300℃
- 작업 압력 = 0.5mbar
부착물의 색은 연분홍이다. 부착된 층의 두께(UV-VIS 반사계로 측정)와 부착물 품질(라만 분광계로 측정)의 검증은 균일성의 변동(식 = (최소-최대)/평균을 사용하여 계산)이 전체 부착된 표면에서 10% 미만임을 나타낸다.
따라서, 상기 제안된 설비에 의한 점형 플라즈마 공급원의 행렬을 사용하는 본 발명에 따른 화학증착 방법을 적용한 직접 결과로서, 나노결정질 다이아몬드 층이 부착 지속기간에 따라 50nm 내지 수 마이크로미터, 전형적으로 10μm에 이르는 두께로 제조될 수 있다. 종래의 방법에 의해서 얻어진 다이아몬드 코팅된 부품과 비교하면, 본 발명에 따른 방법으로 얻어진 부품은 균일성의 변동(식 = (최소-최대)/평균을 사용하여 계산)이 전체 부착된 표면에서 10% 미만이라는 사실과 또한 입자 크기가 1 내지 50nm, 전형적으로 약 10nm라는 사실에 의해서 인정될 수 있으며, 이것은 100nm 미만, 바람직하게 20nm 미만의 평균 조도를 얻는 것을 가능하게 한다. 이러한 부착은 0.1㎡을 초과하는 표면적에 수행될 수 있다.

Claims (11)

  1. - 진공원에 연결된 반응 챔버를 포함하는 진공 반응기(3);
    - 반응 챔버에 적어도 2-차원 행렬을 따라 위치된 복수의 점형 플라즈마 공급원으로서, 각각의 상기 점형 플라즈마 공급원은 반응기(3)의 작동 중에 진공 반응기(3)에 마이크로파를 제공하도록 구성된 공축 어플리케이터(6)를 포함하고, 각각의 공축 어플리케이터(6)는 반응기(3)의 작동 중에 점형 플라즈마를 제공하기 위한 플라즈마 표면적을 상기 반응 챔버 내에 한정하는 윈도우를 포함하는, 복수의 점형 플라즈마 공급원;
    - 반응기에 위치된 기판-홀더(5)
    를 포함하는 화학 기상 다이아몬드 증착 장비로 실시하는 나노결정질 다이아몬드 증착 방법으로서,
    상기 방법은 증착이 100 내지 500℃의 온도 및 0.1 내지 1mbar의 압력에서 수행되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  2. 제 1 항에 있어서, 3-차원 표면을 가진 기판에 달성되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  3. 제 2 항에 있어서, 기판이 기준 평면을 한정하는 표면에서 함몰되거나 돌출한 융기/함몰 요소를 갖는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  4. 제 2 항에 있어서, 기판이 오목한 표면이나 볼록한 표면을 갖는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  5. 제 3 항에 있어서, 기판이 다음의 재료들로부터 선택되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법: 규소 및 규소계 화합물, 다이아몬드, 내화성 금속 및 유도체, 전이금속 및 유도체, 스테인리스 스틸, 티타늄계 합금, 초합금, 초경합금, 폴리머, 세라믹, 유리, 용융 실리카의 산화물, 알루미나 타입, 주기율표의 칼럼 III-V 또는 II-VI의 반도체.
  6. 제 4 항에 있어서, 기판이 다음의 재료들로부터 선택되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법: 규소 및 규소계 화합물, 다이아몬드, 내화성 금속 및 유도체, 전이금속 및 유도체, 스테인리스 스틸, 티타늄계 합금, 초합금, 초경합금, 폴리머, 세라믹, 유리, 용융 실리카의 산화물, 알루미나 타입, 주기율표의 칼럼 III-V 또는 II-VI의 반도체.
  7. 제 5 항에 있어서, 기판이 기판과 상이한 재료로 제조된 베이스로 코팅되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  8. 제 6 항에 있어서, 기판이 기판과 상이한 재료로 제조된 베이스로 코팅되는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  9. 제 5 항에 있어서, 기판이 몇 가지 재료로 형성된 비균질 표면을 갖는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  10. 제 6 항에 있어서, 기판이 몇 가지 재료로 형성된 비균질 표면을 갖는 것을 특징으로 하는 나노결정질 다이아몬드 증착 방법.
  11. 50nm 내지 10μm의 값인 두께를 가지며, 균일성의 변동(식 = (최소-최대)/평균 을 사용하여 계산)이 전체 증착된 표면에서 10% 미만이고, 나노결정질 다이아몬드 증착물이 1 내지 50nm, 또는 전형적으로 10nm의 입자 크기를 갖는 나노결정질 다이아몬드 층을 포함하며, 이로써 100nm 미만, 또는 20nm 미만의 평균 조도를 얻는 것이 가능한 것을 특징으로 하는, 제 1 항 내지 제 10 항 중 어느 한 항에 따른 방법을 사용하여 얻어진 부품.
KR1020157025573A 2013-03-28 2014-02-25 다이아몬드 증착 방법 KR102230560B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP13161778.9 2013-03-28
EP13161778.9A EP2784175A1 (fr) 2013-03-28 2013-03-28 Equipement de dépôt de diamant en phase vapeur
PCT/EP2014/053658 WO2014154424A2 (fr) 2013-03-28 2014-02-25 Procédé de dépôt de diamant en phase vapeur

Publications (2)

Publication Number Publication Date
KR20150133720A KR20150133720A (ko) 2015-11-30
KR102230560B1 true KR102230560B1 (ko) 2021-03-23

Family

ID=48142620

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157025573A KR102230560B1 (ko) 2013-03-28 2014-02-25 다이아몬드 증착 방법

Country Status (5)

Country Link
US (1) US20160032450A1 (ko)
EP (2) EP2784175A1 (ko)
JP (1) JP6461091B2 (ko)
KR (1) KR102230560B1 (ko)
WO (1) WO2014154424A2 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111334778B (zh) * 2018-12-18 2024-02-09 深圳先进技术研究院 钛合金复合材料及其制备方法、外科植入物和医疗器械
WO2021069620A1 (en) * 2019-10-11 2021-04-15 Neocoat Sa Cvd reactor for manufacturing synthetic films and methods of fabrication
CN114921766B (zh) * 2022-05-26 2023-10-13 太原理工大学 一种金刚石/金属复合散热片及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20100084634A1 (en) * 2004-05-27 2010-04-08 Toppan Printing Co., Ltd. Nano-crystal diamond film, manufacturing method thereof, and device using nano-crystal diamond film

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19631407B4 (de) * 1996-08-05 2006-05-04 Unaxis Deutschland Holding Gmbh Vorrichtung zur plasmachemischen Abscheidung von polykristallinem Diamant
DE19841777C1 (de) * 1998-09-12 2000-01-05 Fraunhofer Ges Forschung Vorrichtung zur plasmatechnischen Abscheidung von polykristallinem Diamant
FR2797372B1 (fr) * 1999-08-04 2002-10-25 Metal Process Procede de production de plasmas elementaires en vue de creer un plasma uniforme pour une surface d'utilisation et dispositif de production d'un tel plasma
US6681716B2 (en) * 2001-11-27 2004-01-27 General Electric Company Apparatus and method for depositing large area coatings on non-planar surfaces
FR2840451B1 (fr) * 2002-06-04 2004-08-13 Centre Nat Rech Scient Dispositif de production d'une nappe de plasma
WO2004076716A1 (en) * 2003-02-20 2004-09-10 General Electric Company Apparatus and method for depositing large area coatings on planar surfaces
FR2904178B1 (fr) * 2006-07-21 2008-11-07 Centre Nat Rech Scient Dispositif et procede de production et/ou de confinement d'un plasma
US9487858B2 (en) * 2008-03-13 2016-11-08 Board Of Trustees Of Michigan State University Process and apparatus for diamond synthesis
US8496992B2 (en) * 2010-12-10 2013-07-30 Southwest Research Institute Methods of forming nanocomposites containing nanodiamond particles by vapor deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100084634A1 (en) * 2004-05-27 2010-04-08 Toppan Printing Co., Ltd. Nano-crystal diamond film, manufacturing method thereof, and device using nano-crystal diamond film
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping

Also Published As

Publication number Publication date
EP2978871A2 (fr) 2016-02-03
WO2014154424A3 (fr) 2014-11-13
WO2014154424A2 (fr) 2014-10-02
JP2016520713A (ja) 2016-07-14
EP2784175A1 (fr) 2014-10-01
EP2978871B1 (fr) 2017-04-05
US20160032450A1 (en) 2016-02-04
JP6461091B2 (ja) 2019-01-30
KR20150133720A (ko) 2015-11-30

Similar Documents

Publication Publication Date Title
Handschuh‐Wang et al. Ultrathin diamond nanofilms—development, challenges, and applications
TWI638900B (zh) 包括鑽石層及鑽石、碳化矽與可選的矽之複合層之基板
Mehedi et al. Low temperature and large area deposition of nanocrystalline diamond films with distributed antenna array microwave-plasma reactor
JP7050337B2 (ja) WC-Co切削工具における高密着性ボロンドープ傾斜ダイヤモンド層
Carlisle et al. Ultrananocrystalline diamond properties and applications in biomedical devices
US10177014B2 (en) Thermal radiation barrier for substrate processing chamber components
JP5026794B2 (ja) 化学蒸着によって形成される自立型炭化ケイ素製品及びそれらを製造するための方法
US20050031785A1 (en) Method to grow pure nanocrystalline diamond films at low temperatures and high deposition rates
KR102230560B1 (ko) 다이아몬드 증착 방법
Buijnsters et al. Metallic seed nanolayers for enhanced nucleation of nanocrystalline diamond thin films
Baudrillart et al. Low‐temperature deposition of nanocrystalline diamond films on silicon nitride substrates using distributed antenna array PECVD system
WO2015069602A1 (en) Smart device fabrication via precision patterning
Buijnsters et al. Growth dynamics of nanocrystalline diamond thin films deposited by hot filament chemical vapor deposition: influence of low sticking and renucleation processes
King et al. Scaling the microwave plasma-assisted chemical vapor diamond deposition process to 150–200 mm substrates
GB2427878A (en) Method for manufacturing diamond film
JP3448884B2 (ja) 人工ダイヤモンド被覆材
JPH01230496A (ja) 新規なダイヤモンド状炭素膜及びその製造方法
JP2008001562A (ja) イットリウム系セラミックス被覆材およびその製造方法
Wang et al. Seed-free growth of diamond patterns on silicon predefined by femtosecond laser direct writing
Wiora et al. Synthesis of nanodiamond
Liu et al. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer
Izak et al. Low temperature diamond growth
Wu et al. Hot filament chemical vapor deposition temperature field optimization for diamond films deposited on silicon nitride substrates
US20150159268A1 (en) Method of deposition of highly scratch-resistant diamond films onto glass substrates by use of a plasma-enhanced chemical vapor deposition
KR20140009075A (ko) 기상 증착용 반응기

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant