KR102096458B1 - Structure with embedded memory device and contact isolation scheme - Google Patents

Structure with embedded memory device and contact isolation scheme Download PDF

Info

Publication number
KR102096458B1
KR102096458B1 KR1020180047214A KR20180047214A KR102096458B1 KR 102096458 B1 KR102096458 B1 KR 102096458B1 KR 1020180047214 A KR1020180047214 A KR 1020180047214A KR 20180047214 A KR20180047214 A KR 20180047214A KR 102096458 B1 KR102096458 B1 KR 102096458B1
Authority
KR
South Korea
Prior art keywords
layer
contact hole
source
forming
dielectric material
Prior art date
Application number
KR1020180047214A
Other languages
Korean (ko)
Other versions
KR20190064378A (en
Inventor
판-슈엔 멩
후앙-쿠이 첸
민-얀 시에
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190064378A publication Critical patent/KR20190064378A/en
Application granted granted Critical
Publication of KR102096458B1 publication Critical patent/KR102096458B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0738Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with resistors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0733Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/312DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with a bit line higher than the capacitor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 개시는 일부 실시형태에 따라 집적회로를 제조하는 방법을 제공한다. 방법은, 반도체 기판의 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 성막하는 단계; 각각 소스 및 드레인과 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 ILD 층을 패터닝하는 단계; 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 각각 제1 콘택트 홀 및 제2 콘택트 홀 내에 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.The present disclosure provides a method of manufacturing an integrated circuit in accordance with some embodiments. The method includes forming a source and a drain on a fin active region of a semiconductor substrate; Depositing an interlayer dielectric (ILD) layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

Description

내장형 메모리 디바이스를 가진 구조 및 접촉 격리 스킴{STRUCTURE WITH EMBEDDED MEMORY DEVICE AND CONTACT ISOLATION SCHEME}STRUCTURE WITH EMBEDDED MEMORY DEVICE AND CONTACT ISOLATION SCHEME

본 출원은 여기에 전체가 참조로 포함된 미국 가출원 62/592,810(출원일: 2017년 11월 30일)에 대한 우선권을 주장한다.This application claims priority to U.S. Provisional Application 62 / 592,810 (filed on November 30, 2017), incorporated herein by reference in its entirety.

집적회로에서, 포토리소그래피 패터닝, 에칭, 성막, 및 주입을 포함하는 다수의 제조 기술을 사용하여 기판 상에 집적회로 패턴이 형성될 수 있다. 따라서, 형성된 집적회로는 함께 집적된 전계 효과 트랜지스터, 다이오드, 바이폴라 트랜지스터, 이미징 센서, 발광 다이오드, 메모리 셀, 저항기, 및 커패시터 등의 다수의 디바이스를 포함한다. 메모리 디바이스는, 전계 효과 트랜지스터와 같은 다른 디바이스와 연결된 커패시터 또는 저항기와 같은 수동 디바이스를 포함할 수 있다. 기존 기술에서, 저항기와 같은 수동 디바이스는 에칭을 포함하는 다수의 반도체 기술을 통해 형성된다. 이러한 기술은 수동 디바이스의 치수를 제한적이고 부정확하게 제어하며, 이는 디바이스 치수 및 디바이스 성능의 큰 변동을 야기한다. 경우에 따라, 디바이스 파라미터가 사양을 벗어나서 회로에 오류가 발생할 수 있다. 또한, 기존의 방법들은 큰 프로세싱 변동 및 작은 피쳐 사이즈로 인해 첨단 기술 노드에서 구현되기 어렵다. 특히, 반도체 기술이 7 nm 이하와 같은 더 작은 피처 사이즈의 첨단 기술 노드로 나아갈 때, 미스얼라인먼트(misalignment)는보다 적은 허용 오차를 가지며, 누설, 단락, 개방 또는 기타 오류 결함 또는 신뢰성 문제를 초래할 수 있다. 따라서, 본 발명은 상기 문제를 해결하기 위한 구조체 및 방법을 제공한다.In an integrated circuit, an integrated circuit pattern can be formed on a substrate using a number of manufacturing techniques including photolithography patterning, etching, film formation, and implantation. Thus, the integrated circuit formed includes a number of devices such as field effect transistors, diodes, bipolar transistors, imaging sensors, light emitting diodes, memory cells, resistors, and capacitors integrated together. The memory device can include a passive device, such as a capacitor or resistor, connected to another device, such as a field effect transistor. In existing technology, passive devices such as resistors are formed through a number of semiconductor technologies, including etching. This technique limits and inaccurately controls the dimensions of passive devices, which results in large variations in device dimensions and device performance. In some cases, the device parameters may be out of specification, causing errors in the circuit. In addition, existing methods are difficult to implement in high-tech nodes due to large processing variations and small feature sizes. In particular, when semiconductor technology advances to advanced feature nodes of smaller feature sizes, such as 7 nm or less, misalignment has less tolerance and can lead to leakage, short circuit, open or other error defects or reliability problems. have. Accordingly, the present invention provides structures and methods for solving the above problems.

본 개시는 일부 실시형태에 따라 집적회로를 제조하는 방법을 제공한다. 방법은, 반도체 기판의 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 성막하는 단계; 각각 소스 및 드레인과 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 ILD 층을 패터닝하는 단계; 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 각각 제1 콘택트 홀 및 제2 콘택트 홀 내에 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.The present disclosure provides a method of manufacturing an integrated circuit in accordance with some embodiments. The method includes forming a source and a drain on a fin active region of a semiconductor substrate; Depositing an interlayer dielectric (ILD) layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

본 발명의 양상은 첨부 도면을 참조하여 이하의 상세한 설명으로부터 가장 잘 이해된다. 이 산업에서의 표준 관행(standard practice)에 따라 다양한 피처(feature)는 비례적으로 도시되어 있지 않다는 것을 강조한다. 실제로, 다양한 피쳐들의 치수는 논의의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1a는 일 실시형태에서 본 개시의 다양한 양상에 따라 구성되는 반도체 디바이스 구조체의 상면도이다.
도 1b 및 도 1c는, 일부 실시형태에 따른, 도 1a의 반도체 구조체의 각각 점선 AA' 및 BB'를 따른 단면도이다.
도 1d는 일부 실시형태에 따라 구성되는 도 1b에서의 반도체 디바이스 구조체의 게이트 스택의 단면도이다.
도 2a는 일부 실시형태들에 따른 집적회로(IC: integrated circuit) 구조체를 형성하는 방법의 플로우차트이다.
도 2b는 일부 실시형태에 따른 도 2a의 방법에서의 동작의 플로우차트이다.
도 3a 및 도 3b는 다수의 실시형태에 따른 도 2a의 방법에 의해 만들어지는 제조 스테이지에서의 예시적 집적회로 구조체의 단면도를 도시한다.
도 4, 도 5, 도 6, 도 7, 및 도 8은 다수의 실시형태에 따라 구성되는 도 2a의 방법에 의해 만들어지는 다수의 제조 스테이지 중의 예시적 집적회로 구조체의 단면도를 도시한다.
도 9a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 디바이스 구조체의 상면도이다.
도 9b 및 도 9c는, 일부 실시형태에 따른, 도 9a의 반도체 구조체의 각각 점선 AA' 및 BB'를 따른 단면도이다.
도 10a 및 도 10b는 일부 실시형태에 따른 도 2a의 방법에서의 각각의 동작의 플로우차트이다.
도 11, 도 12, 도 13, 및 도 14는 일부 실시형태에 따라 구성되는 다수의 제조 스테이지 중의 예시적 집적회로 구조체의 단면도를 도시한다.
도 15a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 디바이스 구조체의 상면도이다.
도 15b 및 도 15c는, 일부 실시형태에 따른, 도 15a의 반도체 구조체의 각각 점선 AA' 및 BB'를 따른 단면도이다.
도 16a, 도 16b, 및 도 16c는 다수의 실시형태에 따른 도 2a의 방법에서의 동작의 플로우차트이다.
도 17, 도 18, 도 19, 도 20, 및 도 21은 일부 실시형태에 따라 구성되는 다수의 제조 스테이지 중의 예시적 집적회로 구조체의 단면도를 도시한다.
도 22a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 디바이스 구조체의 상면도이다.
도 22b 및 도 22c는, 일부 실시형태에 따른, 도 22a의 반도체 구조체의 각각 점선 AA' 및 BB'를 따른 단면도이다.
도 23은 일부 실시형태에 따른 도 2a의 방법에서의 동작의 플로우차트이다.
도 24, 도 25, 도 26, 도 27, 도 28, 및 도 29는 일부 실시형태에 따라 구성되는 다수의 제조 스테이지 중의 예시적 집적회로 구조체의 단면도를 도시한다.
도 30a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 디바이스 구조체의 상면도이다.
도 30b 및 도 30c는, 일부 실시형태에 따른, 도 30a의 반도체 구조체의 각각 점선 AA' 및 BB'를 따른 단면도이다.
도 31a는 일부 실시형태에 따른 도 2a의 방법에서의 동작의 플로우차트이다.
도 32, 도 33, 도 34, 도 35, 도 36, 도 37, 및 도 38은 일부 실시형태에 따라 구성되는 다수의 제조 스테이지 중의 예시적 집적회로 구조체의 단면도를 도시한다.
Aspects of the invention are best understood from the following detailed description with reference to the accompanying drawings. It is emphasized that, according to standard practice in this industry, various features are not shown proportionally. Indeed, the dimensions of the various features can be arbitrarily increased or decreased for clarity of discussion.
1A is a top view of a semiconductor device structure constructed in accordance with various aspects of the present disclosure in one embodiment.
1B and 1C are cross-sectional views along dashed lines AA 'and BB', respectively, of the semiconductor structure of FIG. 1A, in accordance with some embodiments.
1D is a cross-sectional view of the gate stack of the semiconductor device structure in FIG. 1B constructed in accordance with some embodiments.
2A is a flowchart of a method of forming an integrated circuit (IC) structure in accordance with some embodiments.
2B is a flowchart of the operation in the method of FIG. 2A in accordance with some embodiments.
3A and 3B show cross-sectional views of exemplary integrated circuit structures at a manufacturing stage made by the method of FIG. 2A in accordance with multiple embodiments.
4, 5, 6, 7, and 8 illustrate cross-sectional views of exemplary integrated circuit structures among multiple manufacturing stages made by the method of FIG. 2A constructed in accordance with multiple embodiments.
9A is a top view of a semiconductor device structure constructed in accordance with various aspects of the present disclosure in one embodiment.
9B and 9C are cross-sectional views along dashed lines AA 'and BB', respectively, of the semiconductor structure of FIG. 9A, in accordance with some embodiments.
10A and 10B are flowcharts of each operation in the method of FIG. 2A in accordance with some embodiments.
11, 12, 13, and 14 show cross-sectional views of exemplary integrated circuit structures among multiple manufacturing stages constructed in accordance with some embodiments.
15A is a top view of a semiconductor device structure constructed in accordance with various aspects of the present disclosure in one embodiment.
15B and 15C are cross-sectional views along dashed lines AA 'and BB', respectively, of the semiconductor structure of FIG. 15A, in accordance with some embodiments.
16A, 16B, and 16C are flowcharts of operations in the method of FIG. 2A in accordance with multiple embodiments.
17, 18, 19, 20, and 21 show cross-sectional views of exemplary integrated circuit structures among multiple manufacturing stages constructed in accordance with some embodiments.
22A is a top view of a semiconductor device structure constructed in accordance with various aspects of the present disclosure in one embodiment.
22B and 22C are cross-sectional views along dashed lines AA 'and BB', respectively, of the semiconductor structure of FIG. 22A, in accordance with some embodiments.
23 is a flowchart of operation in the method of FIG. 2A in accordance with some embodiments.
24, 25, 26, 27, 28, and 29 illustrate cross-sectional views of exemplary integrated circuit structures among multiple manufacturing stages constructed in accordance with some embodiments.
30A is a top view of a semiconductor device structure constructed in accordance with various aspects of the present disclosure in one embodiment.
30B and 30C are cross-sectional views along dashed lines AA 'and BB', respectively, of the semiconductor structure of FIG. 30A, in accordance with some embodiments.
31A is a flowchart of operation in the method of FIG. 2A in accordance with some embodiments.
32, 33, 34, 35, 36, 37, and 38 illustrate cross-sectional views of exemplary integrated circuit structures among multiple manufacturing stages constructed in accordance with some embodiments.

이하의 설명은 본 발명의 상이한 피쳐(feature)를 구현하기 위한 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 발명을 간략화하기 위해 콤포넌트 및 어레인지먼트의 특정 실시예가 이하 개시된다. 물론, 이것은 단지 예시이며, 한정을 의도하지 않는다. 예컨대, 이어지는 설명에 있어서 제2 피쳐 상에서 또는 그 위에서의 제1 피쳐의 형성은, 제1 및 제2 피쳐가 형성되어 직접 접촉하는 실시형태를 포함할 수 있고, 제1 및 제2 피쳐가 직접 접촉하지 않도록 제1 및 제2 피쳐 사이에 추가 피쳐가 형성될 수 있는 실시형태를 포함할 수도 있다. 또한, 본 발명은 다양한 실시예에서 도면부호 및/또는 문자가 반복될 수 있다. 이러한 반복은 간략함 및 명확함을 위한 것이고, 그 자체가 다양한 실시형태 및/또는 논의되는 구성 사이의 관계를 나타내는 것은 아니다. 이하의 설명은 다양한 실시형태의 상이한 피쳐를 구현하기 위한 다수의 상이한 실시형태 또는 실시예를 제공하는 것으로 이해될 것이다. 본 발명을 간략화하기 위해 콤포넌트 및 어레인지먼트의 특정 실시예가 이하 개시된다. 물론, 이것은 단지 예시이며, 한정을 의도하지 않는다.The following description provides a number of different embodiments or embodiments for implementing different features of the present invention. Specific embodiments of components and arrangements are described below to simplify the present invention. Of course, this is only an example and is not intended to be limiting. For example, in the following description, the formation of the first feature on or on the second feature may include embodiments in which the first and second features are formed and in direct contact, with the first and second features in direct contact. It may also include embodiments in which additional features may be formed between the first and second features so as not to. In addition, the present invention may be repeated in reference numerals and / or characters in various embodiments. This repetition is for simplicity and clarity, and does not itself represent a relationship between the various embodiments and / or configurations discussed. It will be understood that the following description provides a number of different embodiments or embodiments for implementing different features of various embodiments. Specific embodiments of components and arrangements are described below to simplify the present invention. Of course, this is only an example and is not intended to be limiting.

또한, 여기서 "아래", "밑에", "낮은", "높은", "상부의" 등의 공간 관련 용어는 도면에 예시된 바와 같이, 하나의 엘리먼트 또는 다른 엘리먼트에 대한 피쳐(feature)의 관계를 나타내기 위한 설명의 편의를 위해 사용될 수 있다. 공간 관련 용어는 도면에 도시된 배향(orientation)에 대한 사용 또는 동작에 있어서 디바이스의 상이한 배향을 포함하는 것을 의도하고 있다. 예컨대, 도면의 디바이스가 뒤집어지면, 다른 엘리먼트 또는 피쳐들의 "아래" 또는 "밑에" 로 설명된 엘리먼트는, 다른 엘리먼트 또는 피쳐들 "상부로" 배향된다. 따라서, 예시적 용어 "아래"는 위와 아래의 배향을 모두 포함할 수 있다. 장치는 다르게 배향(90도 회전 또는 다른 배향)될 수 있고, 이에 따라 여기서 사용되는 공간 관련 기술어(descriptor)도 마찬가지로 해석될 수 있다.Also, spatially related terms such as “below”, “below”, “low”, “high”, “upper”, and the like, as illustrated in the drawings, the relationship of features to one element or another element It may be used for convenience of explanation to indicate. Space-related terms are intended to include different orientations of the device in use or operation for the orientation depicted in the figures. For example, when the device in the figure is turned over, an element described as “below” or “below” other elements or features is oriented “above” the other elements or features. Thus, the exemplary term “below” can include both an orientation of above and below. The device may be oriented differently (rotate 90 degrees or other orientation), and accordingly the spatial descriptors used herein may be interpreted as well.

도 1a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 구조체(또는 워크피스(work piece))(100)의 상면도이다. 도 1b는, 일부 실시형태에 따른, 반도체 구조체(100)의 점선 AA'를 따른 단면도이다. 도 1c는, 일부 실시형태에 따른, 반도체 구조체(100)의 점선 BB'를 따른 단면도이다. 반도체 구조체(100) 및 그 제조 방법은 집합적으로 도 1a 내지 도 1c 및 다른 도면들을 참조하여 설명된다. 일부 실시형태에서, 반도체 구조체(100)는 핀 활성 영역(fin active region)을 포함하고 그 위에 형성된 FinFET(fin field-effect transistor)를 포함한다. 일부 실시형태에서, 반도체 구조체(100)는 그 위에 평탄한 FET(field-effect transistor)가 형성된 평탄한 활성 영역(flat active region)을 포함할 수 있다. 반도체 구조체(100)는 n 타입 FET(nFET) 또는 p 타입 FET(pFET)이 될 수 있는 FET을 포함한다. 반도체 구조체(100)는 FET에 예컨대 FET의 소스에 전기적으로 접속된 커패시터를 더 포함한다. 한정이 아닌 예시만을 위한 실시예로서, FET은 nFET이다. FET과 커패시터가 접속되어 집합적으로 RRAM(resistive random-access memory) 또는 DRAM(dynamic RAM)과 같은 메모리 디바이스로서 기능한다. 일부 다른 실시예에서, 메모리 디바이스는 OTP(one-time programming) 메모리(예컨대, 내장형 OTP 메모리)이다.1A is a top view of a semiconductor structure (or work piece) 100 constructed in accordance with various aspects of the present disclosure in one embodiment. 1B is a cross-sectional view along the dotted line AA ′ of the semiconductor structure 100, in accordance with some embodiments. 1C is a cross-sectional view along the dotted line BB 'of the semiconductor structure 100, in accordance with some embodiments. The semiconductor structure 100 and its manufacturing method are collectively described with reference to FIGS. 1A to 1C and other drawings. In some embodiments, semiconductor structure 100 includes a fin active region and a fin field-effect transistor (FinFET) formed thereon. In some embodiments, the semiconductor structure 100 may include a flat active region on which a flat field-effect transistor (FET) is formed. The semiconductor structure 100 includes an FET that can be an n-type FET (nFET) or a p-type FET (pFET). The semiconductor structure 100 further includes a capacitor electrically connected to the FET, such as a source of the FET. As an example for illustrative purposes only, not limitation, the FET is an nFET. The FET and the capacitor are connected to collectively function as a memory device such as resistive random-access memory (RRAM) or dynamic RAM (DRAM). In some other embodiments, the memory device is one-time programming (OTP) memory (eg, embedded OTP memory).

반도체 구조체(100)는 기판(102)을 포함한다. 기판(102)은 벌크 실리콘 기판을 포함한다. 대안으로서, 기판(102)은, 결정 구조 내에 실리콘 또는 게르마늄 등의 기초 반도체; 실리콘 게르마늄(silicon germanium), 실리콘 카바이드(silicon carbide), 비화 갈륨(gallium arsenic), 인화 갈륨(gallium phosphide), 인화 인듐(indium phosphide), 비화 인듐(indium arsenide), 및/또는 안티몬화 인듐(indium antimonide), 또는 이들의 조합 등의 엘리멘터리 반도체(elementary semiconductor)를 포함할 수 있다. 또한, 가능한 기판(102)은 SOI(silicon-on-insulator) 기판을 포함한다. SOI 기판은 SIMOX(separation by implantation of oxygen), 웨이퍼 본딩, 및/또는 다른 적합한 방법들을 사용하여 제조된다.The semiconductor structure 100 includes a substrate 102. The substrate 102 includes a bulk silicon substrate. Alternatively, the substrate 102 may include a basic semiconductor such as silicon or germanium in the crystal structure; Silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and / or indium antimonide antimonide), or combinations thereof. In addition, possible substrates 102 include silicon-on-insulator (SOI) substrates. SOI substrates are manufactured using separation by implantation of oxygen (SIMOX), wafer bonding, and / or other suitable methods.

기판(102)은 또한 기판(102) 상에 형성되고 활성 영역(106)과 같은 기판(102) 상의 다수의 활성 영역을 규정하는 격리 피쳐(isolation feature)(104)와 같은 다수의 격리 피쳐를 포함한다. 격리 피쳐(104)는 다수의 활성 영역을 규정하고 전기적으로 격리시키기 위해 STI(shallow trench isolation) 등의 격리 기술을 사용한다. 격리 피쳐(104)는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물(silicon oxynitride), 다른 적합한 유전체 물질, 또는 이들의 조합을 포함한다. 격리 영역(104)은 임의의 적합한 프로세스에 의해 형성된다. 일 실시예로서, STI 피쳐를 형성하는 단계는, 기판의 일부를 노출시키기 위한 리소그래피 프로세스, (예컨대 건식 에칭 및/또는 습식 에칭을 사용함으로써) 기판의 노출 부분에 트렌치를 에칭하는 단계, (예컨대, 화학 증착 프로세스를 사용함으로써) 하나 이상의 유전체 물질로 트렌치를 충전하는 단계, 및 CMP(chemical mechanical polishing) 프로세스와 같은 연마 프로세스에 의해 기판을 평탄화하고 유전체 물질(들)의 초과 부분을 제거하는 단계를 포함한다. 일부 실시예에서, 충전된 트렌치는 서멀 옥사이드 라이너층(thermal oxide liner layer) 및 실리콘 질화물 또는 실리콘 산화물의 충전 층(filling layer)(들) 등의 멀티-레이어 구조를 가질 수 있다.Substrate 102 also includes multiple isolation features, such as isolation features 104, formed on substrate 102 and defining multiple active regions on substrate 102, such as active region 106. do. Isolation feature 104 uses isolation techniques such as shallow trench isolation (STI) to define and electrically isolate multiple active regions. Isolation feature 104 includes silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. The isolation region 104 is formed by any suitable process. In one embodiment, forming the STI feature comprises a lithography process to expose a portion of the substrate, etching the trench to the exposed portion of the substrate (eg, by using dry etching and / or wet etching), (eg, Filling the trench with one or more dielectric materials (by using a chemical vapor deposition process), and planarizing the substrate by a polishing process such as a chemical mechanical polishing (CMP) process and removing excess portions of the dielectric material (s). do. In some embodiments, the filled trench can have a multi-layer structure, such as a thermal oxide liner layer and a filling layer (s) of silicon nitride or silicon oxide.

활성 영역(106)은 다수의 도핑된 피쳐가 형성되고 다이오드, 트랜지스터, 및/또는 다른 적합한 디바이스와 같은 하나 이상의 디바이스로 구성된 반도체 표면을 갖는 영역이다. 활성 영역은 기판(102)의 벌크 반도체 물질(실리콘 등)과 유사한 반도체 물질 또는 실리콘 게르마늄(SiGe), 실리콘 카바이드(SiC)과 같은 상이한 반도체 물질 또는 예컨대, 캐리어 이동성을 증가시키는 변형 효과와 같은 성능 향상을 위해, 에피택셜 성장에 의해 기판(102) 상에 형성된 다수의 반도체 물질 층(예컨대, 대안적인 실리콘 및 실리콘 게르마늄 층)을 포함할 수 있다. 본 실시예에서, 활성 영역(106)은 X 방향으로 배향된 장방 형상(elongated shape)을 갖는다.Active region 106 is an area having a semiconductor surface on which a number of doped features are formed and composed of one or more devices, such as diodes, transistors, and / or other suitable devices. The active region is a semiconductor material similar to the bulk semiconductor material (such as silicon) of the substrate 102 or different semiconductor materials such as silicon germanium (SiGe), silicon carbide (SiC), or performance enhancement, such as a deformation effect to increase carrier mobility For, it may include multiple layers of semiconductor material (eg, alternative silicon and silicon germanium layers) formed on the substrate 102 by epitaxial growth. In this embodiment, the active region 106 has an elongated shape oriented in the X direction.

본 실시형태에서, 활성 영역(106)은 격리 피쳐(104) 위로 연장되는 핀 활성 영역과 같이 3차원이다. 핀 활성 영역은 기판(102)으로부터 돌출되고 채널 영역(또는 간단히 채널이라 칭함)과 FET의 게이트 전극 사이를 더 효과적으로 연결하기 위해 3차원 프로파일을 갖는다. 핀 활성 영역(106)은, 격리 피쳐(104)를 리세싱하기 위한 선택적 에칭 또는 기판(102)과 동일하거나 상이한 반도체로 활성 영역을 성장시키기 위한 선택적 에피택셜 성장, 또는 이들의 조합에 의해 형성될 수 있다. 핀 활성 영역(106)은 간단히 핀(106)이라고도 칭해진다.In this embodiment, the active region 106 is three-dimensional, such as a pin active region extending over the isolation feature 104. The fin active region protrudes from the substrate 102 and has a three-dimensional profile to more effectively connect between the channel region (or simply referred to as a channel) and the gate electrode of the FET. The fin active region 106 may be formed by selective etching to recess the isolation feature 104 or selective epitaxial growth to grow the active region with the same or different semiconductor as the substrate 102, or a combination thereof. You can. The fin active region 106 is also referred to simply as the fin 106.

반도체 기판(102)은 다수의 디바이스 또는 디바이스의 콤포넌트를 형성하도록 구성된 n 타입 도핑 웰, p 타입 도핑 웰, 소스 및 드레인, 다른 도핑 피쳐, 또는 이들의 조합과 같은 다수의 도핑 피쳐를 더 포함한다. 일 실시형태에서, 반도체 구조체(100)는 핀 활성 영역(106) 상에 제1 타입 도펀트의 도핑 웰(110)을 포함한다. 도핑 웰(110)은 확산에 의해 격리 피쳐(104) 아래에 놓인 영역으로 연장될 수 있다. 예시만을 위해 위에서 설명한 바와 같이, 핀(106) 상에 형성된 FET은 nFET이다. 이 경우에, 도핑 웰(110)은 p 타입 도펀트로 도핑된다(따라서, p 웰이라 지칭함). 도핑 웰(110) 내의 도펀트(붕소 등)는 이온 주입 또는 다른 적합한 기술에 의해 핀(106)에 도입될 수 있다. 예컨대, 기판(102) 상에 개구 - 개구는 도핑 웰(110)을 위한 영역을 규정함 - 를 가진 패턴 마스크(patterned mask)를 형성하는 단계; 및 주입 마스크로서 패턴 마스크를 사용하여 핀(106)에 p 타입 도펀트(붕소 등)를 도입하기 위해 이온 주입을 수행하는 단계를 포함하는 절차(procedure)에 의해 도핑 웰(110)이 형성될 수 있다. 패턴 마스크는, 리소그래피에 의해 형성되는 패턴화된 레지스트 층 또는 성막, 리소그래피 프로세스, 에칭에 의해 형성되는 패턴 하드 마스크가 될 수 있다. 대안적 실시형태에서, 핀(106) 상의 FET은 pFET이고, 도핑 웰(110)은 인(phosphorous)과 같은 n 타입 도펀트로 도핑될 수 있다.The semiconductor substrate 102 further includes multiple doping features, such as n-type doping wells, p-type doping wells, source and drain, other doping features, or combinations thereof, configured to form multiple devices or components of the device. In one embodiment, semiconductor structure 100 includes doped well 110 of a first type dopant on fin active region 106. The doping well 110 can be extended to an area underneath the isolation feature 104 by diffusion. As described above for illustrative purposes only, the FET formed on fin 106 is an nFET. In this case, doped well 110 is doped with a p-type dopant (henceforth referred to as p-well). The dopant (boron, etc.) in the doping well 110 can be introduced into the fin 106 by ion implantation or other suitable technique. For example, forming a patterned mask having an opening on the substrate 102, the opening defining an area for the doping well 110; And a doping well 110 may be formed by a procedure including performing ion implantation to introduce a p-type dopant (boron, etc.) into the fin 106 using a pattern mask as an implantation mask. . The pattern mask can be a patterned resist layer or film formed by lithography, a pattern hard mask formed by lithography process, etching. In an alternative embodiment, the FET on fin 106 is a pFET, and the doping well 110 can be doped with an n-type dopant, such as phosphorous.

반도체 구조체(100)는 핀(106) 내에 배치되고 Y 방향으로 배향되는 장방 형상을 가진 게이트 스택(114)을 더 포함한다. Y 방향은 X 방향과 직교하고, X 방향과 Y 방향 양자는 기판(102)의 상부 표면을 규정한다. 상부 표면은 X 방향과 Y 방향 양자에 대하여 직교하는 Z 방향을 따른 법선 방향을 갖는다. 게이트 스택(114)은 게이트 유전체 층(116) 및 게이트 유전체 층 상에 형성되는 게이트 전극(120)을 포함한다. 게이트 스택(114)은 일부 실시예에 따라 10 nm 내지 20 nm 범위의 높이를 가질 수 있다.The semiconductor structure 100 further includes a gate stack 114 disposed in the fin 106 and having a rectangular shape oriented in the Y direction. The Y direction is orthogonal to the X direction, and both the X direction and the Y direction define the upper surface of the substrate 102. The upper surface has a normal direction along the Z direction orthogonal to both the X direction and the Y direction. The gate stack 114 includes a gate dielectric layer 116 and a gate electrode 120 formed on the gate dielectric layer. The gate stack 114 may have a height in the range of 10 nm to 20 nm, according to some embodiments.

게이트 유전체 층(116)은 실리콘 산화물과 같은 유전체 물질을 포함한다. 다른 실시형태에서, 게이트 유전체 층은 회로 성능 및 제조 통합을 위한 다른 적합한 유전체 물질을 대안적으로 또는 추가적으로 포함한다. 예컨대, 게이트 유전체 층(116)은 금속 산화물, 금속 질화물, 또는 금속 산질화물 등의 하이 k 유전체 물질 층을 포함한다. 다양한 실시예에서, 하이 k 유전체 물질 층은 MOCVD(metal organic chemical vapor deposition), PVD(physical vapor deposition), ALD(atomic layer deposition), 또는 MBE(molecular beam epitaxy) 등의 적합한 방법에 의해 형성되는 금속 산화물, 즉 ZrO2, Al2O3, 및 HfO2을 포함한다. 게이트 유전체 층은 반도체 기판(102)과 하이 k 유전체 물질 사이에 개재되는 계면 층(interfacial layer)을 더 포함할 수 있다. 일부 실시형태에서, 계면 층은 ALD, 열적 산화, 또는 자외선-오존 산화(ultraviolet-Ozone Oxidation)에 의해 형성되는 실리콘 산화물을 포함한다.Gate dielectric layer 116 comprises a dielectric material, such as silicon oxide. In other embodiments, the gate dielectric layer alternatively or additionally includes other suitable dielectric materials for circuit performance and manufacturing integration. For example, the gate dielectric layer 116 includes a layer of high k dielectric material, such as metal oxide, metal nitride, or metal oxynitride. In various embodiments, the high k dielectric material layer is a metal formed by a suitable method such as metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or molecular beam epitaxy (MBE). Oxides, ie ZrO 2 , Al 2 O 3 , and HfO 2 . The gate dielectric layer may further include an interfacial layer interposed between the semiconductor substrate 102 and the high-k dielectric material. In some embodiments, the interfacial layer comprises silicon oxide formed by ALD, thermal oxidation, or ultraviolet-Ozone Oxidation.

게이트 전극(120)은 알루미늄, 구리, 텅스텐, 금속 실리사이드, 금속 합금, 도핑된 폴리-실리콘, 다른 적절한 도전성 물질, 또는 이들의 조합과 같은 금속을 포함한다. 게이트 전극(120)은 캡핑 층(capping layer), 워크 펑션 금속 층(work function metal layer), 차단 층(blocking layer), 및 충전 금속 층(알루미늄 또는 텅스텐 등) 등과 같이 디자인된 다중 도전성 필름을 포함할 수 있다. 다중 도전성 필름은 nFET(또는 pFET)에 매칭되는 워크 펑션을 위해 디자인된다. 일부 실시형태에서, nFET을 위한 게이트 전극(120)은 4.2 eV 이하의 워크 펑션으로 디자인된 조성을 갖는 워크 펑션 금속을 포함한다. 다른 경우에, pFET을 위한 게이트 전극은 5.2 eV 이상의 워크 펑션으로 디자인된 조성을 갖는 워크 펑션 금속을 포함한다. 예컨대, nFET을 위한 워크 펑션 금속 층은 탄탈룸, 티타늄, 알루미늄, 티타늄 알루미늄 질화물, 또는 이들의 조합을 포함한다. 다른 실시예에서, pFET을 위한 워크 펑션 금속 층은 티타늄 질화물, 탄탈룸 질화물, 또는 이들의 조합을 포함한다.Gate electrode 120 includes metal, such as aluminum, copper, tungsten, metal silicide, metal alloys, doped poly-silicon, other suitable conductive materials, or combinations thereof. The gate electrode 120 includes multiple conductive films designed such as a capping layer, a work function metal layer, a blocking layer, and a filling metal layer (such as aluminum or tungsten). can do. Multiple conductive films are designed for work functions that match nFETs (or pFETs). In some embodiments, the gate electrode 120 for the nFET includes a work function metal having a composition designed with a work function of 4.2 eV or less. In other cases, the gate electrode for the pFET includes a work function metal having a composition designed with a work function of 5.2 eV or higher. For example, a work function metal layer for an nFET includes tantalum, titanium, aluminum, titanium aluminum nitride, or combinations thereof. In other embodiments, the work function metal layer for the pFET comprises titanium nitride, tantalum nitride, or combinations thereof.

게이트 스택(114)은 게이트 전극(120)의 측벽 상에 형성되는 게이트 스페이서(122)를 더 포함할 수 있다. 스페이서(122)는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 다른 적합한 유전체 물질, 또는 이들의 조합을 포함한다. 스페이서(122)는 다중층 구조를 가질 수 있고 유전체 물질을 성막한 후 플라즈마 에칭과 같은 이방성 에칭에 의해 형성될 수 있다.The gate stack 114 may further include a gate spacer 122 formed on a sidewall of the gate electrode 120. Spacer 122 includes silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. The spacer 122 may have a multi-layer structure and may be formed by anisotropic etching such as plasma etching after depositing a dielectric material.

게이트 스택(114)은 게이트-라스트 프로세스(gate-last process)와 같은 적합한 절차에 의해 형성되며, 더미 게이트가 먼저 형성되고, 소스 및 드레인의 형성 후에 금속 게이트로 대체된다. 대안적으로, 게이트 스택은 하이 k 라스트 프로세스에 의해 형성되고, 게이트 유전체 물질 층과 게이트 전극 양자는 소스 및 드레인의 형성 후에 각각 하이 k 유전체 물질과 금속으로 대체된다. 게이트 스택(114)은 게이트 물질 및 형성으로 인해 상이한 구조를 가질 수 있다. 하나의 예시적 게이트 스택(114)이 도 1d에 단면도로 도시되어 있다. 이것을 만드는 방법이 일부 실시형태에 따라 더 설명된다. 본 실시형태에서, 게이트 스택(114)은, 핀 상에 더미 게이트 스택이 형성되고; 소스 및 드레인이 형성되고; 소스 및 드레인 상에 ILD가 성막되고, 더미 게이트 스택이 제거되어 게이트 트렌치가 얻어지고; 금속 게이트 물질이 게이트 트렌치에 성막되고; 초과 게이트 물질을 제거하기 위해 CMP 프로세스가 적용되는 절차에 의해 형성되는 금속 게이트이다. 도 1d에 도시된 본 실시형태에서, 게이트 스택(114)은 하이 k 유전체 물질을 갖고 U자 형상인 게이트 유전체 층(116)을 포함한다. 게이트 전극(120)은 120A, 120B, 및 120C와 같은 다중 층들을 포함한다. 실시형태의 구현에서, 게이트 전극층(120A)은 내부 확산 및 다른 집적 고려를 방지하기 위한 캡핑 층이고; 게이트 전극층(120B)은 워크 펑션(또한 펑크 펑션 금속 층이라고도 지칭됨)을 조정(tune)하기 위한 금속층이고; 게이트 전극층(120C)은 텅스텐, 구리, 알루미늄, 구리 알루미늄 합금 또는 다른 저 저항 금속과 같은 충전 금속이다.The gate stack 114 is formed by a suitable procedure such as a gate-last process, dummy gates are formed first, and after formation of the source and drain, they are replaced by metal gates. Alternatively, the gate stack is formed by a high k last process, and both the gate dielectric material layer and the gate electrode are replaced with high k dielectric material and metal, respectively, after formation of the source and drain. The gate stack 114 may have a different structure due to the gate material and formation. One exemplary gate stack 114 is shown in cross section in FIG. 1D. How to make this is further described according to some embodiments. In this embodiment, the gate stack 114 is formed with a dummy gate stack on the fins; Source and drain are formed; ILD is deposited on the source and drain, the dummy gate stack is removed to obtain a gate trench; A metal gate material is deposited in the gate trench; It is a metal gate formed by a procedure where a CMP process is applied to remove excess gate material. In this embodiment shown in FIG. 1D, the gate stack 114 includes a gate dielectric layer 116 that is U-shaped with a high k dielectric material. The gate electrode 120 includes multiple layers, such as 120A, 120B, and 120C. In the implementation of the embodiment, the gate electrode layer 120A is a capping layer to prevent internal diffusion and other integration considerations; The gate electrode layer 120B is a metal layer for tuning the work function (also referred to as a puncture function metal layer); The gate electrode layer 120C is a filling metal such as tungsten, copper, aluminum, copper aluminum alloy or other low resistance metal.

반도체 구조체(100)는 핀(106) 상에 규정되고 게이트 스택(114) 아래에 놓인 채널 영역(124)을 포함한다. 채널(124)은 소스와 드레인 사이에 전류 경로를 제공한다. 채널(124)은 도핑 웰(110)(본 실시예에서 p 웰)과 동일 타입의 도펀트를 갖지만, 애플리케이션 및 디바이스 사양에 따라 더 높은 도핑 농도를 갖는다. 채널(124)은 적절한 임계 전압 및 다른 파라미터들에 적합한 도펀트 농도로 이온 주입에 의해 조정될 수 있다.The semiconductor structure 100 includes a channel region 124 defined on the fin 106 and lying under the gate stack 114. Channel 124 provides a current path between the source and drain. Channel 124 has the same type of dopant as doping well 110 (p well in this embodiment), but has a higher doping concentration depending on the application and device specifications. Channel 124 can be adjusted by ion implantation with a suitable threshold voltage and dopant concentration suitable for other parameters.

반도체 구조체(100)는 채널(124)의 양 측 상의 핀(106) 상에 형성된 소스/드레인(S/D) 피쳐(또는 간단히 소스 및 드레인이라 칭함)를 포함한다. S/D 피쳐는 제1 타입 도펀트와 반대인 제2 타입 도펀트로 도핑된다. 이 경우에, S/D 피쳐는 (인과 같은) n 타입 도펀트로 도핑된다. S/D 피쳐는 이온 주입 및/또는 확산에 의해 형성될 수 있다. S/D 피쳐를 형성하기 위해 다른 프로세싱 단계가 더 포함될 수 있다. 예컨대, 주입된 도펀트를 활성화하기 위해 RTA(rapid thermal annealing) 프로세스가 사용될 수 있다. S/D 피쳐는 다단계 주입(multi-step implantation)에 의해 형성되는 상이한 도핑 프로파일을 가질 수 있다. 예컨대, LDD(light doped drain) 또는 DDD(double diffused drain) 등의 추가 도핑 피쳐가 포함될 수 있다. 또한, S/D 피쳐는 상승(raised), 오목(recessed), 또는 변형(strained)과 같은 상이한 구조를 가질 수 있다. 예컨대, S/D 피쳐의 형성은 소스 및 드레인 영역을 리세싱하기 위한 에칭; 인시투 도핑(in-situ doping)으로 에피택셜 S/D 피쳐를 형성하기 위한 선택적 에피택셜 성장; 및 활성화를 위한 어닐링을 포함할 수 있다. 따라서, 형성된 S/D 피쳐는 향상된 캐리어 이동도 및 디바이스 성능을 위한 변형 효과(straining effect)를 갖는 에피택셜 S/D 피쳐이다. S/D 피쳐는 실리콘(Si) 피쳐, 실리콘 게르마늄(SiGe) 피쳐, 실리콘 카바이드(SiC) 피쳐 및/또는 다른 적합한 반도체 피쳐가 소스 및 드레인 영역 내의 핀 상에서 결정 상태로 성장되는 하나 이상의 선택적 에피택셜 성장에 의해 형성될 수 있다. 이하의 설명의 편의상, S/D 피쳐는 각각 드레인(126) 및 소스(128)로 지칭된다.The semiconductor structure 100 includes source / drain (S / D) features (or simply referred to as source and drain) formed on fins 106 on either side of the channel 124. The S / D feature is doped with a second type dopant opposite to the first type dopant. In this case, the S / D feature is doped with an n-type dopant (such as phosphorus). S / D features can be formed by ion implantation and / or diffusion. Other processing steps may be further included to form S / D features. For example, a rapid thermal annealing (RTA) process can be used to activate the injected dopant. The S / D features can have different doping profiles formed by multi-step implantation. For example, additional doping features such as light doped drain (LDD) or double diffused drain (DDD) may be included. In addition, the S / D features can have different structures, such as raised, recessed, or strained. For example, formation of S / D features may include etching to recess source and drain regions; Selective epitaxial growth to form epitaxial S / D features with in-situ doping; And annealing for activation. Thus, the formed S / D features are epitaxial S / D features with improved carrier mobility and straining effect for device performance. The S / D feature is one or more selective epitaxial growths in which silicon (Si) features, silicon germanium (SiGe) features, silicon carbide (SiC) features, and / or other suitable semiconductor features are grown in a crystalline state on fins in the source and drain regions. It can be formed by. For convenience of the following description, S / D features are referred to as drain 126 and source 128, respectively.

소스(128), 드레인(126), 채널(124), 및 게이트 스택(114)은 FET을 형성하도록 구성된다. 본 실시형태에서, FET은 nFET이고, 이것은 한정이 아닌 예시만을 위한 것이다. 대안적 실시형태에서 FET은 pFET이다.Source 128, drain 126, channel 124, and gate stack 114 are configured to form a FET. In this embodiment, the FET is an nFET, which is for illustrative purposes only, not limitation. In an alternative embodiment, the FET is a pFET.

반도체 구조체(100)는 기판(102) 상에 배치되는 ILD(interlayer dielectric) 층(130)을 더 포함한다. ILD 층(130)은 다수의 디바이스 콤포넌트에 격리 기능을 제공하기 위해 하나 이상의 유전체 물질을 포함한다. ILD 층(130)은 실리콘 산화물, 로우 k 유전체 물질, 다른 적합한 유전체 물질, 또는 이들의 조합 등의 유전체 물질을 포함한다. 일부 실시예에서, 로우 k 유전체 물질은 FSG(fluorinated silica glass), 탄소 도핑 실리콘 산화물, 크세로겔(Xerogel), 에어로겔(Aerogel), 비정질 플루오르화 탄소(amorphous fluorinated carbon), 파릴렌(Parylene), BCB(bis-benzocyclobutenes), 폴리이미드 및/또는 실질적으로 열 실리콘 산화물보다 낮은 유전 상수를 가진 다른 적합한 유전체 물질을 포함한다. ILD 층(130)의 형성은 예컨대 성막 및 CMP를 포함한다. 성막은 스핀-온 코팅, CVD, 다른 적합한 성막 기술, 또는 이들의 조합을 포함할 수 있다.The semiconductor structure 100 further includes an interlayer dielectric (ILD) layer 130 disposed on the substrate 102. ILD layer 130 includes one or more dielectric materials to provide isolation for multiple device components. ILD layer 130 includes a dielectric material, such as silicon oxide, low k dielectric material, other suitable dielectric materials, or combinations thereof. In some embodiments, the low k dielectric material is fluorinated silica glass (FSG), carbon doped silicon oxide, Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, Bis-benzocyclobutenes (BCB), polyimide, and / or other suitable dielectric material having a dielectric constant substantially lower than thermal silicon oxide. The formation of the ILD layer 130 includes, for example, film formation and CMP. The deposition can include spin-on coating, CVD, other suitable deposition techniques, or combinations thereof.

반도체 구조체(100)는 게이트 스택(114) 및 ILD 층(130) 상에 성막된 캡핑 층(132)도 포함한다. 캡핑 층(132)은 게이트 스택(114)을 커버하고, 후속 프로세스 중에 산화되거나 에칭 손상되는 것을 방지하는 것과 같은 게이트 스택(114)에 보호를 제공한다. 상기 캡핑 층(132)은 에치 스톱(etch-stop)과 같은 다른 기능을 수행할 수 있다. 캡핑 층(132)은 대응하는 에칭 손상을 제거하기 위해 에칭 없는 성막과 같은 기존 방법에 비해 몇가지 장점을 제공한다. 캡핑 층(132)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 다른 적합한 유전체 물질, 또는 이들의 조합 등의 유전체 물질을 포함한다. 캡핑 층(132)은 CVD 또는 ALD(atomic layer deposition) 등의 임의의 적합한 성막 기술에 의해 형성될 수 있다. 본 실시형태에서, 캡핑 층(132)은 게이트 스택(114) 및 ILD 층(130)의 상부 표면과 동일 평면인 하부 표면을 가진 평탄한 층이다. 일부 실시예에서, 캡핑 층(132)은 0.5 nm 내지 5 nm 범위의 두께를 갖는다. 다른 실시예에서, 캡핑 층(132)은 2 nm 내지 4 nm 범위의 두께를 갖는다.The semiconductor structure 100 also includes a gate stack 114 and a capping layer 132 deposited on the ILD layer 130. The capping layer 132 covers the gate stack 114 and provides protection to the gate stack 114 such as preventing oxidation or etch damage during subsequent processes. The capping layer 132 may perform other functions, such as etch-stop. The capping layer 132 provides several advantages over conventional methods such as film formation without etching to eliminate corresponding etch damage. The capping layer 132 includes dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. The capping layer 132 can be formed by any suitable deposition technique, such as CVD or atomic layer deposition (ALD). In this embodiment, the capping layer 132 is a flat layer having a bottom surface that is coplanar with the top surface of the gate stack 114 and the ILD layer 130. In some embodiments, the capping layer 132 has a thickness in the range of 0.5 nm to 5 nm. In other embodiments, the capping layer 132 has a thickness in the range of 2 nm to 4 nm.

반도체 구조체(100)는 캡핑 층(132) 상에 배치되는 제2 ILD 층(134)을 더 포함한다. 제2 ILD 층(134)은 조성 및 형성의 관점에서 ILD 층(130)과 유사하다. 예컨대, 제2 IlD 층(134)은, 로우 k 유전체 물질을 포함할 수 있고, 성막 및 CMP에 의해 형성될 수 있다.The semiconductor structure 100 further includes a second ILD layer 134 disposed on the capping layer 132. The second ILD layer 134 is similar to the ILD layer 130 in terms of composition and formation. For example, the second IlD layer 134 may include a low-k dielectric material, and may be formed by deposition and CMP.

반도체 구조체(100)는 전기적 접속을 제공하기 위해 제1 콘택트 피쳐(136) 및 제2 콘택트 피쳐(138)와 같은 콘택트 피쳐를 더 포함한다. 제1 콘택트 피쳐(136) 및 제2 콘택트 피쳐(138)는 금속 또는 금속 합금 등의 도전성 물질(들)을 포함하고, ILD 층(130 및 134)에 형성된다. 제1 콘택트 피쳐(136)는 드레인(126)과 정렬되고, 드레인(126) 상에 직접 랜딩(landing)된다. 제2 콘택트 피쳐(138)는 소스와의 직접 접촉 없이 소스(128)와 정렬된다. 제1 콘택트 피쳐 및 제2 콘택트 피쳐 각각은 접착제 층(140) 및 충전 금속(142)을 포함한다. 접착제 층(140)은 접착 및 상호 확산(inter-diffusion) 방지와 같은 다양한 기능을 제공한다. 본 실시형태에서, 접착제 층(140)은 티타늄 및 티타늄 질화물을 포함한다. 접착제 층(140)은 PVD(physical vapor deposition), ALD, 다른 적합한 성막, 또는 이들의 조합에 의해 성막될 수 있다. 충전 금속(142)은 텅스텐, 구리, 알루미늄, 구리 알루미늄 합금, 다른 적합한 도전성 물질, 또는 이들의 조합을 포함한다. 충전 금속(142)은 CVD, PVD, 도금(plating), 또는 이들의 조합 등의 임의의 적합한 기술에 의해 성막된다.The semiconductor structure 100 further includes contact features such as a first contact feature 136 and a second contact feature 138 to provide electrical connection. The first contact feature 136 and the second contact feature 138 include conductive material (s), such as metal or metal alloy, and are formed in the ILD layers 130 and 134. The first contact feature 136 is aligned with the drain 126 and lands directly on the drain 126. The second contact feature 138 is aligned with the source 128 without direct contact with the source. Each of the first contact feature and the second contact feature includes an adhesive layer 140 and a filling metal 142. The adhesive layer 140 provides various functions such as adhesion and prevention of inter-diffusion. In this embodiment, the adhesive layer 140 includes titanium and titanium nitride. The adhesive layer 140 may be deposited by physical vapor deposition (PVD), ALD, other suitable deposition, or a combination thereof. Fill metal 142 includes tungsten, copper, aluminum, copper aluminum alloys, other suitable conductive materials, or combinations thereof. Fill metal 142 is deposited by any suitable technique, such as CVD, PVD, plating, or a combination thereof.

반도체 구조체(100)는 제1 콘택트 피쳐 및 제2 콘택트 피쳐를 둘러싸는 다른 유전체 물질 층(146)을 더 포함한다. 유전체 물질 층(146)은 캡핑 층(132)과 동일하거나 상이한 적합한 유전체 물질을 포함한다. 일부 실시예에서, 유전체 물질 층(146)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 다른 적합한 유전체 물질, 또는 이들의 조합과 같은 산화물, 질화물, 또는 탄화물을 포함한다. 유전체 물질 층(146)은 CVD, ALD, 또는 다른 적합한 기술에 의해 성막될 수 있다. 일부 실시예에서, 유전체 물질 층(146)은 0.5 nm 내지 5 nm 범위의 두께를 갖는다. 일부 실시예에서, 유전체 물질 층(146)은 1 nm 내지 2 nm 범위의 두께를 갖는다. 특히, 유전체 물질 층(146)의 두께(T) 및 게이트 스택(114)의 높이(H)는 일부 실시예에 따라 1/20 내지 1/2 범위의 비(T/H)를 갖는다.The semiconductor structure 100 further includes another layer of dielectric material 146 surrounding the first contact feature and the second contact feature. Dielectric material layer 146 includes a suitable dielectric material that is the same or different than capping layer 132. In some embodiments, dielectric material layer 146 includes oxide, nitride, or carbide, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, other suitable dielectric materials, or combinations thereof. The dielectric material layer 146 can be deposited by CVD, ALD, or other suitable technique. In some embodiments, dielectric material layer 146 has a thickness in the range of 0.5 nm to 5 nm. In some embodiments, dielectric material layer 146 has a thickness ranging from 1 nm to 2 nm. In particular, the thickness T of the dielectric material layer 146 and the height H of the gate stack 114 have a ratio (T / H) in the range of 1/20 to 1/2 according to some embodiments.

특히, 유전체 물질 층(146)은 소스(128)와 제2 콘택트 피쳐(138) 사이로 연장되고 제2 콘택트 피쳐(138)로부터 소스(128)를 격리시킨다. 소스(128)와 제2 콘택트 피쳐(138) 사이에 개재되는 유전체 물질 층(146)의 연장 부분은 소스(128)와 제2 콘택트 피쳐(138) 사이에 샌드위칭된 커패시터로서 기능한다. 일부 실시형태에서, 소스(128), 제2 콘택트 피쳐(138), 및 유전체 물질 층(146)의 연장 부분은 커패시터로서 기능하고, 제2 콘택트 피쳐(138) 및 소스(128)는 커패시터의 2개의 전극으로서 기능한다. FET 및 커패시터는 RRAM 또는 DRAM 또는 eOTP 등의 메모리 디바이스를 형성한다.In particular, a layer of dielectric material 146 extends between the source 128 and the second contact feature 138 and isolates the source 128 from the second contact feature 138. The extended portion of dielectric material layer 146 interposed between source 128 and second contact feature 138 functions as a sandwiched capacitor between source 128 and second contact feature 138. In some embodiments, the source 128, the second contact feature 138, and the extended portion of the dielectric material layer 146 function as a capacitor, and the second contact feature 138 and the source 128 are two capacitors. It functions as an electrode. FETs and capacitors form memory devices such as RRAM or DRAM or eOTP.

콘택트 피쳐의 형성은, 콘택트 홀을 형성하기 위해 ILD 층을 패터닝하는 단계; 콘택트 홀 내에 유전체 물질 층(146)을 성막하는 단계; 드레인에 정렬되는 콘택트 홀의 하부 표면으로부터 유전체 물질 층(146)의 일부를 선택적으로 제거하는 단계; 접착제 층(140)을 성막하는 단계; 콘택트 홀 내의 접착제 층 상에 충전 금속(142)을 성막하는 단계; 및 ILD 층 상의 접착제 층(140) 및 초과 충전 금속(142)을 제거하기 위해 CMP 프로세스를 수행하는 단계를 포함한다. 드레인(126)에 대응하는 콘택트 홀의 하부 표면 상의 유전체 물질 층(146)의 일부의 선택적 제거는, 소스(128)에 대하여 콘택트 홀을 커버하고 드레인(126)에 대하여 콘택트 홀을 언커버(uncover)하기 위해 패턴화된 마스크를 형성하는 단계; 드레인에 정렬된 콘택트 홀 내의 하부 부분을 선택적으로 제거하기 위해 이방성 에칭 프로세스(건식 에칭 프로세스 등)를 수행하는 단계; 및 패턴화된 마스크 층을 제거하는 단계를 더 포함한다. 일 실시예에서, 유전체 물질 층(146)은 대응하는 두께로의 정밀한 제어로 ALD에 의해 성막된다.Formation of the contact feature comprises patterning the ILD layer to form a contact hole; Depositing a layer of dielectric material 146 in the contact hole; Selectively removing a portion of the dielectric material layer 146 from the bottom surface of the contact hole aligned with the drain; Depositing the adhesive layer 140; Depositing a filling metal 142 on the adhesive layer in the contact hole; And performing a CMP process to remove the adhesive layer 140 and excess fill metal 142 on the ILD layer. Selective removal of a portion of the dielectric material layer 146 on the bottom surface of the contact hole corresponding to the drain 126 covers the contact hole for the source 128 and uncovers the contact hole for the drain 126. Forming a patterned mask to do so; Performing an anisotropic etching process (such as a dry etching process) to selectively remove a lower portion in the contact hole aligned with the drain; And removing the patterned mask layer. In one embodiment, dielectric material layer 146 is deposited by ALD with precise control to a corresponding thickness.

반도체 구조체(100)는, 도 1a 및 도 1c에 도시된 바와 같이, 게이트 스택(114)과 정렬되고 격리 피쳐(104) 상에 게이트 스택(114)의 연장 부분 상에 놓여 있는 바와 같이 게이트 스택(114) 상에 직접 놓여 있는 제3 콘택트 피쳐(148)를 더 포함한다. 제3 콘택트 피쳐(148)는 제1 콘택트 피쳐 및 제2 콘택트 피쳐를 형성하기 위한 절차와 유사하지만 독립적인 절차에 의해 형성될 수 있다.1A and 1C, the semiconductor structure 100 is aligned with the gate stack 114 and placed on the isolation portion 104 on the extended portion of the gate stack 114, as shown in FIGS. 1A and 1C. 114) further comprising a third contact feature 148 lying directly on. The third contact feature 148 is similar to the procedure for forming the first contact feature and the second contact feature, but can be formed by an independent procedure.

반도체 구조체(100)는 수평 전기 접속을 제공하기 위한 다중 금속 층으로부터의 금속 라인; 및 인접한 금속 층 내의 금속 라인 사이에 수직 접속을 제공하기 위한 비아를 더 포함하는 상호접속 구조체와 같은 다른 피쳐들을 포함할 수 있다.The semiconductor structure 100 includes metal lines from multiple metal layers to provide horizontal electrical connections; And via features for further providing vias for providing vertical connections between metal lines in adjacent metal layers.

개시된 방법 및 구조체를 구현함으로써, 저항기(또는 커패시터)는 성막에 의해 형성되고, 유전체 물질 층(146)의 두께에 의해 저항(또는 커패시턴스)이 결정된다. 성막에 의해 두께가 정밀하게 제어될 수 있기 때문에 저항이 더 정밀하게 제어될 수 있다. 또한, 프로세스는 구현이 용이하고 7 nm 기술 노드와 같은 향상된 기술 노드와 더 호환 가능하다.By implementing the disclosed method and structure, a resistor (or capacitor) is formed by deposition, and the resistance (or capacitance) is determined by the thickness of the dielectric material layer 146. Since the thickness can be precisely controlled by the film formation, the resistance can be more precisely controlled. In addition, the process is easy to implement and is more compatible with advanced technology nodes such as 7 nm technology nodes.

도 2a는 반도체 구조체(100)와 같은 반도체 구조체를 제조하기 위한 방법(200)의 플로우차트이다. 도 2 및 다른 도면들을 참조하여 방법(200)과 반도체 웨이퍼(80)를 총괄하여 설명한다. 그러나, 반도체 구조체(100)는 일부 실시형태에 따른 방법(200)에 의해서만 제조되는 하나의 구조체이며 이것은 한정이 아니다. 후술하는 살명에서 확인되는 바와 같이, 다른 반도체 구조체가 방법(200)에 의해 제조될 수도 있다. 도 1a 내지 도 1d로 일부 설명이 제공되기 때문에 이들 설명은 이하에서 반복되지 않을 것이다.2A is a flowchart of a method 200 for manufacturing a semiconductor structure, such as semiconductor structure 100. The method 200 and the semiconductor wafer 80 will be described in general with reference to FIG. 2 and other drawings. However, the semiconductor structure 100 is one structure manufactured only by the method 200 according to some embodiments, and this is not limiting. Other semiconductor structures can also be fabricated by method 200, as identified in the description below. Since some descriptions are provided in FIGS. 1A-1D, these descriptions will not be repeated below.

도 2a 및 도 3a의 블록(202)을 참조하면, 방법(200)은 하나 이상의 활성 영역(106)을 규정하는 반도체 기판(102) 내의 격리 피쳐(104)를 형성하기 위한 동작을 포함한다. 격리 피쳐의 형성은 리소그래피에 의해 패턴화된 마스크를 형성하는 단계; 트렌치를 형성하기 위해 패턴화된 마스크의 개구를 통해 기판(102)을 에칭하는 단계; 하나 이상의 유전체 물리로 트렌치를 충전하는 단계; 및 CMP 프로세스를 수행하는 단계를 포함할 수 있다. 패턴화된 마스크는 격리 피쳐(104)의 영역을 규정하기 위한 개구를 포함한다. 패턴화된 마스크는 소프트 마스크(포토레지스트 층 등) 또는 하드 마스크(실리콘 산화물, 실리콘 질화물, 또는 이들의 조합 등)가 될 수 있다. 패턴화된 포토레지스트 층은 스핀 온 코팅, 노출, 현상, 및 하나 이상의 베이킹 단계를 더 포함하는 리소그래피 프로세스에 의해 형성된다. 패턴화된 하드 마스크의 형성은 하드 마스크 층을 성막하는 단계; 리소그래피 프로세스에 의해 패턴화된 레지스트 층을 형성하는 단계; 패턴화된 레지스트 층의 개구를 통해 하드 마스크를 에칭하는 단계; 및 습식 스트리핑 또는 플라즈마 에싱에 의해 패턴화된 레지스트 층을 제거하는 단계를 포함할 수 있다.Referring to block 202 of FIGS. 2A and 3A, method 200 includes an operation to form an isolation feature 104 within semiconductor substrate 102 defining one or more active regions 106. The formation of the isolation features includes forming a mask patterned by lithography; Etching the substrate 102 through the opening of the patterned mask to form a trench; Filling the trench with one or more dielectric physics; And performing a CMP process. The patterned mask includes an opening for defining an area of the isolation feature 104. The patterned mask can be a soft mask (such as a photoresist layer) or a hard mask (silicon oxide, silicon nitride, or combinations thereof). The patterned photoresist layer is formed by a lithographic process further comprising spin-on coating, exposure, development, and one or more baking steps. The formation of the patterned hard mask comprises depositing a hard mask layer; Forming a patterned resist layer by a lithography process; Etching the hard mask through the opening of the patterned resist layer; And removing the patterned resist layer by wet stripping or plasma ashing.

대안적 실시형태에서, 활성 영역(106)은 3차원 프로파일을 가진 핀 활성 영역이다. 이 경우에, 동작(202)은, 도 3b에 도시된 바와 같이, 격리 피쳐(104) 상으로 돌출된 핀 활성 영역(106)을 형성하는 단계를 더 포함한다. 반도체 구조체(100)는 집합적으로 핀 구조체로 지칭되는 다수의 핀 활성 영역을 포함할 수 있다. 일부 실시형태에서, 핀 구조체는 격리 피쳐(104)를 리세싱하기 위한 선택적 에칭에 의해 형성될 수 있다. 일부 실시형태에서, 핀 구조체는 하나 이상의 반도체 물질을 갖는 활성 영역으로 선택적 에피택셜 성장에 의해 형성될 수 있다. 또 일부 실시형태에서, 핀 구조체는 레시싱하기 위한 선택적 에칭 및 선택적 에피택셜 성장 모두를 갖는 하이브리드 절차에 의해 형성될 수 있다. 핀 구조체는 X 방향을 따라 배향되는 장방 형상을 가질 수 있다. 에피택셜 성장된 반도체 물질은 실리콘, 게르마늄, 실리콘 게르마늄, 실리콘 탄화물, 또는 다른 적합한 반도체 물질을 포함할 수 있다. 선택적 에칭 프로세스는 습식 에칭, 건식 에칭, 다른 적합한 에칭, 또는 이들의 조합을 포함할 수 있다. 이하의 도면에서, 반도체 구조체(100)는 평평한 활성 영역(106)을 나타내지만, 활성 영역(106)은 핀 활성 영역이 될 수 있는 것으로 이해된다.In an alternative embodiment, the active region 106 is a fin active region with a three-dimensional profile. In this case, operation 202 further includes forming a fin active region 106 protruding onto the isolation feature 104, as shown in FIG. 3B. The semiconductor structure 100 may include a plurality of fin active regions collectively referred to as fin structures. In some embodiments, the fin structure can be formed by selective etching to recess the isolation feature 104. In some embodiments, the fin structure can be formed by selective epitaxial growth into an active region having one or more semiconductor materials. In some embodiments, the fin structure can also be formed by a hybrid procedure with both selective etching and selective epitaxial growth for recipeing. The fin structure may have a rectangular shape oriented along the X direction. The epitaxially grown semiconductor material can include silicon, germanium, silicon germanium, silicon carbide, or other suitable semiconductor material. The selective etching process may include wet etching, dry etching, other suitable etching, or combinations thereof. In the following figures, the semiconductor structure 100 represents a flat active region 106, but it is understood that the active region 106 can be a fin active region.

방법(200)은, 도 3a(및 도 3b)에 도시된 바와 같이, 핀(106) 상의 도핑 웰(110)과 같은 도핑 웰을 형성하기 위한 동작을 포함할 수 있다. 본 실시형태에서, 도핑 웰(110)은 p 타입 도핑 웰(p 웰)이고, p 타입 도펀트(붕소 등)는 이온 주입과 같은 적합한 기술에 의해 핀(106)으로 도입된다.Method 200 may include an operation for forming a doped well, such as doped well 110 on pin 106, as shown in FIGS. 3A (and 3B). In this embodiment, the doping well 110 is a p-type doping well (p-well), and the p-type dopant (boron, etc.) is introduced into the pin 106 by a suitable technique such as ion implantation.

도 2a 및 도 3a의 블록(204)을 참조하면, 방법(200)은 핀(106) 상의 게이트 스택(114)을 형성하기 위한 동작으로 진행된다. 게이트 스택(114)의 형성은 게이트 유전체 층을 성막하는 단계, 게이트 전극 물질(들)을 성막하는 단계, 및 게이트 스택을 형성하기 위해 성막된 게이트 물질을 패터닝하는 단계 등의 성막 단계 및 패터닝 단계를 포함한다. 일부 실시형태에서, 동작(204)은 폴리실리콘을 포함하는 더미 게이트 스택을 형성하고, 더미 게이트 스택은 소스 및 드레인의 형성 이후에 금속 게이트 스택으로 교체된다. 예컨대, 더미 게이트 스택은 성막 및 패터닝 프로세스에 의해 형성되고, 패터닝 프로세스는 리소그래피 프로세스 및 에칭을 더 포함한다. 일 실시형태에서, 더미 게이트 스택을 형성하기 위한 절차는 열 산화에 의해 핀 상에 열 산화 층을 형성하는 단계; CVD에 의해 폴리-실리콘 층을 성막하는 단계; 포토리소그래피 프로세스에 의해 패턴화된 마스크 층을 형성하는 단계; 및 성막된 더미 게이트 물질에 에칭 프로세스를 수행하는 단계를 포함한다. 패턴화된 마스크 층은 더미 게이트 스택의 영역을 규정하기 위한 개구를 포함한다. 패턴화된 마스크 층은 소프트 마스크(포토레지스트 층 등) 또는 동작(202) 중에 격리 피쳐(104)를 위한 하드 마스크를 형성하기 위한 유사한 프로세스로 형성되는 하드 마스크(실리콘 산화물, 실리콘 질화물, 또는 이들의 조합 등)이 될 수 있다. 동작(204)은 게이트 스택의 측벽 상에 게이트 스페이서(122)를 형성하는 단계도 포함한다. 게이트 스페이서(122)는 실리콘 산화물, 실리콘 질화물, 또는 이들의 조합과 같은 하나 이상의 유전체 물질을 포함한다. 게이트 스페이서(122)의 형성은 더미 게이트 스택 상에 하나 이상의 유전체 물질 층을 성막하는 단계; 및 유전체 물질 층에 이방성 에칭 프로세스를 수행하는 단계를 포함할 수 있다. 일부 실시예에서, 이방성 에칭 프로세스는 불소 함유 가스 또는 염소 함유 가스 등의 적합한 에칭제를 사용하는 건식 에칭을 포함한다.Referring to block 204 of FIGS. 2A and 3A, method 200 proceeds with an operation to form gate stack 114 on fin 106. The formation of the gate stack 114 includes deposition and patterning steps, such as depositing a gate dielectric layer, depositing gate electrode material (s), and patterning the deposited gate material to form a gate stack. Includes. In some embodiments, operation 204 forms a dummy gate stack comprising polysilicon, and the dummy gate stack is replaced with a metal gate stack after formation of the source and drain. For example, the dummy gate stack is formed by a film forming and patterning process, and the patterning process further includes a lithography process and etching. In one embodiment, the procedure for forming the dummy gate stack comprises forming a thermal oxidation layer on the fin by thermal oxidation; Depositing a poly-silicon layer by CVD; Forming a mask layer patterned by a photolithography process; And performing an etching process on the deposited dummy gate material. The patterned mask layer includes openings to define areas of the dummy gate stack. The patterned mask layer is a soft mask (such as a photoresist layer) or a hard mask (silicon oxide, silicon nitride, or a combination thereof) formed by a similar process to form a hard mask for isolation features 104 during operation 202. Combination, etc.). Operation 204 also includes forming a gate spacer 122 on the sidewall of the gate stack. The gate spacer 122 includes one or more dielectric materials, such as silicon oxide, silicon nitride, or combinations thereof. The formation of the gate spacer 122 includes depositing one or more layers of dielectric material on the dummy gate stack; And performing an anisotropic etching process on the dielectric material layer. In some embodiments, the anisotropic etching process includes dry etching using a suitable etchant such as fluorine-containing gas or chlorine-containing gas.

도 2a 및 도 3a의 블록(206)을 참조하면, 방법(200)은 핀(106) 상에 소스(128) 및 드레인(126)을 형성하기 위한 동작을 포함한다. 소스 및 드레인은 게이트 스택 아래에 놓인 채널(124)에 의해 삽입된다. 본 실시형태에서, 소스 및 드레인은 인과 같은 n 타입 도펀트로 도핑된다. 채널(124)은 붕소와 같은 p 타입 도펀트로 도핑된다. 소스 및 드레인은 다수의 단계에 의해 형성될 수 있다.Referring to block 206 of FIGS. 2A and 3A, method 200 includes an operation for forming source 128 and drain 126 on fin 106. The source and drain are inserted by a channel 124 lying beneath the gate stack. In this embodiment, the source and drain are doped with an n-type dopant such as phosphorus. Channel 124 is doped with a p-type dopant such as boron. The source and drain can be formed by a number of steps.

일부 실시형태에서, 소스 및 드레인은 에피택셜 소스 및 드레인이다. 에피택셜 소스 및 드레인은 향상된 캐리어 이동도 및 디바이스 성능을 갖는 변형 효과를 위한 선택적 에피택셜 성장에 의해 형성될 수 있다. 소스 및 드레인은 하나 이상의 에피택셜 성장 단계에 의해 형성되고, 실리콘(Si) 피쳐, 실리콘 게르마늄(SiGe) 피쳐, 실리콘 탄화물(SiC) 피쳐, 및/또는 다른 적합한 반도체 피쳐는 소스 및 드레인 영역(패턴화된 하드 마스크에 의해 규정됨) 내의 핀 상에 결정 상태로 성장된다. 대안적 실시형태에서, 에피택시 성장 전에 소스 및 드레인 영역 내의 활성 영역(106)의 부분을 리세싱하기 위해 에칭 프로세스가 적용된다. 에칭 프로세스는 또한 예컨대 게이트 측벽 피쳐의 형성 중에 소스/드레인 영역 상에 배치된 임의의 유전체 물질을 제거할 수 있다. 적합한 에피택셜 성장 프로세스는 CVD(chemical vapor deposition) 증착 기술[예컨대, VPE(vapor-phase epitaxy) 및/또는 UHV-CVD(ultra-high vacuum CVD)], 분자선 에피택셜법(molecular beam epitaxy), 및/또는 다른 적합한 프로세스를 포함한다. 소스 및 드레인은 인 또는 비소 함유 가스(또는 대안적으로 FET가 pFET인 경우에 p 타입 도펀트 함유 가스(예컨대, 붕소 또는 BF2 함유 가스)와 같은 에피택셜 전구체 내에 도펀트 함유 가스를 포함시킴으로써 에피택시 프로세스 중에 인 시투 도핑될(in-situ doped) 수 있다. 소스 및 드레인이 인 시투 도핑되지 않으면, 소스 및 드레인에 대응하는 도펀트를 도입하기 위해 주입 프로세스가 수행될 수 있다. 일부 다른 실시형태에서, 하나 이상의 반도체 물질 층으로 에피택셜 성장에 의해 상승된 소스 및 드레인이 형성된다. 일부 실시예에서, nFET의 소스 및 드레인을 형성하기 위해 핀(106) 상에 실리콘 층 또는 실리콘 탄화물이 에피책셜 성장되고, 또는 대안적으로 실리콘 게르마늄 층이 pFET의 소스 및 드레인을 형성하기 위해 핀(106) 상에 실리콘 게르마늄 층이 에피택셜 성장된다.In some embodiments, the source and drain are epitaxial source and drain. The epitaxial source and drain can be formed by selective epitaxial growth for a deformation effect with improved carrier mobility and device performance. The source and drain are formed by one or more epitaxial growth steps, and the silicon (Si) feature, silicon germanium (SiGe) feature, silicon carbide (SiC) feature, and / or other suitable semiconductor features may include source and drain regions (patterned Grown in a crystalline state on the pins within the defined hard mask). In an alternative embodiment, an etching process is applied to recess portions of the active regions 106 in the source and drain regions prior to epitaxy growth. The etching process can also remove any dielectric material disposed on the source / drain regions, such as during the formation of gate sidewall features. Suitable epitaxial growth processes include chemical vapor deposition (CVD) deposition techniques (e.g., vapor-phase epitaxy (VPE) and / or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and And / or other suitable processes. Sources and drains are formed during the epitaxy process by incorporating a dopant-containing gas into an epitaxial precursor, such as a phosphorus or arsenic-containing gas (or alternatively a p-type dopant-containing gas (e.g., boron or BF2 containing gas) if the FET is a pFET) Can be in-situ doped If the source and drain are not in-situ doped, an implantation process can be performed to introduce a dopant corresponding to the source and drain. Elevated source and drain are formed by epitaxial growth with a layer of semiconductor material, in some embodiments, a silicon layer or silicon carbide is epitaxially grown on fin 106 to form the source and drain of the nFET, or Alternatively, a layer of silicon germanium is deposited on fin 106 to form a source and drain for the pFET. It is grown physically.

도 2a 및 도 3a의 블록(208)을 참조하면, 방법(200)은 핀(100) 상에 소스(128) 및 드레인(130)을 형성하기 위한 동작을 포함한다. ILD 층(130)은 다수의 디바이스 콤포넌트에 격리 기능을 제공하기 위해 하나 이상의 유전체 물질을 포함한다. ILD 층(130)은 실리콘 산화물, 로우 k 유전체 물질, 다른 적합한 유전체 물질, 또는 이들의 조합 등의 유전체 물질을 포함한다. 일부 실시예에서, 로우 k 유전체 물질은 FSG(fluorinated silica glass), 탄소 도핑 실리콘 산화물, 크세로겔(Xerogel), 에어로겔(Aerogel), 비정질 플루오르화 탄소(amorphous fluorinated carbon), 파릴렌(Parylene), BCB(bis-benzocyclobutenes), 폴리이미드 및/또는 열 실리콘 산화물보다 낮은 유전 상수를 가진 다른 적합한 유전체 물질을 포함한다. ILD 층(130)의 형성은 예컨대 성막 및 CMP를 포함한다. 성막은 스핀-온 코팅, CVD, 다른 적합한 성막 기술, 또는 이들의 조합을 포함할 수 있다.Referring to block 208 of FIGS. 2A and 3A, method 200 includes an operation for forming source 128 and drain 130 on fin 100. ILD layer 130 includes one or more dielectric materials to provide isolation for multiple device components. ILD layer 130 includes a dielectric material, such as silicon oxide, low k dielectric material, other suitable dielectric materials, or combinations thereof. In some embodiments, the low k dielectric material is fluorinated silica glass (FSG), carbon doped silicon oxide, Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, Bis-benzocyclobutenes (BCB), polyimide and / or other suitable dielectric material having a lower dielectric constant than thermal silicon oxide. The formation of the ILD layer 130 includes, for example, film formation and CMP. The deposition can include spin-on coating, CVD, other suitable deposition techniques, or combinations thereof.

본 실시형태에서, 동작(204)은 더미 게이트 스택을 형성하고 동작(208) 후에 금속 게이트 스택으로 교체된다. 도 2a 및 도 3a의 블록(210)을 참조하면, 방법(200)은 더미 게이트 스택을 교체하기 위해 금속 게이트 스택(114)를 형성하는 동작을 포함한다. 금속 게이트 스택의 형성은 에칭, 성막, 및 CMP를 포함한다. 금속 게이트 스택(114)은 일부 실시예에 따른 도 1d에 도시된 구조체를 가진 게이트 전극(120) 및 게이트 유전체 층(116)을 포함한다.In this embodiment, operation 204 forms a dummy gate stack and is replaced by a metal gate stack after operation 208. Referring to block 210 of FIGS. 2A and 3A, method 200 includes forming a metal gate stack 114 to replace a dummy gate stack. The formation of the metal gate stack includes etching, film formation, and CMP. The metal gate stack 114 includes a gate electrode 120 and a gate dielectric layer 116 having the structure shown in FIG. 1D in accordance with some embodiments.

도 2a 및 도 4의 블록(211)을 참조하면, 방법(200)은 게이트 스택(114) 및 ILD 층(130) 상에 캡핑 층(132)을 형성하는 동작을 포함할 수 있다. 캡핑 층(132)은 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산질화물 등의 적합한 유전체 물질을 포함한다. 캡핑 층(132)은 CVD 또는 ALD(atomic layer deposition) 등의 적합한 성막 기술에 의해 형성될 수 있다. 본 실시형태에서, 캡핑 층(132)은 게이트 스택(114) 및 ILD 층(130)의 상부 표면과 동일 평면인 하부 표면을 가진 평탄한 층이다. 일부 실시예에서, 캡핑 층(132)은 0.5 nm 내지 5 nm 범위의 두께를 갖는다. 다른 실시예에서, 캡핑 층(132)은 2 nm 내지 4 nm 범위의 두께를 갖는다.Referring to block 211 of FIGS. 2A and 4, method 200 may include forming capping layer 132 on gate stack 114 and ILD layer 130. The capping layer 132 includes a suitable dielectric material, such as silicon oxide, silicon nitride, or silicon oxynitride. The capping layer 132 may be formed by a suitable film deposition technique such as CVD or atomic layer deposition (ALD). In this embodiment, the capping layer 132 is a flat layer having a bottom surface that is coplanar with the top surface of the gate stack 114 and the ILD layer 130. In some embodiments, the capping layer 132 has a thickness in the range of 0.5 nm to 5 nm. In other embodiments, the capping layer 132 has a thickness in the range of 2 nm to 4 nm.

도 4를 참조하면, 방법(200)은 캡핑 층(132) 상에 다른 ILD 층(134)을 형성하는 동작을 포함할 수 있다. ILD 층(134)은 조성 및 형성의 관점에서 ILD 층(130)과 유사하다.Referring to FIG. 4, the method 200 may include forming another ILD layer 134 on the capping layer 132. ILD layer 134 is similar to ILD layer 130 in terms of composition and formation.

도 2a 및 도 5의 블록(212)을 참조하면, 방법(200)은 ILD 층 특히 ILD 층(130), 캡핑 층(132), 및 ILD 층(134)에 콘택트 홀(150 및 152)을 형성하는 동작을 포함한다. 콘택트 홀(150 및 152)은 각각 드레인(126) 및 소스(128)와 정렬되고 드레인(126) 및 소스(128)를 노출시킨다. 콘택트 홀의 형성은 리소그래피 프로세스를 사용하여 패턴화된 마스크를 형성하는 단계; 및 패턴화된 마스크의 개구를 통해 에칭하는 단계를 포함한다. 에칭 단계는 각각의 물질 층을 에칭하기 위한 적합한 에칭제에 의한 하나 이상의 에칭 단계를 포함할 수 있다. 본 실시형태에서, 에칭 프로세스는 건식 에칭, 습식 에칭, 또는 이들의 조합을 포함할 수 있다. 패턴화된 마스크는 소프트 마스크(포토레지스트 등) 또는 하드 마스크(충분한 에칭 선택도를 갖는 유전체 물질 층 등)가 될 수 있다.Referring to block 212 of FIGS. 2A and 5, the method 200 forms contact holes 150 and 152 in the ILD layer, particularly the ILD layer 130, the capping layer 132, and the ILD layer 134. It includes the action to do. Contact holes 150 and 152 are aligned with drain 126 and source 128, respectively, and expose drain 126 and source 128. Formation of the contact hole may include forming a patterned mask using a lithography process; And etching through the opening of the patterned mask. The etching step can include one or more etching steps with a suitable etchant for etching each layer of material. In this embodiment, the etching process may include dry etching, wet etching, or a combination thereof. The patterned mask can be a soft mask (such as photoresist) or a hard mask (such as a layer of dielectric material having sufficient etch selectivity).

방법(200)은 콘택트 홀에 유전체 물질 층(146)을 형성하는 동작(214)으로 진행된다. 본 실시형태에서, 유전체 물질 층(146)은 소스(128)를 위한 콘택트 홀(152)의 측벽 및 하부 표면 상에 형성되지만, 드레인(126)의 콘택트 홀(150)에서는 측벽 상에만 형성되며, 콘택트 홀(150)의 하부 표면에는 유전체 물질 층(146)이 없다. 동작(214)은 다수의 하부 동작을 포함하는 동작(214)의 플로우차트로서 도 2b를 참조하여 더 설명된다.Method 200 proceeds to operation 214 of forming a dielectric material layer 146 in the contact hole. In this embodiment, the dielectric material layer 146 is formed on the sidewall and bottom surface of the contact hole 152 for the source 128, but only on the sidewall in the contact hole 150 of the drain 126, There is no dielectric material layer 146 on the bottom surface of the contact hole 150. Operation 214 is further described with reference to FIG. 2B as a flowchart of operation 214 that includes multiple sub-operations.

도 2b 및 도 6의 블록(218)을 참조하면, 방법(214)은 ALD 또는 CVD 등의 적합한 성막 기술에 의해 콘택트 홀 내에 그리고 ILD 층(134) 상에 유전체 물질 층(146)을 성막하는 동작을 포함한다. 유전체 물질 층(146)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 또는 이들의 조합과 같은 적합한 유전체 물질을 포함한다. 성막은 적절한 두께를 갖도록 제어된다. 유전체 물질 층(146)은 콘택트 홀(150 및 152)의 측벽 및 하부 표면 상에 형성된다. 일부 실시예에서, 유전체 물질 층(146)은 0.5 nm 내지 5 nm 범위의 두께를 갖는다. 일부 실시예에서, 유전체 물질 층(146)은 1 nm 내지 2 nm 범위의 두께를 갖는다.Referring to block 218 of FIGS. 2B and 6, the method 214 is an operation of depositing a dielectric material layer 146 in the contact hole and on the ILD layer 134 by a suitable deposition technique such as ALD or CVD. It includes. Dielectric material layer 146 includes a suitable dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, or combinations thereof. The film formation is controlled to have an appropriate thickness. Dielectric material layer 146 is formed on the sidewalls and bottom surfaces of contact holes 150 and 152. In some embodiments, dielectric material layer 146 has a thickness in the range of 0.5 nm to 5 nm. In some embodiments, dielectric material layer 146 has a thickness ranging from 1 nm to 2 nm.

도 2b 및 도 6의 블록(220)을 참조하면, 방법(214)은 제2 콘택트 홀(152)을 커버하고 제1 콘택트 홀(150)을 언커버하도록 패턴화된 마스크(소프트 마스크 또는 하드 마스크)(162)를 형성하는 동작을 포함한다.Referring to block 220 of FIGS. 2B and 6, the method 214 covers a second contact hole 152 and a patterned mask (soft mask or hard mask) to uncover the first contact hole 150 ) 162.

도 2b 및 도 7의 블록(222)을 참조하면, 방법(214)은 에칭 마스크로서 패턴화된 마스크(162)를 사용하여 제1 콘택트 홀(150) 내의 유전체 물질 층(146)의 하부 부분을 제거하기 위해 건식 에칭 등의 이방성 에칭 프로세스를 수행하는 동작을 포함한다. 에칭 프로세스는 ILD 층(134) 상의 유전체 물질 층(146)의 일부를 제거할 수도 있다. 패턴화된 마스크(162)는 이방성 에칭 프로세스 후에 제거될 수 있다.Referring to block 222 of FIGS. 2B and 7, the method 214 uses the patterned mask 162 as an etch mask to fill the lower portion of the dielectric material layer 146 in the first contact hole 150. And performing an anisotropic etching process, such as dry etching, to remove. The etching process may remove a portion of the dielectric material layer 146 on the ILD layer 134. The patterned mask 162 can be removed after the anisotropic etching process.

도 2a 및 도 8의 블록(216)을 참조하면, 방법(200)은 각각 콘택트 홀(150 및 152) 내에 콘택트 피쳐(136 및 138)를 형성하는 동작을 포함한다. 콘택트 피쳐의 형성은 ALD, PVD, 또는 이들의 조합에 의해 콘택트 홀 내에 접착제 층(140)을 성막하는 단계; PVD, 도금, ALD, 또는 이들의 조합에 의해 콘택트 홀을 충전시키기 위해 도전성 물질(142)을 성막하는 단계; 및 ILD 층(134) 상의 도전성 물질을 제거하기 위해 CMP 프로세스를 수행하는 단계를 포함한다. 접착제 층(140)은 본 실시예에서 티타늄 필름 및 티타늄 질화물을 포함한다. 도전성 물질(142)은, 일부 실시에에 따라 텅스텐, 구리, 알루미늄, 알루미늄 구리 합금, 또는 이들을 조합을 포함한다.Referring to block 216 of FIGS. 2A and 8, the method 200 includes forming contact features 136 and 138 within contact holes 150 and 152, respectively. Formation of the contact feature comprises depositing an adhesive layer 140 in the contact hole by ALD, PVD, or a combination thereof; Depositing a conductive material 142 to fill the contact holes by PVD, plating, ALD, or a combination thereof; And performing a CMP process to remove conductive material on the ILD layer 134. The adhesive layer 140 includes a titanium film and titanium nitride in this embodiment. The conductive material 142 includes tungsten, copper, aluminum, aluminum copper alloy, or combinations thereof, in accordance with some implementations.

게이트 스택(114)에 대한 콘택트 피쳐(148)도 개별 프로세스로 형성된다. 콘택트 피쳐(148)의 형성은 게이트 스택(114)에 대한 개구로 패턴화된 마스크를 형성하는 단계; 게이트 스택에 정렬되는 콘택트 홀을 형성하기 위해 ILD를 에칭하는 단계; 접착제 층을 성막하는 단계; 콘택트 홀을 충전하기 위해 도전성 물질을 성막하는 단계; 및 CMP 프로세스를 수행하는 단계를 포함한다.Contact features 148 to gate stack 114 are also formed in a separate process. Formation of the contact feature 148 includes forming a patterned mask with an opening for the gate stack 114; Etching the ILD to form contact holes aligned with the gate stack; Depositing an adhesive layer; Depositing a conductive material to fill the contact hole; And performing a CMP process.

도 9a 내지 도 9c는 일부 다른 실시형태에 따른 방법(200)에 의해 형성되는 반도체 구조체(900)를 제공한다. 도 9a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 구조체(900)의 상면도이다. 도 9b는, 일부 실시형태에 따른, 반도체 구조체(900)의 점선 AA'를 따른 단면도이다. 도 9c는, 일부 실시형태에 따른, 반도체 구조체(900)의 점선 BB'를 따른 단면도이다. 반도체 구조체(900)는 반도체 구조체(100)와 유사하다. 유사한 피쳐의 설명은 반복되지 않는다. 또한, 반도체 구조체(900) 내의 제2 콘택트 피쳐(902)는, 도 9b에 도시된 바와 같이, 소스(128)와 정렬되고 ILD 층(130)의 부분(130A) 위에 놓인다. 소스(128)는 ILD 층(130)의 부분(130A)에 의해 콘택트 피쳐(902)로부터 분리되고 격리된다. 제2 콘택트 피쳐(902) 아래에 놓인 ILD 층(130)의 부분(130A)은 (제2 콘택트 피쳐(902) 및 소스(128)와 함께 집합적으로) 커패시터로서 기능한다. FET 및 커패시터는 함께 접속되어, RRAM, DRAM 또는 eOPT 등의 메모리 디바이스를 형성한다. 상이한 제조 스테이지에서 도 11 내지 도 14에 도시된 바와 같이 도 2의 방법(200)에 의해 다수의 피쳐들이 형성된다. 예컨대, 방법(200)은 격리 피쳐(104)를 형성하는 동작(202); 소스 및 드레인을 형성하는 동작(206); 금속 게이트 스택을 형성하는 동작(210); 콘택트 홀을 형성하는 동작(212); 유전체 물질 층(146)을 형성하는 동작(214) 등을 포함한다. 유사한 설명은 반복되지 않는다. 특히, 콘택트 홀을 형성하는 동작(212) 및 유전체 물질 층(146)을 형성하는 동작(214)은 도 10a 및 도 10b를 참조하여 상세히 설명된다.9A-9C provide a semiconductor structure 900 formed by a method 200 in accordance with some other embodiments. 9A is a top view of a semiconductor structure 900 constructed in accordance with various aspects of the present disclosure in one embodiment. 9B is a cross-sectional view along the dotted line AA 'of the semiconductor structure 900, in accordance with some embodiments. 9C is a cross-sectional view along the dotted line BB 'of the semiconductor structure 900, in accordance with some embodiments. The semiconductor structure 900 is similar to the semiconductor structure 100. Descriptions of similar features are not repeated. Also, the second contact feature 902 in the semiconductor structure 900 is aligned with the source 128 and overlaid the portion 130A of the ILD layer 130, as shown in FIG. 9B. Source 128 is isolated and isolated from contact feature 902 by portion 130A of ILD layer 130. The portion 130A of the ILD layer 130 underlying the second contact feature 902 functions as a capacitor (collectively with the second contact feature 902 and the source 128). The FETs and capacitors are connected together to form a memory device such as RRAM, DRAM or eOPT. A number of features are formed by the method 200 of FIG. 2 as shown in FIGS. 11-14 at different manufacturing stages. For example, the method 200 includes act 202 of forming an isolation feature 104; Forming a source and a drain (206); Forming a metal gate stack (210); Forming a contact hole (212); Operation 214 of forming a dielectric material layer 146, and the like. Similar explanations are not repeated. In particular, the operation 212 of forming the contact hole and the operation 214 of forming the dielectric material layer 146 are described in detail with reference to FIGS. 10A and 10B.

본 실시형태에서, 반도체 구조체(900)의 제1 콘택트 피쳐(136) 및 제2 콘택트 홀(902)은 개별 절차로 형성된다. 실시형태의 구현에서, 제2 콘택트 피쳐(902)는 게이트 콘택트 피쳐(148)를 형성하기 위한 동일한 절차로 형성된다.In this embodiment, the first contact features 136 and the second contact holes 902 of the semiconductor structure 900 are formed in separate procedures. In the implementation of the embodiment, the second contact feature 902 is formed with the same procedure for forming the gate contact feature 148.

도 10a 및 도 13을 참조하면, 방법(212)은 도 5의 콘택트 홀(150)을 형성하는 유사한 절차에 의해 제1 콘택트 홀(150)을 형성하는 동작을 포함한다. 예컨대, 동작(1002)은 패턴화된 마스크를 형성하는 단계 및 에칭 마스크로서 패턴화된 마스크로 에칭하는 단계를 포함한다. 특히, 에칭 프로세스가 제1 ILD 층(130)을 통해 에칭되도록 제어되어, 드레인(126)이 제1 콘택트 홀(150) 내에서 노출된다.Referring to FIGS. 10A and 13, the method 212 includes forming the first contact hole 150 by a similar procedure to form the contact hole 150 of FIG. 5. For example, operation 1002 includes forming a patterned mask and etching with a patterned mask as an etch mask. In particular, the etching process is controlled to be etched through the first ILD layer 130, so that the drain 126 is exposed in the first contact hole 150.

방법(212)은 또한 게이트 스택(114)의 콘택트 홀(148)을 집합적으로 형성하는 다른 절차에 의해 제2 콘택트 홀(1302)을 형성하는 동작(1004)을 포함한다. 게이트 콘택트 피쳐(148) 및 콘택트 피쳐(902)는 동일 동작(1004)에 의해 집합적으로 형성되고, 콘택트 피쳐(136)는 다른 동작(1002)에 의해 형성된다.The method 212 also includes an operation 1004 of forming the second contact hole 1302 by another procedure that collectively forms the contact hole 148 of the gate stack 114. The gate contact feature 148 and the contact feature 902 are collectively formed by the same operation 1004, and the contact feature 136 is formed by another operation 1002.

동작(1004)은 또한 콘택트 홀의 영역을 규정하는 개구로 패턴화된 마스크를 형성하는 단계; 및 소스(128) 및 게이트 스택(114)에 정렬되는 대응하는 콘택트 홀을 형성하기 위해 ILD 층에 에칭 프로세스를 수행하는 단계를 포함한다. 대응하는 콘택트 홀(여기에 도시되지 않음) 내에서 게이트 스택(114)이 노출되게 하기 위해, 에칭 프로세스는 제2 ILD 층(134) 및 캡핑 층(132)을 통해 에칭하도록 제어된다. 또한, ILD 층(130)의 부분이 제2 콘택트 홀(1302)에서 원하는 두께로 남게 하기 위해, 에칭 프로세스는 제1 ILD 층(130)을 통해 에칭하도록 제어된다. 일부 실시형태에서, 에칭 프로세스는 각각의 에칭제에 의한 다수의 에칭 단계를 포함한다. 예컨대, 제1 에칭 단계는 제2 ILD 층(134)에 적용되고 캡핑 층(132)에서 중단되고; 제2 에칭 단계는 캡핑 층(132)을 에칭하기 위해 적용되고 게이트 스택(114)에서 중단되고; 제3 에칭 단계는 제1 ILD 층(130)을 선택적으로 에칭하기 위해 적용된다. 향상된 기술 노드에서, 게이트 스택과 S/D 피쳐 사이의 높이 차로 인해, 게이트 콘택트는 S/D 피쳐의 형성과 별도로 형성된다. 방법(212)에서, 소스(128)에 대한 제2 콘택트 피쳐(902)는 추가 포토마스크 및 리소그래피 프로세스를 사용하지 않고 게이트 콘택트와 그룹핑되어서 제조 비용이 감소된다.Operation 1004 also includes forming a patterned mask with an opening defining an area of the contact hole; And performing an etching process on the ILD layer to form corresponding contact holes aligned with the source 128 and gate stack 114. The etching process is controlled to etch through the second ILD layer 134 and the capping layer 132 to expose the gate stack 114 in the corresponding contact hole (not shown here). In addition, the etching process is controlled to etch through the first ILD layer 130 so that a portion of the ILD layer 130 remains at a desired thickness in the second contact hole 1302. In some embodiments, the etching process includes multiple etching steps with each etchant. For example, the first etch step is applied to the second ILD layer 134 and stopped at the capping layer 132; A second etch step is applied to etch the capping layer 132 and is stopped at the gate stack 114; The third etch step is applied to selectively etch the first ILD layer 130. In the advanced technology node, due to the height difference between the gate stack and the S / D feature, the gate contact is formed separately from the formation of the S / D feature. In method 212, the second contact feature 902 for source 128 is grouped with the gate contact without using additional photomask and lithography processes to reduce manufacturing costs.

도 10b 및 도 13을 참조하면, 유전체 물질 층(146)을 형성하는 방법(200)의 동작(214)은 드레인(126)에 정렬되는 제1 콘택트 피쳐(136)를 형성하기 위한 절차로 제1 콘택트 홀(150)에만 적용된다. 전술한 바와 같이, 제1 콘택트 홀(150)은 패턴화된 마스크를 형성하는 단계와 에칭 단계를 더 포함하는 동작(1002)에 의해 형성된다. 동작(1002) 후에, 동작(214)은 제1 콘택트 홀(150)에 유전체 물질 층(146)을 형성하기 위해 동일 패턴화된 마스크를 사용하여 계속된다. 특히, 도 13에 도시된 바와 같이, 동작(214)은, 제1 콘택트 홀(150)에 유전체 물질 층(146)을 성막하는 하부 동작(1006); 및 콘택트 홀(150) 내에서 드레인(126)이 노출되게 하기 위해, 제1 콘택트 홀(150)의 하부 표면으로부터 유전체 물질 층(146)을 제거도록 이방성 에칭 프로세스를 수행하는 하부 동작(1008)을 포함한다. 그 이후에, 도 14에 도시된 바와 같이, 동작(216)에 의해 콘택트 피쳐(136, 902, 및 148)가 형성된다.10B and 13, operation 214 of method 200 of forming dielectric material layer 146 is a first procedure as a procedure for forming first contact feature 136 aligned with drain 126. It is applied only to the contact hole 150. As described above, the first contact hole 150 is formed by an operation 1002 further comprising forming a patterned mask and etching. After operation 1002, operation 214 continues using the same patterned mask to form dielectric material layer 146 in first contact hole 150. In particular, as shown in FIG. 13, operation 214 includes: a lower operation 1006 of depositing a dielectric material layer 146 in the first contact hole 150; And a bottom operation 1008 of performing an anisotropic etching process to remove the dielectric material layer 146 from the bottom surface of the first contact hole 150 to expose the drain 126 within the contact hole 150. Includes. Thereafter, as shown in FIG. 14, contact features 136, 902, and 148 are formed by operation 216.

도 15a 내지 도 15c는 일부 다른 실시형태에 따른 방법(200)에 의해 형성되는 반도체 구조체(1500)를 제공한다. 도 15a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 구조체(1500)의 상면도이다. 도 15b는, 일부 실시형태에 따른, 반도체 구조체(1500)의 점선 AA'를 따른 단면도이다. 도 15c는, 일부 실시형태에 따른, 반도체 구조체(1500)의 점선 BB'를 따른 단면도이다. 반도체 구조체(1500)는 반도체 구조체(100)와 유사하다. 유사한 피쳐의 설명은 반복되지 않는다. 또한, 반도체 구조체(1500) 내의 제2 콘택트 피쳐(1502)는, 소스(128)와 정렬되고 저항성 피쳐(또는 유전체 피쳐)(1504) 위에 놓인다. 소스(128)는 저항성 피쳐(1504)에 의해 콘택트 피쳐(1502)로부터 분리되고 격리된다. FET 및 저항성 피쳐(1504)는 eOPT(또는 대안적으로 RRAM)와 같은 메모리 디바이스를 형성한다. 일부 실시형태에서, 저항성 피쳐(1504)는 조성에 있어서 유전체 물질 층(146)과 상이하다. 도 17 내지 도 21에 도시된 바와 같이, 다수의 피쳐들이 방법(200)에 의해 형성된다. 예컨대, 방법(200)은 격리 피쳐(104)를 형성하는 동작(202); 소스 및 드레인을 형성하는 동작(206); 금속 게이트 스택(114)을 형성하는 동작(210); 콘택트 홀을 형성하는 동작(212); 유전체 물질 층(146)을 형성하는 동작(214) 등을 포함한다. 유사한 설명은 반복되지 않는다. 특히, 유전체 물질 층(146)을 형성하는 동작(또는 방법)(214)은, 저항성 피쳐(1504)를 형성하는 단계를 포함하고, 도 16a를 참조하여 상세히 설명된다.15A-15C provide a semiconductor structure 1500 formed by a method 200 in accordance with some other embodiments. 15A is a top view of a semiconductor structure 1500 constructed in accordance with various aspects of the present disclosure in one embodiment. 15B is a cross-sectional view along the dotted line AA 'of the semiconductor structure 1500, in accordance with some embodiments. 15C is a cross-sectional view along the dotted line BB 'of the semiconductor structure 1500, in accordance with some embodiments. The semiconductor structure 1500 is similar to the semiconductor structure 100. Descriptions of similar features are not repeated. Also, the second contact feature 1502 in the semiconductor structure 1500 is aligned with the source 128 and overlies the resistive feature (or dielectric feature) 1504. Source 128 is isolated and isolated from contact feature 1502 by resistive feature 1504. The FET and resistive feature 1504 form a memory device such as eOPT (or alternatively RRAM). In some embodiments, resistive feature 1504 differs from dielectric material layer 146 in composition. 17-21, a number of features are formed by method 200. For example, the method 200 includes act 202 of forming an isolation feature 104; Forming a source and a drain (206); Forming a metal gate stack 114 (210); Forming a contact hole (212); Operation 214 of forming a dielectric material layer 146, and the like. Similar explanations are not repeated. In particular, the operation (or method) 214 of forming the dielectric material layer 146 includes forming the resistive feature 1504 and is described in detail with reference to FIG. 16A.

도 16a 및 도 20의 블록(1602)을 참조하면, 방법(214)은 제1 콘택트 홀(150) 및 제2 콘택트 홀(152)에 유전체 물질 층(146)을 성막하는 동작을 포함한다.Referring to block 1602 of FIGS. 16A and 20, the method 214 includes depositing a dielectric material layer 146 in the first contact hole 150 and the second contact hole 152.

도 16a 및 도 20의 블록(1604)을 참조하면, 방법(214)은 콘택트 홀에 유전체 물질 층(146)의 하부 부분을 제거하기 위해 이방성 에칭 프로세스를 수행하는 동작을 포함한다.Referring to block 1604 of FIGS. 16A and 20, the method 214 includes performing an anisotropic etching process to remove the lower portion of the dielectric material layer 146 in the contact hole.

도 16a 및 도 20의 블록(1606)을 참조하면, 방법(214)은 제2 콘택트 홀(152)을 언커버하고 제1 콘택트 홀(150)을 커버하기 위해 패턴화된 마스크를 형성하는 동작을 포함한다.Referring to block 1606 of FIGS. 16A and 20, the method 214 performs an operation of uncovering the second contact hole 152 and forming a patterned mask to cover the first contact hole 150. Includes.

도 16a 및 도 20의 블록(1608)을 참조하면, 방법(214)은 제2 콘택트 홀(152)에 제2 유전체 물질 층(또는 저항성 물질 층)(1504)을 성막하는 동작을 포함한다. 제2 유전체 물질 층(1504)은 제1 유전체 물질 층(146)과 상이한 임의의 유전체 물질을 포함하고, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 하이 k 유전체 물질(금속 산화물, 금속 질화물, 또는 금속 산질화물 등), 또는 이들의 조합을 포함할 수 있다. 성막 프로세스는 CVD, ALD, 또는 다른 적합한 성막 기술을 포함할 수 있다. 성막 프로세스는 원하는 두께로 제2 유전체 물질 층(1504)을 성막하도록 제어된다.Referring to block 1608 of FIGS. 16A and 20, the method 214 includes depositing a second layer of dielectric material (or layer of resistive material) 1504 in the second contact hole 152. The second dielectric material layer 1504 includes any dielectric material different from the first dielectric material layer 146, and is a silicon oxide, silicon nitride, silicon oxynitride, high k dielectric material (metal oxide, metal nitride, or metal) Oxynitride, etc.), or a combination thereof. The deposition process can include CVD, ALD, or other suitable deposition techniques. The deposition process is controlled to deposit a second dielectric material layer 1504 to a desired thickness.

도 16a 및 도 20의 블록(1610)을 참조하면, 방법(214)은 제2 콘택트 홀(152)의 측벽으로부터 제2 유전체 물질 층(1504)을 제거하기 위해 이방성 에칭 프로세스를 수행하여 제2 콘택트 홀(152) 내의 유전체 피쳐(계속 1504로 라벨링됨)를 얻는 동작을 포함한다. 그 이후에, 동작(216)에 의해 136, 1502, 및 148을 포함하는 콘택트 피쳐가 각 콘택트 홀에 형성된다.Referring to block 1610 of FIGS. 16A and 20, the method 214 performs an anisotropic etching process to remove the second dielectric material layer 1504 from the sidewall of the second contact hole 152 to perform the second contact And obtaining a dielectric feature in the hole 152 (continued labeled 1504). Thereafter, by operation 216, contact features including 136, 1502, and 148 are formed in each contact hole.

대안적 실시형태에서, 동일 구조체를 형성하는 방법(214)이 도 16b에 제공되고 상세히 설명된다.In an alternative embodiment, a method 214 of forming the same structure is provided in FIG. 16B and described in detail.

도 16b 및 도 20을 참조하면, 방법(214)은, 제1 콘택트 홀(150) 및 제2 콘택트 홀(152)에 제2 유전체 물질 층(146)을 성막하는 동작(1602); 콘택트 홀에서 유전체 물질 층(146)의 하부 부분을 제거하기 위해 이방성 에칭 프로세스를 수행하는 동작(1604); 및 도 16a에서의 대응하는 동작과 마찬가지로, 제2 콘택트 홀(152)을 언커버하고 제1 콘택트 홀(150)을 커버하기 위해 패턴화된 마스크를 형성하는 동작(1606)을 포함한다.16B and 20, the method 214 includes an operation 1602 of depositing a second layer of dielectric material 146 in the first contact hole 150 and the second contact hole 152; Performing an anisotropic etch process (1604) to remove the lower portion of the dielectric material layer 146 in the contact hole; And an operation 1606 of uncovering the second contact hole 152 and forming a patterned mask to cover the first contact hole 150, similarly to the corresponding operation in FIG. 16A.

도 16b 및 도 20의 블록(1612)을 참조하면, 방법(214)은 제2 콘택트 홀(152)을 충전하기 위해 제2 유전체 물질 층(1504)을 성막하는 동작을 포함한다. 제2 유전체 물질 층(1504)은 제1 유전체 물질 층(146)과 상이한 임의의 유전체 물질을 포함하고, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 하이 k 유전체 물질(금속 산화물, 금속 질화물, 또는 금속 산질화물 등), 또는 이들의 조합을 포함할 수 있다. 성막 프로세스는 CVD, ALD, 스핀 온 코팅, 또는 다른 적합한 성막 기술을 포함할 수 있다. 성막 프로세스는 제2 콘택트 홀(152)을 충전한다.Referring to block 1612 of FIGS. 16B and 20, the method 214 includes depositing a second layer of dielectric material 1504 to fill the second contact hole 152. The second dielectric material layer 1504 includes any dielectric material different from the first dielectric material layer 146, and is a silicon oxide, silicon nitride, silicon oxynitride, high k dielectric material (metal oxide, metal nitride, or metal) Oxynitride, etc.), or a combination thereof. The deposition process can include CVD, ALD, spin-on coating, or other suitable deposition techniques. The deposition process fills the second contact hole 152.

도 16b 및 도 20의 블록(1614)을 참조하면, 방법(214)은 ILD 층(134)으로부터 제2 유전체 물질 층(1504)을 제거하여 상부 표면을 평탄화하기 위해 CMP 프로세스를 수행하는 동작을 포함한다.Referring to block 1614 of FIGS. 16B and 20, method 214 includes performing a CMP process to planarize the top surface by removing second dielectric material layer 1504 from ILD layer 134. do.

도 16b 및 도 20의 블록(1616)을 참조하면, 방법(214)은 제2 콘택트 홀(152) 내의 제2 유전체 물질 층(1504)을 원하는 두께까지 리세싱하기 위해 에칭 프로세스를 수행하여 제2 콘택트 홀(152) 내에 유전체 피쳐(1504)를 얻는 동작을 포함한다.Referring to block 1616 of FIGS. 16B and 20, the method 214 performs an etching process to recess the second dielectric material layer 1504 in the second contact hole 152 to a desired thickness to perform a second And obtaining dielectric feature 1504 in contact hole 152.

다른 대안적 실시형태에서, 동일 구조체를 형성하는 방법(214)이 도 16c에 제공되고 상세히 후술된다.In another alternative embodiment, a method 214 of forming the same structure is provided in FIG. 16C and described in detail below.

도 16c 및 도 20을 참조하면, 방법(214)은, 제1 콘택트 홀(150) 및 제2 콘택트 홀(152)에 유전체 물질 층(146)을 성막하는 동작(1602); 콘택트 홀에서 유전체 물질 층(146)의 하부 부분을 제거하기 위해 이방성 에칭 프로세스를 수행하는 동작(1604); 및 도 16a에서의 대응하는 동작과 마찬가지로, 제2 콘택트 홀(152)을 언커버하고 제1 콘택트 홀(150)을 커버하기 위해 패턴화된 마스크를 형성하는 동작(1606)을 포함한다.16C and 20, the method 214 includes an operation 1602 of depositing a dielectric material layer 146 in the first contact hole 150 and the second contact hole 152; Performing an anisotropic etch process (1604) to remove the lower portion of the dielectric material layer 146 in the contact hole; And an operation 1606 of uncovering the second contact hole 152 and forming a patterned mask to cover the first contact hole 150, similarly to the corresponding operation in FIG. 16A.

도 16c 및 도 20의 블록(1622)을 참조하면, 방법(214)은 제2 콘택트 홀(152)의 하부 표면 상에 제2 유전체 물질 층(1504)을 성막하는 바텀업 성막 프로세스(bottom-up deposition process)를 수행하여 제2 콘택트 홀(152) 내에 저항기(1504)를 얻는 동작을 포함한다. 바텀업 금속 성막 프로세스는 바텀업으로부터 개구를 충전하고 스텝 커버리지 이슈(step coverage issue)를 갖지 않는다. 바텀업 성막은 유리 클러스터 이온 빔(GCIB: glass-cluster ion beam), iCVD(initiated CVD), 순환 성막 에칭(CDE: cyclic-deposition-etch), 또는 다른 적합한 성막 기술을 포함할 수 있다. 일부 실시예에서, 바텀업 성막 프로세스는 순환 성막 에칭 프로세스이고, 성막 및 에칭이 동시에 구현되고, 제2 콘택트 홀(152)의 측벽 상에 성막된 것은 에칭에 의해 제거되기 때문에, 제2 유전체 물질은 하부 표면에만 성막된다.Referring to block 1622 of FIGS. 16C and 20, the method 214 is a bottom-up deposition process (bottom-up) of depositing a second layer of dielectric material 1504 on the bottom surface of the second contact hole 152. deposition process) to obtain a resistor 1504 in the second contact hole 152. The bottom-up metal deposition process fills the openings from the bottom-up and does not have a step coverage issue. Bottom-up deposition may include glass-cluster ion beam (GCIB), initiated CVD (iCVD), cyclic-deposition-etch (CDE), or other suitable deposition technique. In some embodiments, since the bottom-up deposition process is a cyclic deposition etching process, and deposition and etching are simultaneously implemented, and the deposition on the sidewalls of the second contact hole 152 is removed by etching, the second dielectric material is It is deposited only on the lower surface.

도 22a 내지 도 22c는 일부 다른 실시형태에 따른 방법(200)에 의해 형성되는 반도체 구조체(2200)를 제공한다. 도 22a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 구조체(2200)의 상면도이다. 도 22b는, 일부 실시형태에 따른, 반도체 구조체(2200)의 점선 AA'를 따른 단면도이다. 도 22c는, 일부 실시형태에 따른, 반도체 구조체(2200)의 점선 BB'를 따른 단면도이다. 반도체 구조체(2200)는 반도체 구조체(100)와 유사하다. 유사한 피쳐의 설명은 반복되지 않는다. 또한, 반도체 구조체(2200) 내의 제2 콘택트 피쳐(2202)는, 소스(128)와 정렬되고 소스(128) 상에 직접 놓인다. 제2 콘택트 피쳐(2202)는 형성 및 구조의 관점에서 제1 콘택트 피쳐(136)와 실질적으로 유사하다. 도 24 내지 도 29에 도시된 바와 같이, 다수의 피쳐들이 방법(200)에 의해 형성된다. 예컨대, 방법(200)은 격리 피쳐(104)를 형성하는 동작(202); 소스 및 드레인을 형성하는 동작(206); 금속 게이트 스택(114)을 형성하는 동작(210); 콘택트 홀을 형성하는 동작(212); 유전체 물질 층(146)을 형성하는 동작(214) 등을 포함한다. 유사한 설명은 반복되지 않는다. 특히, 유전체 물질 층(146)을 형성하는 동작(또는 방법)(214)은, 도 23을 참조하여 상세히 설명된다.22A-22C provide a semiconductor structure 2200 formed by a method 200 in accordance with some other embodiments. 22A is a top view of a semiconductor structure 2200 constructed in accordance with various aspects of the present disclosure in one embodiment. 22B is a cross-sectional view along the dotted line AA 'of the semiconductor structure 2200, in accordance with some embodiments. 22C is a cross-sectional view along the dotted line BB 'of the semiconductor structure 2200, in accordance with some embodiments. The semiconductor structure 2200 is similar to the semiconductor structure 100. Descriptions of similar features are not repeated. Also, the second contact feature 2202 in the semiconductor structure 2200 is aligned with the source 128 and lies directly on the source 128. The second contact feature 2202 is substantially similar to the first contact feature 136 in terms of formation and structure. As shown in FIGS. 24-29, multiple features are formed by method 200. For example, the method 200 includes act 202 of forming an isolation feature 104; Forming a source and a drain (206); Forming a metal gate stack 114 (210); Forming a contact hole (212); Operation 214 of forming a dielectric material layer 146, and the like. Similar explanations are not repeated. In particular, the operation (or method) 214 of forming the dielectric material layer 146 is described in detail with reference to FIG. 23.

도 23 및 도 27의 블록(218)을 참조하면, 방법(214)은 제1 콘택트 홀(150) 및 제2 콘택트 홀(152)에 유전체 물질 층(146)을 성막하는 동작을 포함한다.Referring to block 218 of FIGS. 23 and 27, the method 214 includes depositing a dielectric material layer 146 in the first contact hole 150 and the second contact hole 152.

도 23 및 도 28의 블록(2302)을 참조하면, 방법(214)은 제1 및 제2 콘택트 홀로부터 유전체 물질 층(146)의 하부 부분을 제거하기 위해 이방성 에칭 프로세스를 수행하는 동작을 포함한다. 이방성 에칭 프로세스는 또한 ILD 층(134) 상의 유전체 물질 층(146)을 제거한다.Referring to block 2302 of FIGS. 23 and 28, method 214 includes performing an anisotropic etching process to remove the lower portion of dielectric material layer 146 from the first and second contact holes. . The anisotropic etching process also removes the dielectric material layer 146 on the ILD layer 134.

도 30a 내지 도 30c는 일부 다른 실시형태에 따른 방법(200)에 의해 형성되는 반도체 구조체(3000)를 제공한다. 도 30a는 일 실시형태에서 본 개시의 다양한 양태에 따라 구성되는 반도체 구조체(3000)의 상면도이다. 도 30b는, 일부 실시형태에 따른, 반도체 구조체(3000)의 점선 AA'를 따른 단면도이다. 도 30c는, 일부 실시형태에 따른, 반도체 구조체(3000)의 점선 BB'를 따른 단면도이다. 반도체 구조체(3000)는 반도체 구조체(2200)와 유사하다. 유사한 피쳐의 설명은 반복되지 않는다. 그러나, 반도체 구조체(3000)는 게이트 스택(114)에 자기 정렬된(self-aligned) 실리사이드 피쳐(silicide feature)(3002)를 포함한다. 실리사이드 피쳐(3002)는, 후속 프로세스 중에 산화 또는 에칭 손상으로부터 게이트 스택(114)을 보호하고, 게이트 전극(120)이 높은 저항(도 1d에 도시된 것 등)을 갖는 몇몇 도전성 물질들을 포함하기 때문에, 콘택트 저항을 감소시킨다. 도 32 내지 도 38에 도시된 바와 같이, 다수의 피쳐들이 방법(200)에 의해 형성된다. 예컨대, 방법(200)은 격리 피쳐(104)를 형성하는 동작(202); 소스 및 드레인을 형성하는 동작(206); 금속 게이트 스택(114)을 형성하는 동작(210); 콘택트 홀을 형성하는 동작(212); 유전체 물질 층(146)을 형성하는 동작(214); 및 콘택트 피쳐를 형성하는 동작(216)을 포함한다. 유사한 설명은 반복되지 않는다. 특히, 게이트 스택(114)을 형성하는 동작(또는 방법)(210)은, 또한 도 31을 참조하여 상세히 설명된다.30A-30C provide a semiconductor structure 3000 formed by a method 200 in accordance with some other embodiments. 30A is a top view of a semiconductor structure 3000 constructed in accordance with various aspects of the present disclosure in one embodiment. 30B is a cross-sectional view along the dotted line AA 'of the semiconductor structure 3000, in accordance with some embodiments. 30C is a cross-sectional view along the dotted line BB 'of the semiconductor structure 3000, in accordance with some embodiments. The semiconductor structure 3000 is similar to the semiconductor structure 2200. Descriptions of similar features are not repeated. However, the semiconductor structure 3000 includes a silicide feature 3002 that is self-aligned to the gate stack 114. The silicide feature 3002 protects the gate stack 114 from oxidation or etch damage during subsequent processes, and because the gate electrode 120 includes some conductive materials with high resistance (as shown in FIG. 1D). , Reduces contact resistance. 32-38, multiple features are formed by method 200. For example, the method 200 includes act 202 of forming an isolation feature 104; Forming a source and a drain (206); Forming a metal gate stack 114 (210); Forming a contact hole (212); Forming a dielectric material layer 146 (214); And forming a contact feature (216). Similar explanations are not repeated. In particular, the operation (or method) 210 of forming the gate stack 114 is also described in detail with reference to FIG. 31.

도 31 및 도 32의 블록(3102)을 참조하면, 방법(210)은 에칭 프로세스에 의해 더미 게이트 스택을 제거하여 게이트 트렌치를 얻는 동작을 포함한다.Referring to block 3102 of FIGS. 31 and 32, method 210 includes an operation of removing a dummy gate stack by an etching process to obtain a gate trench.

도 31 및 도 32의 블록(3104)을 참조하면, 방법(210)은 도 1d에서 설명한 바와 같이, 게이트 트렌치에 다수의 게이트 물질(하이 k 유전체 물질, 워크 펑션 금속 및 충전 금속 등)을 성막하는 단계를 더 포함하는 절차에 의해 금속 게이트 스택(114)을 형성하는 동작을 포함한다.Referring to block 3104 of FIGS. 31 and 32, the method 210 deposits a number of gate materials (high k dielectric material, work function metal and filled metal, etc.) into the gate trench, as described in FIG. 1D. And forming the metal gate stack 114 by a procedure further comprising a step.

도 31 및 도 32의 블록(3106)을 참조하면, 방법(210)은 CVD 등의 적합한 방법에 의해 금속 게이트 스택 상에 실리콘 층을 성막하는 동작을 포함한다.Referring to block 3106 of FIGS. 31 and 32, method 210 includes depositing a silicon layer on a metal gate stack by a suitable method such as CVD.

도 31 및 도 32의 블록(3108)을 참조하면, 방법(210)은 게이트 전극(120) 상에 직접 실리사이드 피쳐(3002)를 형성하기 위해 실리콘 층과 금속 전극(120)을 반응시키기에 적합한 온도로 열적 어닐링 프로세스를 수행하는 동작을 포함한다. 일부 실시형태에서, 게이트 전극(120)이 다수의 금속 또는 금속 합금을 포함할 수 있기 때문에, 실리사이드 피쳐(3002)는 상이한 조성의 다수의 부분을 포함할 수 있다.Referring to block 3108 of FIGS. 31 and 32, the method 210 is a temperature suitable for reacting the metal layer 120 with the silicon layer to form the silicide feature 3002 directly on the gate electrode 120. And performing the thermal annealing process. In some embodiments, because the gate electrode 120 can include multiple metals or metal alloys, the silicide feature 3002 can include multiple portions of different compositions.

도 31 및 도 32의 블록(3110)을 참조하면, 방법(210)은 게이트 스택(114) 및 ILD 층(134)으로부터 미반응 실리콘을 선택적으로 제거하기 위해 에칭 프로세스를 수행하는 동작을 포함할 수 있다. 에칭 프로세스는 습식 에칭, 건식 에칭, 또는 이들의 조합을 포함할 수 있다. 일부 실시예에서, 에칭 프로세스는 실리콘을 선택적으로 제거하기 위해 HNO3, H2O, 및 HF를 갖는 에칭 용액(etching solution)을 사용할 수 있다.Referring to block 3110 of FIGS. 31 and 32, method 210 may include performing an etching process to selectively remove unreacted silicon from gate stack 114 and ILD layer 134. have. The etching process may include wet etching, dry etching, or a combination thereof. In some embodiments, the etching process can use an etching solution with HNO 3 , H 2 O, and HF to selectively remove silicon.

방법(200) 및 방법(200)에 의해 제조된 반도체 구조체가 다수의 실시형태로 제공된다. 방법(200)은 추가적으로 전술한 동작 이전, 도중, 이후에 다른 동작을 포함할 수 있다. 예컨대, 방법(200)은 집적회로를 형성하기 위해 소스, 드레인, 게이트 스택, 커패시터, 저항기, 또는 이들의 조합과 같은 다수의 피쳐들을 전기적으로 연결하는 상호접속 구조체를 형성하는 동작을 더 포함할 수 있다. 일부 실시예에서, 집적회로는 eOTP, RRAM, DRAM, 또는 이들의 조합과 같은 메모리 디바이스를 포함한다. 일부 실시형태에 대한 상기 설명에서, FET의 구조를 더 잘 이해하기 위해 소스(128) 및 드레인(126)이 특징적으로 그리고 구별되어 설명되었고, 또는 S/D 피쳐 중 하나를 가진 메모리 디바이스는 정렬된 콘택트 피쳐에 접속되고, S/D 피쳐 중 다른 하나는 정렬된 콘택트 피쳐로부터 분리된다. 그러나, 소스와 드레인은 다른 실시형태에 따라 교환될(swapped) 수 있다. 다른 실시형태에서, 반도체 구조체(300) 내의 금속 게이트 스택(114)에 자기 정렬된 실리사이드 피쳐(3002)는 반도체 구조체(100), 반도체 구조체(900), 반도체 구조체(1500), 및 반도체 구조체(2200)에 형성될 수도 있다.Method 200 and semiconductor structures fabricated by method 200 are provided in a number of embodiments. Method 200 may additionally include other actions before, during, and after the above-described actions. For example, method 200 may further include forming an interconnect structure that electrically connects multiple features, such as a source, drain, gate stack, capacitor, resistor, or combination thereof, to form an integrated circuit. have. In some embodiments, integrated circuits include memory devices such as eOTPs, RRAMs, DRAMs, or combinations thereof. In the above description of some embodiments, to better understand the structure of the FET, source 128 and drain 126 have been described characteristically and distinctly, or the memory device with one of the S / D features is aligned. Connected to the contact feature, the other of the S / D features is separated from the aligned contact feature. However, the source and drain can be swapped according to other embodiments. In another embodiment, the silicide feature 3002 self-aligned to the metal gate stack 114 in the semiconductor structure 300 includes a semiconductor structure 100, a semiconductor structure 900, a semiconductor structure 1500, and a semiconductor structure 2200. ).

본 개시는 다수의 실시형태로 반도체 구조체 및 반도체 구조체의 제조 방법을 제공한다. 반도체 구조체는, 콘택트 피쳐가 그 내부에 형성되기 전에 콘택트 홀에 유전체 물질이 성막되는 FET를 포함한다. 일부 실시형태에서, 유전체 물질 층은 콘택트 피쳐와 아래에 놓인 소스(또는 대안적으로 드레인) 사이로 연장되고, 커패시터(또는 저항기)로서 기능한다. 일부 실시형태에서, FET 및 커패시터는 RRAM 또는 DRAM 또는 eOTP 등의 메모리 디바이스를 형성한다. 또한, 콘택트 홀 내의 유전체 물질 층은 감소된 누설로 게이트와 소스/드레인 피쳐 사이에 격리를 제공한다. 일부 실시형태에서, 반도체 구조체는 금속 게이트 스택 상에 있고 게이트 전극과 정렬되는 실리사이드 피쳐를 형성하는 방법을 포함한다. 다양한 실시형태에서 개시된 방법을 구현함으로써, 이하에서 설명되는 장점들 중 일부가 나타날 수 있다. 그러나, 본 명세서에 개시된 상이한 실시형태는 상이한 장점을 제공하며 모든 실시형태에서 반드시 특별한 장점이 요구되지 않는다는 것이 이해된다. 일 실시예에서, 성막에 의해 콘택트 홀 내에 유전체 물질 층(146)이 형성되고, 유전체 물질 층(146)의 두께는 성막 프로세스에 의해 제어된다. 따라서, 에칭보다는 성막에 의해 두께가 더 정밀하게 제어될 수 있기 때문에, 유전체 물질 층의 전기 파라미터(커패시턴스 또는 저항 등)가 더 정밀하게 제어될 수 있다. 다른 실시예에서, 유전체 물질 층(146)은 콘택트 홀(들)의 측벽 상에 배치되고, 소스/드레인 피쳐(들)와 게이트 스택 사이에 격리를 제공하여 누설을 방지한다. 또한, 프로세스는 구현이 용이하고 7 nm 기술 노드와 같은 향상된 기술 노드와 더 호환 가능하다.The present disclosure provides a semiconductor structure and a method of manufacturing the semiconductor structure in a number of embodiments. The semiconductor structure includes a FET in which a dielectric material is deposited in a contact hole before contact features are formed therein. In some embodiments, the layer of dielectric material extends between the contact feature and the underlying source (or alternatively drain) and functions as a capacitor (or resistor). In some embodiments, FETs and capacitors form memory devices such as RRAM or DRAM or eOTP. In addition, a layer of dielectric material in the contact hole provides isolation between the gate and source / drain features with reduced leakage. In some embodiments, a semiconductor structure includes a method of forming a silicide feature that is on a metal gate stack and is aligned with a gate electrode. By implementing the methods disclosed in various embodiments, some of the advantages described below may appear. However, it is understood that the different embodiments disclosed herein provide different advantages and that particular advantages are not necessarily required in all embodiments. In one embodiment, a layer of dielectric material 146 is formed in the contact hole by deposition, and the thickness of the dielectric material layer 146 is controlled by the deposition process. Thus, since the thickness can be more precisely controlled by film formation than etching, the electrical parameters (such as capacitance or resistance) of the dielectric material layer can be more precisely controlled. In another embodiment, a layer of dielectric material 146 is disposed on the sidewall of the contact hole (s) and provides isolation between the source / drain feature (s) and the gate stack to prevent leakage. In addition, the process is easy to implement and is more compatible with advanced technology nodes such as 7 nm technology nodes.

따라서, 본 개시는 일부 실시형태에 따라 집적회로를 제조하는 방법을 제공한다. 방법은, 반도체 기판의 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 성막하는 단계; 각각 소스 및 드레인과 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 ILD 층을 패터닝하는 단계; 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 각각 제1 콘택트 홀 및 제2 콘택트 홀 내에 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.Accordingly, the present disclosure provides a method of manufacturing an integrated circuit in accordance with some embodiments. The method includes forming a source and a drain on a fin active region of a semiconductor substrate; Depositing an interlayer dielectric (ILD) layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

본 개시는 다른 실시형태에 따라 집적회로를 제조하는 방법을 제공한다. 방법은, 반도체 기판의 핀 활성 영역 상에 금속 게이트 스택을 형성하는 단계; 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 금속 게이트 스택 상에 자기 정렬된 실리사이드 층을 형성하는 단계; 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 형성하는 단계; 각각 소스 및 드레인과 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 ILD 층을 패터닝하는 단계; 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 각각 제1 콘택트 홀 및 제2 콘택트 홀 내에 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.The present disclosure provides a method of manufacturing an integrated circuit according to another embodiment. The method includes forming a metal gate stack on a fin active region of a semiconductor substrate; Forming a source and a drain on the fin active region; Forming a self-aligned silicide layer on the metal gate stack; Forming an interlayer dielectric (ILD) layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

본 개시는 일부 실시형태에 따른 집적회로를 제공한다. IC 구조체는, 기판 상의 핀 활성 영역; 핀 활성 영역 상의 금속 게이트 스택; 핀 활성 영역 상의 소스 및 드레인(소스와 드레인 사이에 금속 게이트 스택이 개재됨); 소스 및 드레인 상에 배치된 ILD(interlayer dielectric) 층; ILD 층 내에 형성되고 각각 소스 및 드레인 상에 정렬된 제1 도전성 피쳐 및 제2 도전성 피쳐; 및 제1 도전성 피쳐와 제2 도전성 피쳐를 둘러싸는 유전체 물질 층을 포함한다. 유전체 물질 층은, 제1 도전성 피쳐의 하부 표면으로 연속적으로 연장되고 제1 도전성 피쳐와 소스 사이에 개재되는 부분을 포함한다. 제2 도전성 피쳐는 드레인에 직접 접촉한다.The present disclosure provides an integrated circuit in accordance with some embodiments. The IC structure includes a fin active region on a substrate; A metal gate stack on the fin active region; Source and drain on the fin active region (a metal gate stack is interposed between the source and drain); An interlayer dielectric (ILD) layer disposed on the source and drain; First conductive features and second conductive features formed in the ILD layer and aligned on the source and drain, respectively; And a layer of dielectric material surrounding the first conductive feature and the second conductive feature. The dielectric material layer includes a portion that extends continuously to the lower surface of the first conductive feature and is interposed between the first conductive feature and the source. The second conductive feature is in direct contact with the drain.

1) 본 발명의 실시형태에 따른 집적회로의 제조 방법은, 반도체 기판의 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 상기 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 성막하는 단계; 상기 소스 및 드레인에 각각 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 상기 ILD 층을 패터닝하는 단계; 상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 각각 제1 도전성 피쳐(conductive feature) 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.1) A method of manufacturing an integrated circuit according to an embodiment of the present invention includes forming a source and a drain on a fin active region of a semiconductor substrate; Depositing an interlayer dielectric (ILD) layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

2) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 제1 도전성 피쳐는 상기 유전체 물질 층에 의해 상기 소스로부터 분리된다.2) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, the first conductive feature is separated from the source by the layer of dielectric material.

3) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 유전체 물질 층을 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 소스 바로 위에 상기 유전체 물질 층을 성막하는 단계를 포함하고, 상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 유전체 물질 층 바로 위에 상기 제1 도전성 피쳐를 형성하는 단계를 포함한다.3) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, the step of forming the dielectric material layer includes depositing the dielectric material layer directly above the source in the first contact hole. The step of forming the first conductive feature and the second conductive feature includes forming the first conductive feature directly above the layer of dielectric material in the first contact hole.

4) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는, 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 접착제 층을 성막하는 단계; 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내의 상기 접착제 층 상에 도전성 물질을 충전하는 단계; 및 상기 ILD 층 상의 상기 도전성 물질의 초과 부분을 제거하기 위해 화학적 기계적 연마를 수행하는 단계를 포함한다.4) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, the step of forming the first conductive feature and the second conductive feature comprises depositing an adhesive layer in the first contact hole and the second contact hole. step; Filling a conductive material on the adhesive layer in the first contact hole and the second contact hole; And performing chemical mechanical polishing to remove excess portions of the conductive material on the ILD layer.

5) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 핀 활성 영역 상에 있고 상기 소스와 상기 드레인 사이에 개재되는 게이트 스택을 형성하는 단계를 더 포함하고, 상기 게이트 스택, 상기 소스, 및 상기 드레인은 전계 효과 트랜지스터를 구성한다.5) A method of manufacturing an integrated circuit according to an embodiment of the present invention, further comprising forming a gate stack on the fin active region and interposed between the source and the drain, wherein the gate stack, the source , And the drain constitute a field effect transistor.

6) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 유전체 물질 층은 실리콘 산화물, 실리콘 질화물, 및 실리콘 산질화물 중 하나를 포함한다.6) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, the dielectric material layer includes one of silicon oxide, silicon nitride, and silicon oxynitride.

7) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 접착제 층은 티타늄 필름 및 티타늄 질화물 필름을 포함하고, 상기 도전성 물질은 텅스텐, 구리, 알루미늄, 및 이들의 조합 중 하나를 포함한다.7) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, the adhesive layer includes a titanium film and a titanium nitride film, and the conductive material includes one of tungsten, copper, aluminum, and combinations thereof. .

8) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 소스 및 드레인 상의 상기 ILD 층의 성막 전에 상기 게이트 스택 및 상기 ILD 층 상에 유전체 캡핑 층을 형성하는 단계를 더 포함한다.8) A method of manufacturing an integrated circuit according to an embodiment of the present invention, further comprising forming a dielectric capping layer on the gate stack and the ILD layer prior to deposition of the ILD layer on the source and drain.

9) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계는, 상기 제1 콘택트 홀 내에 유전체 물질 층을 성막하는 단계; 및 상기 제1 콘택트 홀 내의 상기 유전체 물질 층의 하부 부분을 제거하여 상기 소스가 노출되도록 이방성 에칭 프로세스를 수행하는 단계를 포함한다.9) In a method of manufacturing an integrated circuit according to an embodiment of the present invention, forming a dielectric material layer in the first contact hole may include: depositing a dielectric material layer in the first contact hole; And removing an lower portion of the layer of dielectric material in the first contact hole to perform an anisotropic etching process to expose the source.

10) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 제1 콘택트 홀 내에 유전체 피쳐를 형성하는 단계를 더 포함하고, 상기 유전체 피쳐는 상기 소스와 직접 접촉하는 하부 표면 및 상기 제1 유전체 물질 층과 직접 접촉하는 측벽을 갖는다.10) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, further comprising forming a dielectric feature in the first contact hole, the dielectric feature comprising a lower surface and the first surface in direct contact with the source. It has sidewalls in direct contact with the dielectric material layer.

11) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 제1 콘택트 홀 내에 유전체 피쳐를 형성하는 단계는 바텀업 성막(bottom-up deposition)을 수행하는 단계를 포함하고, 상기 유전체 피쳐는 상기 유전체 물질 층과 상이한 유전체 물질을 포함한다.11) In the method of manufacturing an integrated circuit according to an embodiment of the present invention, forming a dielectric feature in the first contact hole includes performing bottom-up deposition, and the dielectric feature Includes a dielectric material different from the dielectric material layer.

12) 본 발명의 실시형태에 따른 집적회로의 제조 방법에 있어서, 상기 핀 활성 영역 상에 금속 전극을 포함하는 게이트 스택을 형성하는 단계; 상기 금속 전극 상에 실리콘 층을 성막하는 단계; 상기 금속 전극의 금속과 상기 실리콘 층을 반응시켜서 상기 금속 전극 상에 실리사이드 층(silicide layer)을 형성하기 위해 어닐링하는 단계; 및 미반응 실리콘을 제거하기 위해 에칭하는 단계를 더 포함한다.12) A method of manufacturing an integrated circuit according to an embodiment of the present invention, comprising: forming a gate stack comprising a metal electrode on the fin active region; Depositing a silicon layer on the metal electrode; Annealing to react the metal of the metal electrode with the silicon layer to form a silicide layer on the metal electrode; And etching to remove unreacted silicon.

13) 본 발명의 다른 실시형태에 따른 방법은, 반도체 기판의 핀 활성 영역 상에 금속 게이트 스택을 형성하는 단계; 상기 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계; 상기 금속 게이트 스택 상에 자기 정렬된(self-aligned) 실리사이드 층을 형성하는 단계; 상기 소스 및 드레인 상에 ILD 층을 형성하는 단계; 각각 상기 소스 및 드레인과 정렬된 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 상기 ILD 층을 패터닝하는 단계; 상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계; 및 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀에 각각 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계를 포함한다.13) A method according to another embodiment of the present invention includes forming a metal gate stack on a fin active region of a semiconductor substrate; Forming a source and a drain on the fin active region; Forming a self-aligned silicide layer on the metal gate stack; Forming an ILD layer on the source and drain; Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively; Forming a layer of dielectric material in the first contact hole; And forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.

14) 본 발명의 다른 실시형태에 따른 방법에 있어서, 상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 소소 바로 위에 상기 유전체 물질 층을 성막하는 단계를 포함하고, 상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 유전체 물질 층 상에 상기 제1 도전성 피쳐를 형성하는 단계를 포함하고, 상기 제1 도전성 피쳐는 상기 유전체 물질 층에 의해 상기 소스로부터 분리된다.14) In a method according to another embodiment of the present invention, forming a layer of dielectric material in the first contact hole comprises depositing the layer of dielectric material directly above the element in the first contact hole, The forming of the first conductive feature and the second conductive feature includes forming the first conductive feature on the layer of dielectric material in the first contact hole, wherein the first conductive feature is the layer of dielectric material Separated from the source.

15) 본 발명의 다른 실시형태에 따른 방법에 있어서, 상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계는, 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 상기 유전체 물질 층을 성막하는 단계; 및 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀의 하부 표면으로부터 상기 유전체 물질 층의 부분을 제거하는 단계를 포함한다.15) In a method according to another embodiment of the present invention, forming a dielectric material layer in the first contact hole may include depositing the dielectric material layer in the first contact hole and the second contact hole; And removing a portion of the layer of dielectric material from the bottom surfaces of the first contact hole and the second contact hole.

16) 본 발명의 다른 실시형태에 따른 방법은, 상기 제1 콘택트 홀 내에 저항성 물질 층을 형성하는 단계를 더 포함하고, 상기 저항성 물질 층은 상기 유전체 물질 층에 의해 둘러싸이고 상기 소스 바로 위에 놓여 있고, 상기 제1 도전성 피쳐는 상기 저항성 물질 층 바로 위에 놓여 있다.16) The method according to another embodiment of the present invention further comprises forming a layer of resistive material in the first contact hole, the layer of resistive material being surrounded by the layer of dielectric material and overlying the source. , The first conductive feature lies directly above the layer of resistive material.

17) 본 발명의 다른 실시형태에 따른 방법은, 상기 제1 콘택트 홀을 커버하기 위해 상기 유전체 물질 층 상에 패턴화된 마스크 층을 형성하는 단계; 및 상기 제2 콘택트 홀 내의 상기 유전체 물질 층의 하부 부분을 제거하기 위해 에칭 프로세스를 수행하는 단계를 더 포함한다.17) A method according to another embodiment of the present invention includes forming a patterned mask layer on the dielectric material layer to cover the first contact hole; And performing an etching process to remove the lower portion of the layer of dielectric material in the second contact hole.

18) 본 발명의 다른 실시형태에 따른 방법에 있어서, 상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는, 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 접착제 층을 성막하는 단계; 상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내의 상기 접착제 층 상에 도전성 물질을 충전하는 단계; 및 상기 ILD 층 상의 상기 도전성 물질의 초과 부분을 제거하기 위해 화학적 기계적 연마를 수행하는 단계를 포함한다.18) In a method according to another embodiment of the present invention, forming the first conductive feature and the second conductive feature includes: depositing an adhesive layer in the first contact hole and the second contact hole; Filling a conductive material on the adhesive layer in the first contact hole and the second contact hole; And performing chemical mechanical polishing to remove excess portions of the conductive material on the ILD layer.

19) 본 발명의 다른 실시형태에 따른 방법에 있어서, 상기 금속 게이트 스택 상에 자기 정렬된 실리사이드 층을 형성하는 단계는, 상기 금속 게이트 스택 상에 실리콘 층을 성막하는 단계; 상기 금속 게이트 스택과 상기 실리콘 층을 반응시켜서 상기 금속 게이트 스택 상에 실리사이드 층을 형성하기 위해 어닐링하는 단계; 및 미반응 실리콘을 제거하기 위해 에칭하는 단계를 포함한다.19) In a method according to another embodiment of the present invention, forming a self-aligned silicide layer on the metal gate stack comprises: depositing a silicon layer on the metal gate stack; Reacting the metal gate stack and the silicon layer to anneal to form a silicide layer on the metal gate stack; And etching to remove unreacted silicon.

20) 본 발명의 또 다른 실시형태에 따른 집적회로(IC) 구조체는, 기판 상의 핀 활성 영역; 상기 핀 활성 영역 상의 금속 게이트 스택; 상기 핀 활성 영역 상의 소스 및 드레인으로서, 상기 소스와 상기 드레인 사이에 상기 금속 게이트 스택이 개재되어 있는, 상기 소스 및 드레인; 상기 소스 및 상기 드레인 상에 배치된 ILD 층; 상기 ILD 층 내에 형성되고 상기 소스 및 드레인 상에 각각 정렬되는 제1 도전성 피쳐 및 제2 도전성 피쳐; 및 상기 제1 도전성 피쳐 및 상기 제2 도전성 피쳐를 둘러싸는 유전체 물질 층을 포함하고, 상기 유전체 물질 층은, 상기 제1 도전성 피쳐의 하부 표면으로 연속적으로 연장되고, 상기 소스로부터 상기 제1 도전성 피쳐를 격리시키며, 상기 제2 도전성 피쳐는 상기 드레인에 직접 접촉한다.20) An integrated circuit (IC) structure according to another embodiment of the present invention includes a fin active region on a substrate; A metal gate stack on the fin active region; A source and a drain on the fin active region, the source and drain being interposed between the source and the drain; An ILD layer disposed on the source and the drain; First conductive features and second conductive features formed in the ILD layer and aligned on the source and drain, respectively; And a layer of dielectric material surrounding the first conductive feature and the second conductive feature, wherein the layer of dielectric material extends continuously to a lower surface of the first conductive feature, and from the source to the first conductive feature. And the second conductive feature is in direct contact with the drain.

통상의 기술자가 상세한 내용을 더 잘 이해할 수 있도록 몇가지 실시형태의 특징의 개요를 설명하였다. 여기 개시된 실시형태의 동일 목적을 수행하는 것 및/또는 동일 장점을 달성하는 것을 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 발명을 용이하게 사용할 수 있다는 것을 통상의 기술자는 인식해야 한다. 또한, 이러한 동등물은 본 발명의 사상 및 범위로부터 벗어나지 않는다는 것과 본 발명의 사상 및 범위로부터 벗어나지 않는 다양한 변경, 대체, 및 개조가 이루어질 수 있다는 것을 통상의 기술자는 인식해야 한다.Overview of features of several embodiments has been described so that those skilled in the art may better understand the details. Those skilled in the art should recognize that the present invention can be readily used as a basis for designing or modifying other processes and structures to achieve the same objectives and / or achieve the same advantages of the embodiments disclosed herein. In addition, those skilled in the art should recognize that such equivalents do not depart from the spirit and scope of the present invention and that various changes, substitutions, and modifications can be made without departing from the spirit and scope of the present invention.

Claims (10)

집적회로의 제조 방법에 있어서,
반도체 기판의 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계;
상기 소스 및 드레인 상에 ILD(interlayer dielectric) 층을 성막하는 단계;
상기 소스 및 드레인에 각각 정렬되는 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 상기 ILD 층을 패터닝하는 단계;
상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계;
상기 제1 콘택트 홀 내에 유전체 피쳐를 형성하는 단계 - 상기 유전체 피쳐는 상기 소스에 직접 접촉하는 하부 표면 및 상기 유전체 물질 층에 직접 접촉하는 측벽을 가짐 -; 및
상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 각각 제1 도전성 피쳐(conductive feature) 및 제2 도전성 피쳐를 형성하는 단계
를 포함하고,
상기 제1 콘택트 홀 내에 상기 유전체 물질 층을 형성하는 단계는,
상기 제1 콘택트 홀 내에 상기 유전체 물질 층을 성막하는 단계; 및
상기 제1 콘택트 홀 내의 상기 유전체 물질 층의 하부 부분을 제거하여 상기 소스가 노출되도록 이방성 에칭 프로세스를 수행하는 단계
를 포함하는 것인, 집적회로의 제조 방법.
In the manufacturing method of the integrated circuit,
Forming a source and a drain on the fin active region of the semiconductor substrate;
Depositing an interlayer dielectric (ILD) layer on the source and drain;
Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively;
Forming a layer of dielectric material in the first contact hole;
Forming a dielectric feature in the first contact hole, the dielectric feature having a bottom surface directly contacting the source and a sidewall directly contacting the layer of dielectric material; And
Forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.
Including,
The step of forming the dielectric material layer in the first contact hole may include:
Depositing a layer of the dielectric material in the first contact hole; And
Removing the lower portion of the layer of dielectric material in the first contact hole to perform an anisotropic etching process to expose the source
A method of manufacturing an integrated circuit that includes.
제1항에 있어서,
상기 제1 도전성 피쳐는 상기 유전체 물질 층에 의해 상기 소스로부터 분리되는 것인, 집적회로의 제조 방법.
According to claim 1,
Wherein the first conductive feature is separated from the source by the layer of dielectric material.
제2항에 있어서,
상기 유전체 물질 층을 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 소스 바로 위에 상기 유전체 물질 층을 성막하는 단계를 포함하고,
상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는 상기 제1 콘택트 홀 내의 상기 유전체 물질 층 바로 위에 상기 제1 도전성 피쳐를 형성하는 단계를 포함하는 것인, 집적회로의 제조 방법.
According to claim 2,
The step of forming the dielectric material layer includes depositing the dielectric material layer directly above the source in the first contact hole,
The forming of the first conductive feature and the second conductive feature includes forming the first conductive feature directly over the layer of dielectric material in the first contact hole.
제3항에 있어서,
상기 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계는,
상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내에 접착제 층을 성막하는 단계;
상기 제1 콘택트 홀 및 상기 제2 콘택트 홀 내의 상기 접착제 층 상에 도전성 물질을 충전하는 단계; 및
상기 ILD 층 상의 상기 도전성 물질의 초과 부분을 제거하기 위해 화학적 기계적 연마를 수행하는 단계
를 포함하는 것인, 집적회로의 제조 방법.
According to claim 3,
Forming the first conductive feature and the second conductive feature,
Depositing an adhesive layer in the first contact hole and the second contact hole;
Filling a conductive material on the adhesive layer in the first contact hole and the second contact hole; And
Performing chemical mechanical polishing to remove excess portions of the conductive material on the ILD layer
A method of manufacturing an integrated circuit that includes.
제4항에 있어서,
상기 핀 활성 영역 상에 있고 상기 소스와 상기 드레인 사이에 개재되는 게이트 스택을 형성하는 단계를 더 포함하고, 상기 게이트 스택, 상기 소스, 및 상기 드레인은 전계 효과 트랜지스터를 구성하는 것인, 집적회로의 제조 방법.
According to claim 4,
And forming a gate stack on the fin active region and interposed between the source and the drain, wherein the gate stack, the source, and the drain constitute a field effect transistor. Manufacturing method.
제5항에 있어서,
상기 소스 및 드레인 상의 상기 ILD 층의 성막 전에 상기 게이트 스택 및 상기 ILD 층 상에 유전체 캡핑 층을 형성하는 단계를 더 포함하는, 집적회로의 제조 방법.
The method of claim 5,
And forming a dielectric capping layer on the gate stack and the ILD layer prior to deposition of the ILD layer on the source and drain.
삭제delete 제1항에 있어서,
상기 핀 활성 영역 상에 금속 전극을 포함하는 게이트 스택을 형성하는 단계;
상기 금속 전극 상에 실리콘 층을 성막하는 단계;
상기 금속 전극의 금속과 상기 실리콘 층을 반응시켜서 상기 금속 전극 상에 실리사이드 층(silicide layer)을 형성하기 위해 어닐링하는 단계; 및
미반응 실리콘을 제거하기 위해 에칭하는 단계
를 더 포함하는, 집적회로의 제조 방법.
According to claim 1,
Forming a gate stack including a metal electrode on the fin active region;
Depositing a silicon layer on the metal electrode;
Annealing to react the metal of the metal electrode with the silicon layer to form a silicide layer on the metal electrode; And
Etching to remove unreacted silicon
The method of manufacturing an integrated circuit further comprising.
방법에 있어서,
반도체 기판의 핀 활성 영역 상에 금속 게이트 스택을 형성하는 단계;
상기 핀 활성 영역 상에 소스 및 드레인을 형성하는 단계;
상기 금속 게이트 스택 상에 자기 정렬된(self-aligned) 실리사이드 층을 형성하는 단계;
상기 소스 및 드레인 상에 ILD 층을 형성하는 단계;
각각 상기 소스 및 드레인과 정렬된 제1 콘택트 홀 및 제2 콘택트 홀을 형성하기 위해 상기 ILD 층을 패터닝하는 단계;
상기 제1 콘택트 홀 내에 유전체 물질 층을 형성하는 단계;
상기 제1 콘택트 홀 내에 유전체 피쳐를 형성하는 단계 - 상기 유전체 피쳐는 상기 소스에 직접 접촉하는 하부 표면 및 상기 유전체 물질 층에 직접 접촉하는 측벽을 가짐 -; 및
상기 제1 콘택트 홀 및 상기 제2 콘택트 홀에 각각 제1 도전성 피쳐 및 제2 도전성 피쳐를 형성하는 단계
를 포함하고,
상기 제1 콘택트 홀 내에 상기 유전체 물질 층을 형성하는 단계는,
상기 제1 콘택트 홀 내에 상기 유전체 물질 층을 성막하는 단계; 및
상기 제1 콘택트 홀 내의 상기 유전체 물질 층의 하부 부분을 제거하여 상기 소스가 노출되도록 이방성 에칭 프로세스를 수행하는 단계
를 포함하는 것인, 방법.
In the way,
Forming a metal gate stack on the fin active region of the semiconductor substrate;
Forming a source and a drain on the fin active region;
Forming a self-aligned silicide layer on the metal gate stack;
Forming an ILD layer on the source and drain;
Patterning the ILD layer to form a first contact hole and a second contact hole aligned with the source and drain, respectively;
Forming a layer of dielectric material in the first contact hole;
Forming a dielectric feature in the first contact hole, the dielectric feature having a bottom surface directly contacting the source and a sidewall directly contacting the layer of dielectric material; And
Forming a first conductive feature and a second conductive feature in the first contact hole and the second contact hole, respectively.
Including,
The step of forming the dielectric material layer in the first contact hole may include:
Depositing a layer of the dielectric material in the first contact hole; And
Removing the lower portion of the layer of dielectric material in the first contact hole to perform an anisotropic etching process to expose the source
The method comprising the.
집적회로(IC) 구조체에 있어서,
기판 상의 핀 활성 영역;
상기 핀 활성 영역 상의 금속 게이트 스택;
상기 핀 활성 영역 상의 소스 및 드레인으로서, 상기 소스와 상기 드레인 사이에 상기 금속 게이트 스택이 개재되어 있는, 상기 소스 및 드레인;
상기 소스 및 상기 드레인 상에 배치된 ILD 층;
상기 ILD 층 내에 형성되고 상기 소스 및 드레인 상에 각각 정렬되는 제1 도전성 피쳐 및 제2 도전성 피쳐; 및
상기 제1 도전성 피쳐 및 상기 제2 도전성 피쳐를 둘러싸는 유전체 물질 층
을 포함하고,
상기 유전체 물질 층은, 상기 제1 도전성 피쳐의 하부 표면으로 연속적으로 연장되고, 상기 소스로부터 상기 제1 도전성 피쳐를 격리시키며,
상기 제2 도전성 피쳐는 상기 드레인에 직접 접촉하는 것인, 집적회로(IC) 구조체.
In an integrated circuit (IC) structure,
A pin active region on the substrate;
A metal gate stack on the fin active region;
A source and a drain on the fin active region, the source and drain being interposed between the source and the drain;
An ILD layer disposed on the source and the drain;
First conductive features and second conductive features formed in the ILD layer and aligned on the source and drain, respectively; And
A layer of dielectric material surrounding the first conductive feature and the second conductive feature
Including,
The layer of dielectric material extends continuously to the bottom surface of the first conductive feature, isolates the first conductive feature from the source,
The second conductive feature is in direct contact with the drain, an integrated circuit (IC) structure.
KR1020180047214A 2017-11-30 2018-04-24 Structure with embedded memory device and contact isolation scheme KR102096458B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592810P 2017-11-30 2017-11-30
US62/592,810 2017-11-30
US15/884,711 US10366982B2 (en) 2017-11-30 2018-01-31 Structure with embedded memory device and contact isolation scheme
US15/884,711 2018-01-31

Publications (2)

Publication Number Publication Date
KR20190064378A KR20190064378A (en) 2019-06-10
KR102096458B1 true KR102096458B1 (en) 2020-04-03

Family

ID=66633537

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180047214A KR102096458B1 (en) 2017-11-30 2018-04-24 Structure with embedded memory device and contact isolation scheme

Country Status (5)

Country Link
US (2) US10366982B2 (en)
KR (1) KR102096458B1 (en)
CN (1) CN110034070B (en)
DE (1) DE102018103163B4 (en)
TW (1) TWI691072B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
CN113140565A (en) * 2020-04-28 2021-07-20 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacture

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980033877A (en) * 1996-11-02 1998-08-05 김영환 Silicide forming method and manufacturing method of semiconductor device using same
US6133139A (en) 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6764894B2 (en) 2001-08-31 2004-07-20 Ovonyx, Inc. Elevated pore phase-change memory
JP4340040B2 (en) 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6710391B2 (en) 2002-06-26 2004-03-23 Texas Instruments Incorporated Integrated DRAM process/structure using contact pillars
US7049702B2 (en) * 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US6937507B2 (en) 2003-12-05 2005-08-30 Silicon Storage Technology, Inc. Memory device and method of operating same
US7244640B2 (en) 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US20070111429A1 (en) 2005-11-14 2007-05-17 Macronix International Co., Ltd. Method of manufacturing a pipe shaped phase change memory
US7916465B2 (en) * 2006-07-07 2011-03-29 Lian Li Industrial Co., Ltd. Hard disk fixing rack
EP2062307B1 (en) 2006-08-31 2015-08-19 Imec Method for controlled formation of the resistive switching material in a resistive switching device and devices obtained thereof
KR100809330B1 (en) * 2006-09-04 2008-03-05 삼성전자주식회사 Semiconductor devices free stress of gate spacer and method for fabricating the same
US7973409B2 (en) 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
JP5086665B2 (en) * 2007-03-02 2012-11-28 株式会社東芝 Semiconductor device and manufacturing method thereof
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
EP2202816B1 (en) 2008-12-24 2012-06-20 Imec Method for manufacturing a resistive switching memory device
FR2970951B1 (en) * 2011-01-31 2013-03-01 Mezrag Mohamed Seiffeddine Bou MODULAR CONTAINER COMPRISING A PLURALITY OF AXIALLY FITTED CONTAINERS, AND PROCESS FOR OBTAINING SUCH CONTAINERS BY BLOWING A PREFORM
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8659090B2 (en) 2011-12-22 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive memory and methods for forming the same
US8796855B2 (en) 2012-01-13 2014-08-05 Freescale Semiconductor, Inc. Semiconductor devices with nonconductive vias
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9159699B2 (en) 2012-11-13 2015-10-13 Delta Electronics, Inc. Interconnection structure having a via structure
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104051511B (en) * 2013-03-14 2017-03-01 台湾积体电路制造股份有限公司 Semiconductor device and its manufacture method
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN105336672A (en) 2014-07-24 2016-02-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and formation method therefor
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US10090360B2 (en) * 2015-02-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure including a plurality of trenches
KR102290538B1 (en) * 2015-04-16 2021-08-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9842804B2 (en) * 2016-01-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
US9728485B1 (en) 2016-02-05 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnect structure having catalys layer
US9748389B1 (en) * 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy

Also Published As

Publication number Publication date
KR20190064378A (en) 2019-06-10
US20190164957A1 (en) 2019-05-30
DE102018103163B4 (en) 2023-11-02
TWI691072B (en) 2020-04-11
US20190355716A1 (en) 2019-11-21
US10366982B2 (en) 2019-07-30
CN110034070A (en) 2019-07-19
US11152355B2 (en) 2021-10-19
CN110034070B (en) 2022-10-11
TW201926683A (en) 2019-07-01
DE102018103163A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
US10978352B2 (en) FinFET device
US11749720B2 (en) Integrated circuit structure and method with solid phase diffusion
US11282750B2 (en) Contact structure and method of fabricating the same
US11784185B2 (en) Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US8609495B2 (en) Hybrid gate process for fabricating finfet device
KR102106956B1 (en) Finfet structure with controlled air gaps
US10453741B2 (en) Method for forming semiconductor device contact
US8969922B2 (en) Field effect transistors and method of forming the same
US11804547B2 (en) Gate structure and method with enhanced gate contact and threshold voltage
US11145747B2 (en) FinFET structure
US11177361B2 (en) FinFET and gate-all-around FET with selective high-k oxide deposition
US20230207320A1 (en) Integrated Circuits with Capacitors
KR102096458B1 (en) Structure with embedded memory device and contact isolation scheme
US20240021727A1 (en) Gate Structure and Method with Enhanced Gate Contact and Threshold Voltage

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right