KR102095982B1 - Substrate treating apparatus and substrate treating method - Google Patents

Substrate treating apparatus and substrate treating method Download PDF

Info

Publication number
KR102095982B1
KR102095982B1 KR1020170107029A KR20170107029A KR102095982B1 KR 102095982 B1 KR102095982 B1 KR 102095982B1 KR 1020170107029 A KR1020170107029 A KR 1020170107029A KR 20170107029 A KR20170107029 A KR 20170107029A KR 102095982 B1 KR102095982 B1 KR 102095982B1
Authority
KR
South Korea
Prior art keywords
substrate
water vapor
chamber
process gas
pressure
Prior art date
Application number
KR1020170107029A
Other languages
Korean (ko)
Other versions
KR20190021795A (en
Inventor
우재원
최희수
Original Assignee
피에스케이홀딩스 (주)
피에스케이 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피에스케이홀딩스 (주), 피에스케이 주식회사 filed Critical 피에스케이홀딩스 (주)
Priority to KR1020170107029A priority Critical patent/KR102095982B1/en
Publication of KR20190021795A publication Critical patent/KR20190021795A/en
Application granted granted Critical
Publication of KR102095982B1 publication Critical patent/KR102095982B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Abstract

본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것이다. 본 발명의 일 실시 예에 따른 기판 처리 방법은 폴리실리콘에 대한 실리콘 산화막을 선택적으로 식각하되, 기판이 제공된 챔버 내부로 수증기를 공급하여 기판의 주위에 수증기 층을 형성하는 단계와; 상기 수증기 층 형성 단계 이후에 상기 챔버 내부로 불소를 포함하는 공정 가스를 공급하여 상기 실리콘 산화막을 선택적으로 식각하는 식각 단계를 포함한다.The present invention relates to a substrate processing apparatus and a substrate processing method. A substrate processing method according to an embodiment of the present invention includes selectively etching a silicon oxide film for polysilicon, and forming water vapor layers around the substrate by supplying water vapor into a chamber provided with the substrate; And an etching step of selectively etching the silicon oxide film by supplying a process gas containing fluorine into the chamber after the water vapor layer forming step.

Description

기판 처리 장치 및 기판 처리 방법{Substrate treating apparatus and substrate treating method}Substrate treating apparatus and substrate treating method

본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a substrate processing method.

전자 제품은 그 부피가 점점 작아지면서도 고용량의 데이터 처리를 요하고 있다. 이에 따라, 이러한 전자 제품에 사용되는 반도체 메모리 장치의 집적도를 향상시킬 필요가 있다. 반도체 메모리 장치의 집적도를 향상시키기 위해, 반도체 메모리의 패턴의 선폭이 점차 좁아지고 있다.As electronic products become smaller and smaller, they require high-capacity data processing. Accordingly, there is a need to improve the degree of integration of semiconductor memory devices used in such electronic products. In order to improve the degree of integration of the semiconductor memory device, the line width of the pattern of the semiconductor memory is gradually narrowing.

반도체 메모리 소자의 제조 과정에서, 웨이퍼에는 패턴 형성을 위해 서로 상이한 물성의 층들이 형성된다. 패턴의 선폭이 좁아 짐에 따라, 높은 선택비를 가지면서 상이한 물성의 층들 가운데 일부를 식각 시킬 필요성이 증가하고 있다.In the manufacturing process of a semiconductor memory device, layers of different physical properties are formed on a wafer for pattern formation. As the line width of the pattern narrows, the need to etch some of the layers of different physical properties with high selectivity increases.

본 발명은 기판을 효율적으로 처리하는 기판 처리 장치 및 기판 처리 방법을 제공하기 위한 것이다.The present invention is to provide a substrate processing apparatus and a substrate processing method for efficiently processing a substrate.

또한, 본 발명은 선택적 식각을 효율적으로 수행할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하기 위한 것이다.In addition, the present invention is to provide a substrate processing apparatus and a substrate processing method capable of efficiently performing selective etching.

본 발명의 일 측면에 따르면, 폴리실리콘에 대한 실리콘 산화막을 선택적으로 식각하되, 기판이 제공된 챔버 내부로 수증기를 공급하여 기판의 주위에 수증기 층을 형성하는 단계와; 상기 수증기 층 형성 단계 이후에 상기 챔버 내부로 불소를 포함하는 공정 가스를 공급하여 상기 실리콘 산화막을 선택적으로 식각하는 식각 단계를 포함하는 기판 처리 방법이 제공될 수 있다.According to an aspect of the present invention, selectively etching the silicon oxide film for the polysilicon, the step of forming a water vapor layer around the substrate by supplying water vapor into the chamber provided with the substrate; A substrate processing method including an etching step of selectively etching the silicon oxide layer by supplying a process gas containing fluorine into the chamber after the water vapor layer forming step may be provided.

또한, 상기 식각 단계에서 상기 공정 가스가 공급될 때, 상기 수증기의 공급이 이루어 질 수 있다.In addition, when the process gas is supplied in the etching step, supply of the water vapor may be made.

또한, 상기 수증기 층 형성단계에서 상기 수증기는 캐리어 가스와 혼합된 상태로 공급될 수 있다.In addition, in the step of forming the water vapor layer, the water vapor may be supplied in a mixed state with a carrier gas.

또한, 상기 수증기 층이 형성되는 단계에서 상기 기판은 설정 온도로 제어될 수 있다.In addition, in the step of forming the water vapor layer, the substrate may be controlled to a set temperature.

또한, 상기 식각 단계 이 후, 상기 기판을 설정 온도로 가열하는 열처리 단계를 더 포함할 수 있다.In addition, after the etching step, a heat treatment step of heating the substrate to a set temperature may be further included.

또한, 상기 열처리는 상기 기판을 지지하는 서셉터를 가열하여 이루어 질 수 있다.In addition, the heat treatment may be performed by heating the susceptor supporting the substrate.

또한, 상기 열처리는 샤워 헤드에 위치된 히터에 의해 이루어 질 수 있다.In addition, the heat treatment may be made by a heater located in the shower head.

본 발명의 다른 측면에 따르면, 챔버; 상기 챔버의 내측에 위치되어, 기판을 지지하는 서셉터; 상기 챔버의 내부로 수증기를 공급하는 수분 공급관; 및 상기 수증기에 의해 수증기 층이 형성된 상태에서, 상기 챔버의 내부로 불소를 포함하는 공정 가스를 공급하여 폴리 실리콘에 대해 실리콘 산화막이 선택적으로 식각되게 하는 공정 가스 공급부를 포함하는 기판 처리 장치가 제공될 수 있다.According to another aspect of the invention, the chamber; A susceptor positioned inside the chamber to support a substrate; A water supply pipe supplying water vapor to the interior of the chamber; And a process gas supply unit including a process gas supply unit to selectively etch a silicon oxide film to polysilicon by supplying a process gas containing fluorine to the interior of the chamber in a state where the water vapor layer is formed by the water vapor. You can.

또한, 상기 공정 가스가 공급될 때 상기 수증기가 함께 공급되도록 상기 수분 공급관 및 상기 공정 가스 공급부를 제어하는 제어기를 더 포함할 수 있다.In addition, when the process gas is supplied may further include a controller for controlling the water supply pipe and the process gas supply so that the water vapor is supplied together.

또한, 상기 수분 공급관은 상기 수증기를 캐리어 가스와 혼합된 상태로 공급할 수 있다.In addition, the water supply pipe may supply the water vapor in a mixed state with a carrier gas.

또한, 상기 서셉터는 상기 수증기 층이 형성될 때, 상기 기판을 가열하는 가열 부재를 포함할 수 있다.In addition, the susceptor may include a heating member that heats the substrate when the water vapor layer is formed.

본 발명의 일 실시 예에 의하면, 기판을 효율적으로 처리할 수 있는 기판 처리 장치 및 기판 처리 방법이 제공될 수 있다.According to an embodiment of the present invention, a substrate processing apparatus and a substrate processing method capable of efficiently processing a substrate may be provided.

또한, 본 발명의 일 실시 예에 의하면, 선택적 식각을 효율적으로 수행할 수 있는 기판 처리 장치 및 기판 처리 방법이 제공될 수 있다.In addition, according to an embodiment of the present invention, a substrate processing apparatus and a substrate processing method capable of efficiently performing selective etching may be provided.

도 1은 본 발명의 실시 예에 따른 기판 처리 장치를 나타내는 평면도이다.
도 2는 도 1의 공정 모듈에 제공될 수 있는 식각 모듈을 나타내는 도면이다.
도 3은 기판이 처리되는 상태를 나타내는 도면이다.
도 4는 기판의 주위에 수증기 층이 형성된 상태를 나타내는 도면이다.
도 5는 챔버의 내부 압력 변화를 나타내는 도면이다.
1 is a plan view showing a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a view showing an etch module that can be provided to the process module of FIG. 1.
3 is a view showing a state in which the substrate is processed.
4 is a view showing a state in which a water vapor layer is formed around the substrate.
5 is a view showing a change in the internal pressure of the chamber.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.Hereinafter, embodiments of the present invention will be described in more detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be interpreted as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Therefore, the shape of the elements in the drawings has been exaggerated to emphasize a clearer explanation.

도 1은 본 발명의 실시 예에 따른 기판 처리 장치를 나타내는 평면도이다.1 is a plan view showing a substrate processing apparatus according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(1)는 설비 전방 단부 모듈(equipment front end module, EFEM)(20) 및 공정 처리부(30)를 가진다. 설비 전방 단부 모듈(20)과 공정 처리부(30)는 일 방향으로 배치된다. 이하, 설비 전방 단부 모듈(20)과 공정 처리부(30)가 배열된 방향을 제 1 방향(11)이라하고, 상부에서 바라볼 때 제 1 방향(11)에 수직인 방향을 제 2 방향(12)이라 한다.Referring to FIG. 1, the substrate processing apparatus 1 has an equipment front end module (EFEM) 20 and a process processing unit 30. The equipment front end module 20 and the process processing unit 30 are arranged in one direction. Hereinafter, the direction in which the equipment front end module 20 and the process processing unit 30 are arranged is referred to as a first direction 11, and a direction perpendicular to the first direction 11 when viewed from the upper direction is referred to as a second direction 12 ).

설비 전방 단부 모듈(20)은 로드 포트(load port, 10) 및 이송프레임(21)을 가진다. 로드 포트(10)는 제1방향(11)으로 설비 전방 단부 모듈(20)의 전방에 배치된다. 로드 포트(10)는 복수 개의 지지부(6)를 가진다. 각각의 지지부(6)는 제 2 방향(12)으로 일렬로 배치되며, 공정에 제공될 기판(W) 및 공정처리가 완료된 기판(W)이 수납된 캐리어(4)(예를 틀어, 카세트, FOUP등)가 위치된다. 캐리어(4)에는 공정에 제공될 기판(W) 및 공정처리가 완료된 기판(W)이 수납된다. 이송프레임(21)은 로드 포트(10)와 공정 처리실(30) 사이에 배치된다. 이송프레임(21)은 그 내부에 배치되고 로드 포트(10)와 공정 처리부(30)간에 기판(W)을 이송하는 제 1 이송로봇(25)을 포함한다. 제 1 이송로봇(25)은 제 2 방향(12)으로 구비된 이송 레일(27)을 따라 이동하여 캐리어(4)와 공정 처리실(30)간에 기판(W)을 이송한다.The equipment front end module 20 has a load port (10) and a transfer frame (21). The load port 10 is disposed in front of the equipment front end module 20 in the first direction 11. The load port 10 has a plurality of supports 6. Each support 6 is arranged in a line in the second direction 12, the carrier W (for example, a cassette, a substrate W) to be provided to the process and the substrate W, which has been processed, are accommodated (for example, a cassette, FOUP, etc.) is located. In the carrier 4, a substrate W to be provided in the process and a substrate W having been processed are stored. The transfer frame 21 is disposed between the load port 10 and the process processing chamber 30. The transfer frame 21 is disposed therein and includes a first transfer robot 25 for transferring the substrate W between the load port 10 and the process processing unit 30. The first transfer robot 25 moves along the transfer rail 27 provided in the second direction 12 to transfer the substrate W between the carrier 4 and the process processing chamber 30.

공정 처리실(30)은 로드락 챔버(40), 트랜스퍼 챔버(50), 그리고 공정 모듈(60)를 포함한다.The process processing chamber 30 includes a load lock chamber 40, a transfer chamber 50, and a process module 60.

로드락 챔버(40)는 이송프레임(21)에 인접하게 배치된다. 일 예로, 로드락 챔버(40)는 트랜스퍼 챔버(50)와 설비 전방 단부 모듈(20)사이에 배치될 수 있다. 로드락 챔버(40)는 공정에 제공될 기판(W)이 공정 모듈(60)로 이송되기 전, 또는 공정 처리가 완료된 기판(W)이 설비 전방 단부 모듈(20)로 이송되기 전 대기하는 공간을 제공한다.The load lock chamber 40 is disposed adjacent to the transfer frame 21. For example, the load lock chamber 40 may be disposed between the transfer chamber 50 and the equipment front end module 20. The load lock chamber 40 is a space to wait before the substrate W to be provided to the process is transferred to the process module 60, or before the process processed substrate W is transferred to the equipment front end module 20. Gives

트랜스퍼 챔버(50)는 로드락 챔버(40)에 인접하게 배치된다. 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 다각형의 몸체를 갖는다. 일 예로, 트랜스퍼 챔버(50)는 상부에서 바라볼 때, 오각형의 몸체를 가질 수 있다. 몸체의 외측에는 로드락 챔버(40)와 복수개의 공정 모듈(60)들이 몸체의 둘레를 따라 배치된다. 몸체의 각 측벽에는 기판(W)이 출입하는 통로(미도시)가 형성되며, 통로는 트랜스퍼 챔버(50)와 로드락 챔버(40) 또는 공정 모듈(60)들을 연결한다. 각 통로에는 통로를 개폐하여 내부를 밀폐시키는 도어(미도시)가 제공된다. 트랜스퍼 챔버(50)의 내부공간에는 로드락 챔버(40)와 공정 모듈(60)들간에 기판(W)을 이송하는 제 2 이송 로봇(53)이 배치된다. 제 2 이송 로봇(53)은 로드락 챔버(40)에서 대기하는 미처리된 기판(W)을 공정 모듈(60)로 이송하거나, 공정처리가 완료된 기판(W)을 로드락 챔버(40)로 이송한다. 그리고, 복수개의 공정 모듈(60)에 기판(W)을 순차적으로 제공하기 위하여 공정 모듈(60)간에 기판(W)을 이송할 수 있다. 도 1과 같이, 트랜스퍼 챔버(50)가 오각형의 몸체를 가질 때, 설비 전방 단부 모듈(20)과 인접한 측벽에는 로드락 챔버(40)가 각각 배치되며, 나머지 측벽에는 공정 모듈(60)들이 배치된다. 트랜스퍼 챔버(50)는 상기 형상뿐만 아니라, 요구되는 공정모듈에 따라 다양한 형태로 제공될 수 있다.The transfer chamber 50 is disposed adjacent to the load lock chamber 40. The transfer chamber 50 has a polygonal body when viewed from the top. For example, when viewed from the top, the transfer chamber 50 may have a pentagonal body. On the outside of the body, a load lock chamber 40 and a plurality of process modules 60 are arranged along the circumference of the body. A passage (not shown) through which the substrate W enters and exits is formed on each side wall of the body, and the passage connects the transfer chamber 50 and the load lock chamber 40 or the process modules 60. Each passage is provided with a door (not shown) that opens and closes the passage to seal the interior. In the interior space of the transfer chamber 50, a second transfer robot 53 for transferring the substrate W between the load lock chamber 40 and the process modules 60 is disposed. The second transfer robot 53 transfers the unprocessed substrate W waiting in the load lock chamber 40 to the process module 60, or transfers the process processed substrate W to the load lock chamber 40. do. In addition, the substrates W may be transferred between the process modules 60 in order to sequentially provide the substrates W to the plurality of process modules 60. As shown in FIG. 1, when the transfer chamber 50 has a pentagonal body, load lock chambers 40 are disposed on side walls adjacent to the front end module 20 of the equipment, and process modules 60 are disposed on the remaining side walls. do. The transfer chamber 50 may be provided in various shapes according to not only the above shape, but also a required process module.

공정 모듈(60)는 트랜스퍼 챔버(50)의 둘레를 따라 배치된다. 공정 모듈(60)는 복수 개 제공될 수 있다. 각각의 공정 모듈(60)내에서는 기판(W)에 대한 공정처리가 진행된다. 공정 모듈(60)는 제 2 이송 로봇(53)으로부터 기판(W)을 이송 받아 공정처리를 하고, 공정처리가 완료된 기판(W)을 제 2 이송 로봇(53)으로 제공한다. 각각의 공정 모듈(60)에서 진행되는 공정처리는 서로 동일하거나 상이할 수 있다. 공정 모듈(60)이 수행하는 공정은 기판(W)을 이용해 반도체 소자 또는 디스플레이 패널을 생산하는 과정 가운데 일 공정일 수 있다. 공정 모듈(60)가운데 하나 이상은 기판(W)을 식각 처리 하는 모듈(도 2의 200a)을 포함한다. 제어기(미도시)는 기판 처리 장치의 구성 요소를 제어할 수 있다. The process module 60 is disposed along the perimeter of the transfer chamber 50. A plurality of process modules 60 may be provided. In each process module 60, process processing for the substrate W is performed. The process module 60 receives the substrate W from the second transfer robot 53 to process it, and provides the substrate W on which the process is completed to the second transfer robot 53. The process processing performed in each process module 60 may be the same or different from each other. The process performed by the process module 60 may be one process in the process of producing a semiconductor device or a display panel using a substrate W. At least one of the process modules 60 includes a module for etching the substrate W (200a in FIG. 2). A controller (not shown) may control components of the substrate processing apparatus.

도 2는 도 1의 공정 모듈에 제공될 수 있는 식각 모듈을 나타내는 도면이다.FIG. 2 is a view showing an etch module that can be provided to the process module of FIG. 1.

도 2를 참조하면, 식각 모듈(200a)은 챔버(2100), 서셉터(2200), 샤워 헤드(2300) 및 플라스마 여기부(2400)를 포함한다. 식각 모듈(200a)의 구성은 제어기에 이해 제어될 수 있다.Referring to FIG. 2, the etching module 200a includes a chamber 2100, a susceptor 2200, a shower head 2300, and a plasma excitation part 2400. The configuration of the etching module 200a may be controlled by the controller.

챔버(2100)는 공정 처리가 수행되는 공간을 제공한다. 챔버(2100)는 바디(2110)와 밀폐 커버(2120)를 가진다. 바디(2110)는 상면이 개방되며 내부에 공간이 형성된다. 바디(2110)의 측벽에는 기판이 출입하는 개구(미도시)가 형성되며, 개구는 슬릿 도어(slit door)(미도시)와 같은 개폐 부재에 의해 개폐될 수 있다. 개폐 부재는 챔버(2100) 내에서 기판(W) 처리가 수행되는 동안 개구를 폐쇄하고, 기판(W)이 챔버(2100) 내부로 반입될 때와 챔버(2100) 외부로 반출될 때 개구를 개방한다. 바디(2110)의 일측에는 배기홀(2111)이 형성된다. 일 예로, 배기홀(2111)은 바디(2110)의 하부벽 또는 측벽에 형성될 수 있다.The chamber 2100 provides a space in which process processing is performed. The chamber 2100 has a body 2110 and a closed cover 2120. The body 2110 has a top surface open and a space formed therein. The sidewall of the body 2110 is formed with an opening (not shown) through which the substrate enters and exits, and the opening may be opened and closed by an opening / closing member such as a slit door (not shown). The opening / closing member closes the opening during the processing of the substrate W in the chamber 2100, and opens the opening when the substrate W is carried into the chamber 2100 and when it is carried out of the chamber 2100. do. An exhaust hole 2111 is formed at one side of the body 2110. For example, the exhaust hole 2111 may be formed on the lower wall or sidewall of the body 2110.

배기홀(2111)은 배기 라인(2112)과 연결된다. 배기 라인(2112)을 통해 챔버(2100)의 내부 압력이 조절되고, 공정에서 발생된 반응 부산물이 챔버(2100) 외부로 배출된다.The exhaust hole 2111 is connected to the exhaust line 2112. The internal pressure of the chamber 2100 is controlled through the exhaust line 2112, and reaction by-products generated in the process are discharged to the outside of the chamber 2100.

밀폐 커버(2120)는 바디(2110)의 상부벽과 결합하며, 바디(2110)의 개방된 상면을 덮어 바디(2110) 내부를 밀폐시킨다. 밀폐 커버(2120)의 상단은 플라스마 여기부(2400)와 연결된다. 밀폐 커버(2120)에는 확산공간(2121)이 형성된다. 확산공간(2121)은 샤워 헤드(2300)에 가까워질수록 너비가 점차 넓어진다. 예를 들어, 확산공간(2121)은 역 깔때기 형상을 가질 수 있다.The sealing cover 2120 is coupled to the upper wall of the body 2110, and covers the open upper surface of the body 2110 to seal the inside of the body 2110. The upper end of the hermetic cover 2120 is connected to the plasma excitation part 2400. A diffusion space 2121 is formed in the sealed cover 2120. The diffusion space 2121 gradually increases in width as it approaches the shower head 2300. For example, the diffusion space 2121 may have a reverse funnel shape.

서셉터(2200)는 챔버(2100) 내부에 위치된다. 서셉터(2200)의 상면에는 기판이 놓여진다. 서셉터(2200)의 내부에는 냉각 유체가 순환하는 냉각 유로(미도시)가 형성될 수 있다. 냉각 유체는 냉각 유로를 따라 순환하며 서셉터(2200)를 냉각한다. 서셉터(2200)에는 플라즈마에 의한 기판(W) 처리 정도를 조절하기 위해 바이어스 전원(2210)으로부터 전력이 인가될 수 있다. 바이어스 전원(2210)이 인가하는 전력은 라디오 주파수(radio frequency, RF) 전원일 수 있다. 서셉터(2200)는 바이어스 전원(2210)이 공급하는 전력에 의해 쉬즈를 형성하고, 그 영역에서 고밀도의 플라즈마를 형성하여 공정 능력을 향상시킬 수 있다.The susceptor 2200 is located inside the chamber 2100. A substrate is placed on the top surface of the susceptor 2200. A cooling passage (not shown) through which the cooling fluid circulates may be formed in the susceptor 2200. The cooling fluid circulates along the cooling flow path and cools the susceptor 2200. Power may be applied to the susceptor 2200 from the bias power supply 2210 to control the degree of processing of the substrate W by plasma. The power applied by the bias power source 2210 may be a radio frequency (RF) power source. The susceptor 2200 may form a sheath by electric power supplied by the bias power source 2210, and may form a high-density plasma in the region to improve process capability.

서셉터(2200)의 내부에는 가열 부재(2220)가 제공될 수 있다. 일 예에 의하면, 가열 부재(222)는 열선으로 제공되거나, 가열된 유체가 유동하는 배관으로 형성될 수 있다. 가열 부재(222)는 기판(W)을 기 설정된 온도 또는 기 설정도니 온도 범위로 가열한다.A heating member 2220 may be provided inside the susceptor 2200. According to an example, the heating member 222 may be provided as a hot wire or formed as a pipe through which the heated fluid flows. The heating member 222 heats the substrate W to a preset temperature or a preset temperature range.

서셉터(2200)의 내부에는 냉각 부재(2230)가 제공될 수 있다. 일 예에 의하면, 냉각 부재(2230)는 냉매가 유동하는 배관일 수 있다.A cooling member 2230 may be provided inside the susceptor 2200. According to an example, the cooling member 2230 may be a pipe through which the refrigerant flows.

샤워 헤드(2300)는 바디(2110)의 상부벽에 결합된다. 샤워 헤드(2300)는 플레이트 형상으로, 서셉터(2200)의 상면과 나란하게 배치될 수 있다. 샤워 헤드(2300)는 표면이 산화 처리된 알루미늄 재질로 제공될 수 있다. 샤워 헤드(2300)에는 분배홀(2310)들이 형성된다. 분배홀(2310)들은 균일한 라디칼 공급을 위해 동심의 원주상에 일정 간격으로 형성될 수 있다. 확산공간(2121)에서 확산된 플라스마는 분배홀(2310)들에 유입된다. 이때 전자 또는 이온 등과 같은 하전 입자는 샤워 헤드(2300)에 갇히고, 산소 라디칼 등과 같이 전하를 띄지 않는 중성 입자들은 분배홀(2310)들을 통과하여 기판(W)으로 공급된다. 또한, 샤워 헤드는 접지되어 전자 또는 이온이 이동되는 통로를 형성할 수 있다. 또한, 샤워 헤드(2300)에는 가열을 위한 히터(미도시)가 위치될 수 있다.The shower head 2300 is coupled to the upper wall of the body 2110. The shower head 2300 may have a plate shape and may be disposed in parallel with the top surface of the susceptor 2200. The shower head 2300 may be provided with an aluminum material having an oxidized surface. Distribution holes 2310 are formed in the shower head 2300. The distribution holes 2310 may be formed at regular intervals on the concentric circumference for uniform radical supply. The plasma diffused in the diffusion space 2121 flows into the distribution holes 2310. At this time, charged particles, such as electrons or ions, are trapped in the shower head 2300, and neutral particles that do not exhibit electric charges, such as oxygen radicals, pass through the distribution holes 2310 and are supplied to the substrate W. In addition, the shower head may be grounded to form a passage through which electrons or ions move. In addition, a heater (not shown) for heating may be located in the shower head 2300.

플라스마 여기부(2400)는 플라스마를 생성하여, 챔버(2100)로 공급한다. 플라스마 여기부(2400)는 챔버(2100)의 상부에 제공될 수 있다. 플라스마 여기부(2400)는 발진기(2410), 도파관(2420), 유전체 관(2430) 및 공정 가스 공급부(2440)를 포함한다.The plasma excitation unit 2400 generates plasma and supplies it to the chamber 2100. Plasma excitation 2400 may be provided on top of chamber 2100. The plasma excitation 2400 includes an oscillator 2410, a waveguide 2420, a dielectric tube 2430, and a process gas supply 2440.

발진기(2410)는 전자기파를 발생시킨다. 도파관(2420)은 발진기(2410)와 유전체 관(2430)을 연결하며, 발진기(2410)에서 발생된 전자기파가 유전체 관(2430) 내부로 전달되는 통로를 제공한다. 공정 가스 공급부(2440)는 챔버(2100)의 상부로 공정 가스를 공급한다. 유전체 관(2430) 내부로 공급된 공정 가스는 전자기파에 의해 플라스마 상태로 여기 된다. 플라스마는 유전체 관(2430)을 거쳐 확산공간(2121)으로 유입된다.The oscillator 2410 generates electromagnetic waves. The waveguide 2420 connects the oscillator 2410 and the dielectric tube 2430, and provides a passage through which electromagnetic waves generated by the oscillator 2410 are transferred into the dielectric tube 2430. The process gas supply unit 2440 supplies process gas to the upper portion of the chamber 2100. The process gas supplied into the dielectric tube 2430 is excited in a plasma state by electromagnetic waves. The plasma is introduced into the diffusion space 2121 through the dielectric tube 2430.

상술한 플라즈마 여기부(2400)는 전자기파를 이용하는 경우를 예로 들었으나, 또 다른 실시 예로, 플라즈마 여기부(2400)는 유도결합 플라즈마 여기부, 용량 결합 플라즈마 여기부 등으로 제공될 수 도 있다.The above-described plasma excitation unit 2400 has been described as an example of using electromagnetic waves, but in another embodiment, the plasma excitation unit 2400 may be provided as an inductively coupled plasma excitation unit, a capacitively coupled plasma excitation unit, or the like.

챔버(2100)의 일측에는 수분 공급관(2130)이 연결된다. 일 예로, 수분 공급관(2130)은 샤워 헤드(2300)의 위쪽에서 밀폐 커버(2120)에 연결되는 형태로 제공될 수 있다. 따라서, 수분 공급관(2130)을 통해 공급된 수분을 샤워 헤드(2300)를 거쳐 기판으로 균일하게 공급될 수 있다. 또 다른 예로, 수분 공급관(2130)은 샤워 헤드(2300)의 아래쪽에 위치되도록 바디(2110)의 측벽에 연결될 수 도 있다. 수분 공급관(2130)은 챔버(2100)의 내측 공간으로 수분을 공급한다. 수분 공급관(2130)은 수분을 설정 온도로 가열되어 기화된 증기 형태로 공급할 수 있다. 또한, 수분 공급관(2130)은 수분의 공급 효율 또는 공급 상태의 조절을 용이하게 하기 위해, 수분을 불활성 가스와 혼합한 상태로 공급할 수 있다.A water supply pipe 2130 is connected to one side of the chamber 2100. For example, the water supply pipe 2130 may be provided in a form connected to the sealing cover 2120 from the top of the shower head 2300. Accordingly, the moisture supplied through the moisture supply pipe 2130 can be uniformly supplied to the substrate through the shower head 2300. As another example, the water supply pipe 2130 may be connected to the side wall of the body 2110 so as to be located under the shower head 2300. The moisture supply pipe 2130 supplies moisture to the inner space of the chamber 2100. The moisture supply pipe 2130 may supply moisture in the form of vaporized vapor heated to a predetermined temperature. In addition, the water supply pipe 2130 may supply water in a state in which it is mixed with an inert gas in order to facilitate control of supply efficiency or supply state of water.

도 3은 기판이 처리되는 상태를 나타내는 도면이고, 도 4는 기판의 주위에 수증기 층이 형성된 상태를 나타내는 도면이고, 도 5는 챔버의 내부 압력 변화를 나타내는 도면이다.3 is a view showing a state in which the substrate is processed, FIG. 4 is a view showing a state in which a water vapor layer is formed around the substrate, and FIG. 5 is a view showing a change in the internal pressure of the chamber.

공정 처리를 위해 기판이 챔버(2100)로 반입되어, 서셉터(2200)에 위치되면, 챔버(2100)의 내부공간으로 수증기가 공급된다. When the substrate is brought into the chamber 2100 for processing, and placed in the susceptor 2200, water vapor is supplied to the interior space of the chamber 2100.

기판(W)에는 폴리실리콘 층(3100)과 식각 대상막(3120)이 형성된 상태로 제공된다. 일 예로, 기판(W)은 폴리실리콘 층(3100)의 상부에 식각 대상막(3120)인 산화막 층(3120)이 패턴을 가지고 형성되어 있을 수 있다. 따라서, 산화막 층(3120)의 상면은 외부로 노출된다. 그리고 폴리실리콘 층(3100)의 일부는 산화막 층(3120)의 패턴을 통해 외부로 노출된다.The substrate W is provided with a polysilicon layer 3100 and an etch target film 3120 formed thereon. For example, the substrate W may be formed with an oxide film layer 3120 as an etch target layer 3120 on the polysilicon layer 3100 with a pattern. Therefore, the top surface of the oxide layer 3120 is exposed to the outside. In addition, a part of the polysilicon layer 3100 is exposed to the outside through the pattern of the oxide layer 3120.

내부 공간으로 공급된 수증기는 기판의 외면에 맺혀 물 방울을 형성하지 않은 상태로 기판 주위에 수증기 층을 형성하여, 기판이 습식 처리 방식으로 처리되는 상태와 유사한 환경이 되도록 한다. 구체적으로, 수증기가 공급될 때, 챔버(2100)의 내부 공간은 대기압 보다 낮은 예비 압력(Fa)으로 조절된 상태일 수 있다. 예비 압력(Fa)은 진공에 근접하거나, 수 내지 수십 Torr 인 상태일 수 있다. 또한, 수증기가 공급될 때, 기판은 가열 부재(2220), 냉각 부재(2230), 또는 가열 부재(2220)와 냉각 부재(2230)에 의해 설정 온도 또는 설정 범위의 온도로 조절된 상태일 수 있다. 이와 같이 챔버(2100)의 내부 공간, 또는 챔버(2100)의 내부 공간과 챔버(2100)의 온도가 조절된 상태로 수증기가 공급되면, 수증기는 기판에 물방울 형태로 맺히지 않을 수 있다. 이때, 기판 주위의 수증기는 기판과 반응하여, 주로 실리콘 산화막층의 산소에 수소가 결합되는 형태의 반응이 발생한다.The water vapor supplied to the interior space is formed on the outer surface of the substrate and forms a water vapor layer around the substrate without forming water droplets, so that the substrate is in an environment similar to the state in which the substrate is processed by a wet treatment method. Specifically, when water vapor is supplied, the interior space of the chamber 2100 may be adjusted to a preliminary pressure Fa lower than atmospheric pressure. The preliminary pressure Fa may be close to the vacuum, or may be several to several tens of Torr. In addition, when water vapor is supplied, the substrate may be in a state controlled by a heating member 2220, a cooling member 2230, or a heating member 2220 and a cooling member 2230 to a set temperature or a temperature in a set range. . As described above, when water vapor is supplied while the internal space of the chamber 2100 or the internal space of the chamber 2100 and the temperature of the chamber 2100 are adjusted, water vapor may not be formed in a droplet form on the substrate. At this time, water vapor around the substrate reacts with the substrate, and a reaction in which hydrogen is mainly bonded to oxygen in the silicon oxide layer occurs.

수증기의 공급이 개시되고 설정 시간이 경과되면, 챔버(2100)의 내부 공간으로 공정 가스가 공급되어, 실리콘 산화막을 식각하는 공정이 수행된다. 공정 가스 공급부가 공정 가스를 공급하면, 공정 가스는 주로 실리콘 산화막층과 반응하여, 실리콘 산화막층을 선택적으로 식각 한다. 기판의 주위에 위치된 수증기는 기판 주위를 습식 식각 공정과 유사한 환경으로 한다. 또한, 실리콘 산화막층의 산소에 결합된 수소는, 공정 가스와 실리콘 산화막층의 반응성을 증가 시킨다. When the supply of water vapor is started and the set time has elapsed, a process gas is supplied to the interior space of the chamber 2100, and a process of etching the silicon oxide film is performed. When the process gas supply unit supplies the process gas, the process gas mainly reacts with the silicon oxide film layer to selectively etch the silicon oxide film layer. The water vapor placed around the substrate makes the environment around the substrate similar to a wet etching process. In addition, hydrogen bonded to oxygen in the silicon oxide layer increases the reactivity of the process gas and the silicon oxide layer.

공정 가스는 불소 원자를 포함하는 화합물로 제공된다. 예를 들어, 공정 가스는 삼불화 질소, 사불화탄소, 2플루오르화 메테인(difluoro methane) 또는 3플루오르화 메테인(trifluoro methane) 등으로 제공될 수 있다. 또한, 공정 가스는 삼불화 질소, 사불화탄소, 2플루오르화 메테인(difluoro methane) 및 3플루오르화 메테인(trifluoro methane) 가운데 2개 이상의 혼합 가스로 제공될 수 도 있다. 공정 가스는 플라즈마 상태로 여기된 상태로 공급되어, 기판과의 반응성이 증가될 수 있다. 공정 가스는 플라즈마로 여기되는 과정에서 불소 라디칼을 제공한다.The process gas is provided as a compound containing a fluorine atom. For example, the process gas may be provided as nitrogen trifluoride, carbon tetrafluoride, difluoro methane or trifluoro methane. Further, the process gas may be provided as a mixed gas of two or more of nitrogen trifluoride, carbon tetrafluoride, difluoro methane, and trifluoro methane. The process gas is supplied in an excited state in a plasma state, so that reactivity with the substrate can be increased. The process gas provides fluorine radicals during the process of being excited by plasma.

공정 가스가 공급되어 식각 공정이 수행될 때, 챔버(2100)의 내부는 공정 압력(Fb)으로 설정될 수 있다. 공정 가스가 공급될 때 기판의 온도는 0°~50°범위로 조절되고, 공정 압력(Fb)은 3 Torr 내지 30 Torr 범위로 조절된다. 공정 압력(Fb)은 예비 압력(Fa)과 설정 관계를 갖도록 설정될 수 있다. 공정 압력(Fb)은 예비 압력(Fa)보다 설정 압력만큼 크게 형성될 수 있다. 또한, 공정 압력(Fb)은 예비 압력(Fa)보다 설정 압력만큼 작게 설정되거나, 예비 압력(Fa)과 동일할 수 있다. 공정 가스는 챔버(2100)의 내부가 예비 압력(Fa)이 공정 압력(Fb)으로 조절된 진 후 공급이 개시되거나, 챔버(2100)의 내부가 공정 압력(Fb)으로 조절되고 설정 시간이 경과된 후 개시될 수 있다. 공정 가스가 공급될 때, 수증기는 계속 공급되는 상태일 수 있다. 이후, 설정 시간 동안 식각 공정이 수행되면, 공정 가스와 수증기의 공급은 종료될 수 있다. 이때, 공정 가스의 공급이 먼저 중단될 수 있다. 또한, 공정 가스와 수증기의 공급은 함께 중단될 수 있다.When the process gas is supplied and the etching process is performed, the interior of the chamber 2100 may be set to the process pressure (Fb). When the process gas is supplied, the temperature of the substrate is adjusted in the range of 0 ° to 50 °, and the process pressure (Fb) is adjusted in the range of 3 Torr to 30 Torr. The process pressure Fb may be set to have a set relationship with the preliminary pressure Fa. The process pressure Fb may be formed to be larger than the preliminary pressure Fa by a set pressure. In addition, the process pressure Fb may be set smaller than the preliminary pressure Fa by a set pressure, or may be the same as the preliminary pressure Fa. Supply of the process gas starts after the interior of the chamber 2100 is adjusted with the preliminary pressure Fa to the process pressure Fb, or the interior of the chamber 2100 is adjusted to the process pressure Fb and the set time has elapsed. And can be initiated. When the process gas is supplied, water vapor may be continuously supplied. Thereafter, when the etching process is performed for a set time, supply of process gas and water vapor may be terminated. At this time, the supply of process gas may be stopped first. Also, the supply of process gas and water vapor can be stopped together.

이 후, 기판은 설정 온도로 가열되어 열처리 된다. 기판이 식각 처리 된 후, 기판에는 반응 부산물이 잔류하는 상태일 수 있다. 이 와 같은 반응 부산물은 기판의 가열의 통해 기판에서 제거될 수 있다. 기판(W)은 가열은 플라즈마 모듈(200a)에서 가열 부재(2220)에 의해 수행될 수 있다. 기판(W)의 가열은 샤워 헤드(2300)에 위치된 히터에 의해 이루어 질 수도 있다.또한, 기판의 가열은 플라즈마 모듈(200a)에서 반출된 후 다른 공정 모듈에서 수행될 수 도 있다.Thereafter, the substrate is heated to a set temperature and heat-treated. After the substrate is etched, reaction by-products may remain in the substrate. Such reaction by-products can be removed from the substrate by heating the substrate. The substrate W may be heated by the heating member 2220 in the plasma module 200a. Heating of the substrate W may be performed by a heater located in the shower head 2300. In addition, heating of the substrate W may be performed in another process module after being taken out of the plasma module 200a.

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The above detailed description is to illustrate the present invention. In addition, the above-described content is to describe and describe preferred embodiments of the present invention, and the present invention can be used in various other combinations, modifications and environments. That is, it is possible to change or modify the scope of the concept of the invention disclosed herein, the scope equivalent to the disclosed contents, and / or the scope of the art or knowledge in the art. The embodiments described describe the best state for implementing the technical idea of the present invention, and various changes required in specific application fields and uses of the present invention are possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. In addition, the appended claims should be construed to include other embodiments.

10: 로드 포트 20: 설비 전방 단부 모듈
21: 이송프레임 25: 제 1 이송로봇
30: 공정 처리실 40: 로드락 챔버
50: 트랜스퍼 챔버 60: 공정 모듈
200a: 플라즈마 모듈 2100: 챔버
2110: 바디 2200: 서셉터
10: load port 20: equipment front end module
21: transfer frame 25: first transfer robot
30: process processing chamber 40: load lock chamber
50: transfer chamber 60: process module
200a: plasma module 2100: chamber
2110: body 2200: susceptor

Claims (11)

폴리실리콘에 대한 실리콘 산화막을 선택적으로 식각하되,
기판이 제공된 챔버 내부로 수증기를 공급하여 기판의 주위에 수증기 층을 형성하는 단계와;
상기 수증기 층 형성 단계 이후에 상기 챔버 내부로 불소를 포함하는 공정 가스를 플라즈마 상태로 여기된 상태로 공급하여 상기 실리콘 산화막을 선택적으로 식각하는 식각 단계를 포함하되,
상기 수증기 층을 형성하는 단계에서 상기 기판은 설정 온도로 가열되며, 상기 챔버 내부 공간은 대기압보다 낮은 예비 압력으로 제공되고,
상기 식각 단계는 상기 예비 압력보다 더 높은 공정 압력에서 수행하며,
상기 공정 압력은 3 Torr 내지 30 Torr이고,
상기 공정 가스가 공급될 때, 상기 수증기는 계속 공급되는 상태이고,
상기 수증기는 기판의 상부에 위치되는 샤워 헤드를 거쳐 상기 기판에 분배되는 것인 기판 처리 방법.
The silicon oxide film for the polysilicon is selectively etched,
Supplying water vapor into the chamber provided with the substrate to form a water vapor layer around the substrate;
After the step of forming the water vapor layer includes an etching step of selectively etching the silicon oxide film by supplying a process gas containing fluorine into the chamber in an excited state in a plasma state,
In the step of forming the water vapor layer, the substrate is heated to a set temperature, and the space inside the chamber is provided with a preliminary pressure lower than atmospheric pressure,
The etching step is performed at a process pressure higher than the preliminary pressure,
The process pressure is 3 Torr to 30 Torr,
When the process gas is supplied, the water vapor is continuously supplied,
The water vapor is distributed to the substrate through a shower head located on top of the substrate.
삭제delete 제1항에 있어서,
상기 수증기 층을 형성하는 단계에서 상기 수증기는 캐리어 가스와 혼합된 상태로 공급되는 기판 처리 방법.
According to claim 1,
In the step of forming the water vapor layer, the water vapor is supplied in a mixed state with a carrier gas.
제1항에 있어서,
상기 수증기 층을 형성하는 단계에서 상기 기판은 설정 온도로 제어되는 기판 처리 방법.
According to claim 1,
In the step of forming the water vapor layer, the substrate is a substrate processing method that is controlled to a set temperature.
제1항에 있어서,
상기 식각 단계 이 후, 상기 기판을 설정 온도로 가열하는 열처리 단계를 더 포함하는 기판 처리 방법.
According to claim 1,
After the etching step, the substrate processing method further comprises a heat treatment step of heating the substrate to a set temperature.
제5항에 있어서,
상기 열처리는 상기 기판을 지지하는 서셉터를 가열하여 이루어 지는 기판 처리 방법.
The method of claim 5,
The heat treatment is performed by heating the susceptor supporting the substrate.
제5항에 있어서,
상기 열처리는 상기 샤워 헤드에 위치된 히터에 의해 이루어 지는 기판 처리 방법.
The method of claim 5,
The heat treatment is a substrate processing method made by a heater located in the shower head.
상면이 개방되며 내부에 공간이 형성된 바디와 상기 바디의 상부에 제공되어 상기 바디의 내부를 밀폐하는 밀폐 커버를 포함하는 챔버;상기 바디의 내측에 위치되어, 기판을 지지하며, 상기 기판을 가열하는 가열 부재를 포함하는 서셉터;
상기 바디의 상부에 결합되고, 플레이트 형상이며, 상기 서셉터의 상면과 나란하게 배치되며 분배홀이 형성된 샤워 헤드;
상기 챔버의 내부로 수증기를 공급하는 수분 공급관;
상기 수증기에 의해 수증기 층이 형성된 상태에서, 상기 챔버의 내부로 불소를 포함하는 공정 가스를 플라즈마 상태로 여기된 상태로 공급하여 폴리 실리콘에 대해 실리콘 산화막이 선택적으로 식각되게 하는 공정 가스 공급부; 및
제어기를 포함하고,
상기 수증기는 상기 샤워 헤드를 거쳐 상기 기판에 분배되며,
상기 가열 부재는 상기 수증기 층이 형성될 때, 상기 기판을 설정 온도로 가열하고,
상기 챔버 내부의 압력은 조절될 수 있고,
상기 수증기 층이 형성될 때, 상기 챔버 내부 공간은 대기압보다 낮은 예비 압력으로 제공되고,
상기 실리콘 산화막이 식각될 때, 상기 챔버 내부 공간은 상기 예비 압력보다 더 높은 공정 압력으로 제공되며,
상기 공정 압력은 3 Torr 내지 30 Torr 이고,
상기 제어기는,
상기 공정 가스가 공급될 때 상기 수증기가 함께 공급되도록 상기 수분 공급관 및 상기 공정 가스 공급부를 제어하는 기판 처리 장치.
A chamber having an upper surface open and a space formed therein and an airtight cover provided above the body to seal the inside of the body; positioned inside the body to support the substrate and to heat the substrate A susceptor comprising a heating element;
A shower head coupled to an upper portion of the body, plate-shaped, arranged parallel to an upper surface of the susceptor, and having a distribution hole formed therein;
A water supply pipe supplying water vapor to the interior of the chamber;
A process gas supply unit for supplying a process gas containing fluorine to the interior of the chamber in an excited state in a plasma state in a state where a water vapor layer is formed by the water vapor to selectively etch a silicon oxide film against polysilicon; And
Including a controller,
The water vapor is distributed to the substrate through the shower head,
The heating member heats the substrate to a set temperature when the water vapor layer is formed,
The pressure inside the chamber can be adjusted,
When the water vapor layer is formed, the space inside the chamber is provided with a preliminary pressure lower than atmospheric pressure,
When the silicon oxide film is etched, the space inside the chamber is provided at a process pressure higher than the preliminary pressure,
The process pressure is 3 Torr to 30 Torr,
The controller,
A substrate processing apparatus for controlling the water supply pipe and the process gas supply so that the water vapor is supplied together when the process gas is supplied.
삭제delete 제8항에 있어서,
상기 수분 공급관은 상기 수증기를 캐리어 가스와 혼합된 상태로 공급하는 기판 처리 장치.
The method of claim 8,
The moisture supply pipe is a substrate processing apparatus for supplying the water vapor in a mixed state with a carrier gas.
삭제delete
KR1020170107029A 2017-08-24 2017-08-24 Substrate treating apparatus and substrate treating method KR102095982B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020170107029A KR102095982B1 (en) 2017-08-24 2017-08-24 Substrate treating apparatus and substrate treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170107029A KR102095982B1 (en) 2017-08-24 2017-08-24 Substrate treating apparatus and substrate treating method

Publications (2)

Publication Number Publication Date
KR20190021795A KR20190021795A (en) 2019-03-06
KR102095982B1 true KR102095982B1 (en) 2020-04-02

Family

ID=65761565

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170107029A KR102095982B1 (en) 2017-08-24 2017-08-24 Substrate treating apparatus and substrate treating method

Country Status (1)

Country Link
KR (1) KR102095982B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005302897A (en) * 2004-04-08 2005-10-27 Sony Corp Method for removing hard etching mask and manufacturing method for semiconductor device
JP2011091389A (en) * 2009-09-25 2011-05-06 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0235256B1 (en) * 1985-08-28 1994-11-02 FSI International, Inc. Gaseous process and apparatus for removing films from substrates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005302897A (en) * 2004-04-08 2005-10-27 Sony Corp Method for removing hard etching mask and manufacturing method for semiconductor device
JP2011091389A (en) * 2009-09-25 2011-05-06 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20190021795A (en) 2019-03-06

Similar Documents

Publication Publication Date Title
US7815739B2 (en) Vertical batch processing apparatus
US20070062646A1 (en) Method and apparatus for processing substrates
TWI763653B (en) Substrate processing equipment
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
JP7320874B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
KR20220104184A (en) Batch curing chamber with gas distribution and individual pumping
KR102116475B1 (en) Sealing reinforcement member and apparatus for treating substrate
US20180337021A1 (en) Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
JP3204836B2 (en) Plasma processing method and plasma processing apparatus
WO2015030968A1 (en) Low temperature plasma anneal process for sublimative etch processes
TWI631642B (en) Substrate treating apparatus and substrate treating method
US10546753B2 (en) Method of removing silicon oxide film
JP7349861B2 (en) Etching methods, damaged layer removal methods, and storage media
KR102095982B1 (en) Substrate treating apparatus and substrate treating method
KR102095983B1 (en) Substrate treating apparatus and substrate treating method
KR102052337B1 (en) Substrate treating apparatus and substrate treating method
KR102212998B1 (en) Apparatus for treating substrate
KR20160113410A (en) Substrate treating apparatus and Substrate treating method
KR101559874B1 (en) Substrate treating apparatus and chamber producing method
KR101994918B1 (en) Substrate processing apparatus and substrate processing method
KR101909110B1 (en) Substrate treating method
KR101603971B1 (en) Substrate treating apparatus and Substrate treating method
US20080087220A1 (en) Plasma Processing Apparatus and Multi-Chamber System
TWI821764B (en) Apparatus for treating substrate and method for aligning dielectric plate using the same
KR102523367B1 (en) Method for recovering surface of silicon structure and apparatus for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)