KR101906770B1 - Polishing device for wafer - Google Patents

Polishing device for wafer Download PDF

Info

Publication number
KR101906770B1
KR101906770B1 KR1020180049515A KR20180049515A KR101906770B1 KR 101906770 B1 KR101906770 B1 KR 101906770B1 KR 1020180049515 A KR1020180049515 A KR 1020180049515A KR 20180049515 A KR20180049515 A KR 20180049515A KR 101906770 B1 KR101906770 B1 KR 101906770B1
Authority
KR
South Korea
Prior art keywords
wafer
air
chamber
slurry
nozzle
Prior art date
Application number
KR1020180049515A
Other languages
Korean (ko)
Inventor
윤우걸
박부희
Original Assignee
윤우걸
박부희
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤우걸, 박부희 filed Critical 윤우걸
Priority to KR1020180049515A priority Critical patent/KR101906770B1/en
Application granted granted Critical
Publication of KR101906770B1 publication Critical patent/KR101906770B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

The present invention relates to a polishing device for a semiconductor wafer, comprising: a wafer stage provided with a vacuum adsorption portion for adsorbing and fixing a lower portion surface of a wafer, and supporting the wafer; a drum rotating with respect to the wafer; a pad attached to the drum and directly contacting an edge portion of the wafer; a slurry supplying portion supplying slurry toward an upper portion surface of the wafer; and a chamber provided with a space for accommodating the wafer stage, the drum, the pad, and the slurry supplying portion.

Description

반도체 웨이퍼 연마장치 {POLISHING DEVICE FOR WAFER}TECHNICAL FIELD [0001] The present invention relates to a polishing apparatus for polishing a semiconductor wafer,

본 발명은 반도체 웨이퍼 연마장치에 관한 것으로, 보다 상세하게는 반도체 웨이퍼를 불량없이 균일하게 연마하면서 공정효율이 향상된 반도체 웨이퍼 연마장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor wafer polishing apparatus, and more particularly, to a semiconductor wafer polishing apparatus in which process efficiency is improved while uniformly polishing semiconductor wafers without defects.

그라인딩, 세정 및 에칭 단계 후에, 종래 기술에 따르면, 반도체 웨이퍼의 표면(들)은 제거 연마에 의해 평탄화된다.After the grinding, cleaning and etching steps, according to the prior art, the surface (s) of the semiconductor wafer is planarized by abrasive polishing.

단면 연마(SSP; single-side polishing)의 경우에, 반도체 웨이퍼는, 진공에 의해 또는 접착에 의해, 시멘트를 사용한 지지체 플레이트 상에 후면에 대한 처리 동안 고정된다.In the case of single-side polishing (SSP), the semiconductor wafer is fixed during processing to the back surface on a support plate using cement, either by vacuum or by gluing.

양면 연마(DSP; double-side polishing)의 경우에, 반도체 웨이퍼는 얇은 캐리어 안으로 느슨하게 도입되고, 연마 패드로 덮인 상부 및 하부 연마 플레이트 사이에서 "자유로이 부유하는" 방식으로 정면과 후면에 대해 동시에 연마된다. 이 연마 방법은 보통 일반적으로 실리카 졸에 기초하여 연마재(abrasive)를 함유하는 연마제 슬러리의 공급과 함께 실시된다. 관련된 양면 연마 기계가 DE 100 07 390 A1에 개시되어 있다.In the case of double-side polishing (DSP), semiconductor wafers are loosely introduced into a thin carrier and simultaneously polished against the front and back surfaces in a "free floating" manner between the top and bottom polishing plates covered with a polishing pad . This polishing method is usually carried out with the supply of abrasive slurry containing an abrasive, usually based on silica sol. Related double-side grinding machines are disclosed in DE 100 07 390 A1.

반면에, 소위 헤이즈프리(haze-free) 연마("피니싱(finishing)")로서 보다 연성인 연마 패드를 사용하여 정면 ("부품 면(component side)")만을 최종 연마하는 것을 포함하는 화학 기계적 연마(CMP; chemical mechanical polishing)의 경우에, 연마재가 마찬가지로 연마제 슬러리의 형태로 공급된다.On the other hand, chemical-mechanical polishing (polishing), including final polishing of only the front side ("component side") using a softer polishing pad as so-called haze-free polishing (CMP), the abrasive is likewise supplied in the form of an abrasive slurry.

연마될 반도체 웨이퍼는 보통 실리콘 웨이퍼 또는 실리콘으로부터 유도된 계층 구조(예를 들어, 실리콘-게르마늄)를 갖는 기판이다. 상기 실리콘 웨이퍼는 특히 메모리 칩(DRAM), 마이크로프로세서, 센서, 발광 다이오드 등과 같은 반도체 부품을 생성하는데 사용된다.The semiconductor wafer to be polished is usually a silicon wafer or a substrate having a hierarchical structure (e.g., silicon-germanium) derived from silicon. The silicon wafer is used in particular to produce semiconductor components such as memory chips (DRAM), microprocessors, sensors, light emitting diodes, and the like.

특히 메모리 칩 및 마이크로프로세서를 제조하기 위한 실리콘 웨이퍼를 제조하는데 필요한 요건들은 보다 엄격해지고 있다. 이는 우선적으로 결정 특성 자체와 관련되지만(예를 들어, 결함 밀도, 금속성 불순물을 가두기 위한 내부 게터에 관련됨), 특히 웨이퍼의 기하형상(geometry) 및 평탄도와도 관련된다. 2개의 완벽하게 평행한 평면, 실리콘 웨이퍼의 특히 부품이 위에 제조될 그 면 상의 우수한 평탄도, 그리고 낮은 표면 거칠기를 갖는 실리콘 웨이퍼가 바람직할 것이다. 또한, 현재는 웨이퍼 에지에서의 두께의 감소 및 에지 영역에서의 열악한 기하형상 때문에 가능하지 않지만, 부품 면의 전체 면적을 이용할 수 있는 것이 바람직할 것이다.In particular, the requirements for manufacturing silicon wafers for manufacturing memory chips and microprocessors have become more stringent. This relates primarily to the crystallinity itself, but also to the geometry and flatness of the wafer, in particular to the defect density, to the internal getter for impregnating metallic impurities. It would be desirable to have a silicon wafer with two perfectly parallel planar surfaces, a particularly good flatness on the surface on which the part of the silicon wafer is to be fabricated, and a low surface roughness. Also, although it is currently not possible due to the reduction in thickness at the wafer edge and the poor geometry in the edge region, it would be desirable to be able to utilize the total area of the component surfaces.

반도체 웨이퍼를 연마하기 위한 종래의 방법은 이 에지 롤오프(edge roll-off)를 담당하는 것으로 알려져 있다.Conventional methods for polishing semiconductor wafers are known to handle this edge roll-off.

에지 기하형상은 보통, 실리콘 웨이퍼의 총 두께 또는 그의 정면 및/또는 후면의 에지 기하형상에 보통 관련되는 하나 이상의 에지 롤오프 파라미터를 지정함으로써, 수량화되며, 상기 에지 롤오프 파라미터는 그의 에지 영역에서의 실리콘 웨이퍼의 두께 또는 그의 에지 영역에서의 마찬가지로 실리콘 웨이퍼의 정면 및/또는 후면의 평탄도의 관례상 관찰되는 감소를 특성화하는데 사용될 수 있다. 실리콘 웨이퍼의 에지 롤오프를 측정하기 위한 방법은 Jpn. J. Appl. Phys. Vol. 38(1999), pp. 38-39에 기재되어 있다.The edge geometry is typically quantified by designating one or more edge roll-off parameters that are typically associated with the total thickness of the silicon wafer or with its front and / or back edge geometry, and the edge roll- Or the customarily observed reduction in the flatness of the front and / or back of the silicon wafer in its edge region. Methods for measuring the edge roll-off of silicon wafers are described in Jpn. J. Appl. Phys. Vol. 38 (1999), pp. 38-39.

"고정 연마재 연마(FAP; Fixed Abrasive Polishing)"에 의한 반도체 웨이퍼의 연마가 더 알려져 있으며, 반도체 웨이퍼는 연마 패드("고정 연마재 패드")에 결합된 연마재 재료를 함유하는 연마 패드에 대해 연마된다.The polishing of semiconductor wafers by "Fixed Abrasive Polishing (FAP)" is further known and the semiconductor wafers are polished against a polishing pad containing an abrasive material bonded to a polishing pad ("fixed abrasive pad").

이러한 FAP 연마 패드가 사용되는 연마 단계를 아래에서는 줄여서 FAP 단계로 칭한다.The polishing step in which such a FAP polishing pad is used is referred to below as the FAP step.

DSP와 CMP는, 특히 DSP와 CMP에서는 연마 패드가 어떠한 연마재도 포함하지 않으며 연마재가 항상 연마제 슬러리의 형태로 공급된다는 사실에 의해 FAP와 상이하다.DSP and CMP differ from FAP in that DSP and CMP in particular do not include any abrasive pads in the polishing pad and that abrasives are always supplied in the form of abrasive slurries.

이와 같이, 반도체 웨이퍼를 보다 섬세하게 연마하기 위한 장치 및 공정에 대해서 다양한 연구가 진행되고 있다.As described above, various researches have been made on an apparatus and a process for polishing a semiconductor wafer more finely.

본 발명의 목적은 공정효율이 향상된 반도체 웨이퍼의 연마장치를 제공하기 위한 것이다.An object of the present invention is to provide a polishing apparatus for a semiconductor wafer with improved process efficiency.

또한, 본 발명의 다른 목적은 반도체 웨이퍼 상에 슬러리를 균일하고 효율적으로 공급함으로써 상기 웨이퍼를 균일하게 연마할 수 있는 반도체 웨이퍼 연마장치를 제공하기 위함이다.Another object of the present invention is to provide a semiconductor wafer polishing apparatus capable of homogeneously polishing the wafer by uniformly and efficiently supplying slurry on a semiconductor wafer.

또한, 본 발명의 또 다른 목적은 웨이퍼를 연마하는 공간을 제공하는 챔버 내로 먼지 등의 불순물의 유입을 차단함으로써 웨이퍼를 클린하게 연마할 수 있는 반도체 웨이퍼 연마장치를 제공하기 위함이다.It is still another object of the present invention to provide a semiconductor wafer polishing apparatus capable of cleanly polishing a wafer by blocking inflow of impurities such as dust into a chamber for providing a space for polishing the wafer.

또한, 본 발명의 그 외의 목적은 웨이퍼를 고정하는 웨이퍼스테이지의 크기를 다양하게 제어함으로써 다양한 크기의 웨이퍼를 연마할 수 있는 반도체 웨이퍼 연마장치를 제공하기 위함이다.It is still another object of the present invention to provide a semiconductor wafer polishing apparatus capable of polishing wafers of various sizes by variously controlling the size of a wafer stage for holding a wafer.

본 발명의 일측면에 따르면, 본 발명의 실시예들은 반도체 웨이퍼를 연마하는 장치로, 상기 웨이퍼의 하부면을 흡착 고정시키는 진공흡착부를 구비하고, 상기 웨이퍼를 지지하는 웨이퍼스테이지; 상기 웨이퍼에 대해서 회전하는 드럼; 상기 드럼에 부착되어 상기 웨이퍼의 에지부와 직접 접촉하는 패드; 상기 웨이퍼의 상부면을 향하여 슬러리를 공급하는 슬러리공급부; 및 상기 웨이퍼스테이지, 드럼, 패드 및 슬러리공급부를 수납하도록 공간을 구비하는 챔버;를 포함하고, 상기 슬러리공급부는 슬러리를 공급하도록 내부에 제1 공간을 구비하는 내부노즐과 상기 내부노즐의 외면에서 이격되어 상기 내부노즐의 외면을 감싸도록 구비되어 불활성기체가 유동하는 제2 공간을 구비하는 외부노즐, 및 상기 내부노즐과 외부노즐의 말단부에 구비되어 상기 슬러리를 상기 웨이퍼 상에 분산시키도록 복수개의 유로를 구비하는 서브노즐로 이루어지며, 상기 챔버에는 상기 웨이퍼가 상기 챔버 내로 유입되도록 슬라이드형으로 개폐되는 챔버셔터, 상기 챔버셔터에 인접하게 공기를 분사하는 공기분사부 및 상기 웨이퍼의 근접을 감지하는 챔버센서를 포함하고, 상기 챔버센서에 의하여 상기 웨이퍼가 상기 챔버셔터측으로의 접근이 센싱되면 상기 챔버셔터가 개구되어 개구부가 형성되고 상기 공기분사부는 상기 개구부에 나란하도록 공기를 분사시키는 반도체 웨이퍼 연마장치를 포함한다.According to an aspect of the present invention, there is provided an apparatus for polishing a semiconductor wafer, comprising: a wafer stage having a vacuum adsorption unit for adsorbing and fixing a lower surface of the wafer, the wafer stage supporting the wafer; A drum rotating with respect to the wafer; A pad attached to the drum and in direct contact with an edge of the wafer; A slurry supply unit for supplying a slurry toward an upper surface of the wafer; And a chamber having a space for receiving the wafer stage, the drum, the pad and the slurry supply portion, wherein the slurry supply portion includes an inner nozzle having a first space therein for supplying slurry, And a second space for enclosing the outer surface of the inner nozzle and having a second space through which the inert gas flows, and a plurality of air nozzles provided at the distal end of the inner nozzle and the outer nozzle, for dispersing the slurry on the wafer, A chamber shutter for opening and closing the wafer in a slidable manner such that the wafer flows into the chamber, an air ejecting unit for ejecting air adjacent to the chamber shutter, and a sub-nozzle for detecting the proximity of the wafer, Wherein the chamber sensor is configured to allow the wafer to contact the chamber shutter side And when the root is sensed, the chamber shutter is opened to form an opening, and the air injecting unit injects air so as to be parallel to the opening.

상기 챔버셔터는 상기 챔버의 내측에 구비되어 상부에서 하부로 이동하여 상기 챔버의 개구부를 폐쇄하도록 구비되고, 상기 챔버의 외면에는 상기 개구부의 하부측에서 상기 챔버의 외면에 대해서 수직으로 연장되는 플레이트를 구비하고, 상기 공기분사부는 상기 개구부의 상부측에서 상기 플레이트를 향하여 수직하게 공기를 분사하며, 상기 플레이트에는 복수개의 홀이 구비되어 상기 공기분사부에서 분사되는 공기가 통과하도록 구비될 수 있다.The chamber shutter is provided inside the chamber to move from the upper portion to the lower portion to close the opening portion of the chamber. A plate extending perpendicularly to the outer surface of the chamber at the lower side of the opening portion is formed on the outer surface of the chamber The air injecting unit injects air vertically toward the plate from the upper side of the opening, and the plate is provided with a plurality of holes through which the air injected from the air injecting unit passes.

상기 공기분사부는 서로 동일한 간격으로 나란하게 정렬되는 복수개의 노즐을 포함하고, 상기 노즐은 상기 챔버의 외면에서 수직하게 연장되되 상기 플레이트를 향하는 방향으로 복수개의 공기가 분사되는 에어홀을 구비하며, 상기 공기분사부에서 최외측에 구비되는 에어홀은 상기 플레이트의 단부에서 0.5mm 내지 0.7mm만큼 이격되도록 이동하는 공기를 분사하고, 상기 챔버센서는 상기 공기분사부의 말단에 구비될 수 있다.Wherein the air injecting portion includes a plurality of nozzles arranged in parallel at equal intervals from each other, the nozzles having air holes extending vertically from an outer surface of the chamber and having a plurality of air injected in a direction toward the plate, The air hole provided at the outermost side in the air ejecting part injects air moving by 0.5 mm to 0.7 mm away from the end of the plate, and the chamber sensor may be provided at the end of the air ejecting part.

상기 웨이퍼스테이지는 중앙부에 진공흡착부가 구비되는 베이스플레이트, 상기 베이스플레이트의 상부에 구비되어 상기 진공흡착부를 사이에 두고 구비되는 한쌍의 슬라이딩부재를 포함하고, 상기 한쌍의 슬라이딩부재의 일단은 상기 베이스플레이트에 고정되고, 상기 한쌍의 슬라이딩부재의 타단은 상기 베이스플레이트에서 슬라이딩되어 상기 한쌍의 슬라이딩부재의 타단이 서로 이격되며, 상기 웨이퍼스테이지에서 상기 한쌍의 슬라이딩부재의 이동에 의하여 다양한 크기의 웨이퍼를 지지하도록 구비될 수 있다.The wafer stage includes a base plate having a vacuum adsorption unit at a central portion thereof, and a pair of sliding members provided on the base plate and sandwiching the vacuum adsorption unit. One end of the pair of sliding members is connected to the base plate And the other end of the pair of sliding members is slid on the base plate so that the other ends of the pair of sliding members are spaced apart from each other so as to support wafers of various sizes by the movement of the pair of sliding members on the wafer stage .

상기 내부노즐의 단면은 원형으로 이루어지고, 상기 외부노즐의 단면은 상기 내부노즐의 단면보다 더 큰 직경의 원형으로 이루어지며, 상기 내부노즐은 상기 외부노즐의 중심부에 구비되어 상기 내부노즐와 상기 외부노즐의 사이의 제2 공간의 단면은 후프형상으로 구비되고, 상기 내부노즐의 내면에는 카본나노튜브 (CNT)로 이루어지는 코팅부가 구비되고, 상기 외부노즐의 내부에는 상기 외부노즐를 통하여 유동하는 공기를 가열 또는 냉각시키는 열교환부재가 구비되며, 상기 코팅부는 상기 슬러리가 흐르는 방향으로 나란하게 연장된 복수개의 유로를 형성하고, 상기 유로는 단면이 반원형으로 형성되고, 상기 코팅부에는 상기 내부노즐의 제1 공간의 중심부를 향하여 돌출되어 상기 슬러리의 응집을 해체하는 복수개의 리브가 구비되고, 상기 리브는 원뿔형으로 서로 다른 높이로 형성될 수 있다.Wherein the inner nozzle has a circular cross section and a cross section of the outer nozzle has a larger diameter than a cross section of the inner nozzle and the inner nozzle is provided at a central portion of the outer nozzle, And the inner nozzle has a coating part made of carbon nanotube (CNT), and the air flowing through the outer nozzle is heated or cooled in the inner part of the outer nozzle, Wherein the coating part forms a plurality of flow paths extending in a direction in which the slurry flows, the flow path is formed in a semicircular shape in cross section, and the coating part is provided with a heat exchange member A plurality of ribs protruding toward the central portion to disintegrate the aggregation of the slurry, It may be each formed of a different height with cone.

상기 서브노즐은 상기 제1 공간에 대응하여 연장되어 슬러리가 배출되는 복수개의 파이프부와 상기 제2 공간에 대응하도록 연장되어 공기가 배출되는 깔때기형태의 퍼널부로 이루어지고, 상기 파이프부는 상기 제1 공간에서 수직으로 연장되는 수직파이프와, 상기 제1 공간에서 상기 수직파이프에 대해서 경사지도록 연장되는 하나 이상의 경사파이프를 구비하되 상기 경사파이프는 상기 수직파이프의 상부측에 근접하게 구비되되 상기 수직파이프의 하부측으로 갈수록 멀어지도록 상기 수직파이프에 대해서 경사지게 감싸도록 구비되고, 상기 퍼널부는 상기 제2 공간에서 경사지도록 연장되어 상기 경사파이프의 외측으로 감싸도록 연장되며, 상기 수직파이프는 상기 경사파이프보다 넓은 단면적으로 구비되어 슬러리를 상기 웨이퍼의 중심부를 향하여 배출하고, 상기 경사파이프는 상기 슬러리를 상기 웨이퍼의 외각을 향하여 배출하되 상기 퍼널부에 의하여 배출되는 공기에 의하여 흐름이 가이드되어 외부측으로 유출되지 않도록 제어될 수 있다.Wherein the sub nozzle comprises a plurality of pipe portions extending corresponding to the first space to discharge the slurry and a funnel portion extending in a manner corresponding to the second space and discharging air, And at least one inclined pipe extending in an inclined relation with respect to the vertical pipe in the first space, wherein the inclined pipe is provided close to an upper side of the vertical pipe, And the funnel portion extends to be inclined in the second space and extends to cover the outer side of the inclined pipe, and the vertical pipe has a larger cross-sectional area than the inclined pipe And the slurry is directed toward the center of the wafer And the slant pipe discharges the slurry toward the outer periphery of the wafer, and the slurry pipe can be controlled so that the flow is guided by the air discharged by the funnel portion and does not flow out to the outside.

상기 파이프부의 상부 외면에는 나사산으로 이루어진 제1 체결부가 구비되고, 상기 퍼널부의 상부 내면에는 상기 제1 체결부와 나사결합으로 체결되는 제2 체결부가 구비될 수 있다.The upper portion of the pipe portion may have a first fastening portion formed of threads and an upper inner surface of the funnel portion may have a second fastening portion fastened to the first fastening portion by screwing.

이상 살펴본 바와 같은 본 발명에 따르면, 공정효율이 향상된 반도체 웨이퍼의 연마장치를 제공할 수 있다.As described above, according to the present invention, it is possible to provide a polishing apparatus for a semiconductor wafer with improved process efficiency.

또한, 본 발명에 따르면 반도체 웨이퍼 상에 슬러리를 균일하고 효율적으로 공급함으로써 상기 웨이퍼를 균일하게 연마할 수 있는 반도체 웨이퍼 연마장치를 제공할 수 있다. Further, according to the present invention, it is possible to provide a semiconductor wafer polishing apparatus capable of homogeneously polishing the wafer by uniformly and efficiently supplying the slurry onto the semiconductor wafer.

또한, 본 발명에 따르면 웨이퍼를 연마하는 공간을 제공하는 챔버 내로 먼지 등의 불순물의 유입을 차단함으로써 웨이퍼를 클린하게 연마할 수 있는 반도체 웨이퍼 연마장치를 제공할 수 있다. Further, according to the present invention, it is possible to provide a semiconductor wafer polishing apparatus capable of cleanly polishing a wafer by blocking inflow of impurities such as dust into a chamber for providing a space for polishing the wafer.

또한, 본 발명에 따르면 웨이퍼를 고정하는 웨이퍼스테이지의 크기를 다양하게 제어함으로써 다양한 크기의 웨이퍼를 연마할 수 있는 반도체 웨이퍼 연마장치를 제공할 수 있다.Furthermore, according to the present invention, it is possible to provide a semiconductor wafer polishing apparatus capable of polishing wafers of various sizes by variously controlling the size of a wafer stage for holding wafers.

도 1은 본 발명의 일 실시예에 따른 반도체 웨이퍼 연마장치를 개략적으로 나타낸 도면이다.
도 2는 도 1의 회전드럼을 나타낸 사시도이다.
도 3은 웨이퍼가 패드에 의하여 연마되는 모습을 개략적으로 나타낸 도면이다.
도 4는 도 1의 챔버의 챔버셔터측을 나타낸 도면이다.
도 5는 도 4의 측면을 나타낸 도면이다.
도 6은 도 1의 슬러리공급부의 정면을 나타낸 도면이다.
도 7a는 도 6의 내부노즐과 외부노즐의 단면도이다.
도 7b는 도 7a의 A-A에 따른 단면도이다.
도 7c는 도 7a의 내부노즐의 내면을 개략적으로 나타낸 도면이다.
도 8은 도 1의 서브노즐의 분해사시도이다.
도 9는 도 8에 의한 슬러리 및 공기의 흐름을 개략적으로 나타낸 도면이다.
도 10 및 도 11은 도 1의 웨이퍼스테이지에서 슬라이딩부재의 이동을 개략적으로 나타낸 도면이다.
1 is a schematic view of a semiconductor wafer polishing apparatus according to an embodiment of the present invention.
FIG. 2 is a perspective view showing the rotary drum of FIG. 1;
3 is a schematic view showing a state in which a wafer is polished by a pad.
Fig. 4 is a view showing the chamber shutter side of the chamber of Fig. 1;
5 is a side view of Fig. 4. Fig.
6 is a front view of the slurry supply unit of FIG.
7A is a cross-sectional view of the inner nozzle and the outer nozzle of FIG.
Fig. 7B is a cross-sectional view taken along line AA of Fig. 7A.
7C is a schematic view of the inner surface of the inner nozzle of Fig. 7A.
8 is an exploded perspective view of the sub-nozzle of FIG.
9 is a view schematically showing the flow of slurry and air according to FIG.
Figs. 10 and 11 schematically illustrate the movement of the sliding member in the wafer stage of Fig. 1. Fig.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.The details of other embodiments are included in the detailed description and drawings.

본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 이하의 설명에서 어떤 부분이 다른 부분과 연결되어 있다고 할 때, 이는 직접적으로 연결되어 있는 경우뿐 아니라 그 중간에 다른 매체를 사이에 두고 연결되어 있는 경우도 포함한다. 또한, 도면에서 본 발명과 관계없는 부분은 본 발명의 설명을 명확하게 하기 위하여 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 동일한 도면 부호를 붙였다.BRIEF DESCRIPTION OF THE DRAWINGS The advantages and features of the present invention, and the manner of achieving them, will be apparent from and elucidated with reference to the embodiments described hereinafter in conjunction with the accompanying drawings. However, the present invention is not limited to the embodiments described below, but may be embodied in various forms. In the following description, it is assumed that a part is connected to another part, But also includes a case in which other media are connected to each other in the middle. In the drawings, parts not relating to the present invention are omitted for clarity of description, and like parts are denoted by the same reference numerals throughout the specification.

이하, 첨부된 도면들을 참고하여 본 발명에 대해 설명하도록 한다.Hereinafter, the present invention will be described with reference to the accompanying drawings.

도 1은 본 발명의 일 실시예에 따른 반도체 웨이퍼 연마장치를 개략적으로 나타낸 도면이고, 도 2는 도 1의 회전드럼을 나타낸 사시도이다. 도 3은 웨이퍼가 패드에 의하여 연마되는 모습을 개략적으로 나타낸 도면이다. 도 4는 도 1의 챔버의 챔버셔터측을 나타낸 도면이고, 도 5는 도 4의 측면을 나타낸 도면이다. 도 6은 도 1의 슬러리공급부의 정면을 나타낸 도면이고, 도 7a는 도 6의 내부노즐과 외부노즐의 단면도이다. 도 7b는 도 7a의 A-A에 따른 단면도이고, 도 7c는 도 7a의 내부노즐의 내면을 개략적으로 나타낸 도면이다. 도 8은 도 1의 서브노즐의 분해사시도이다. 도 9는 도 8에 의한 슬러리 및 공기의 흐름을 개략적으로 나타낸 도면이다. 도 10 및 도 11은 도 1의 웨이퍼스테이지에서 슬라이딩부재의 이동을 개략적으로 나타낸 도면이다.FIG. 1 is a schematic view of a semiconductor wafer polishing apparatus according to an embodiment of the present invention, and FIG. 2 is a perspective view showing the rotary drum of FIG. 3 is a schematic view showing a state in which a wafer is polished by a pad. FIG. 4 is a view showing the chamber shutter side of the chamber of FIG. 1, and FIG. 5 is a side view of FIG. FIG. 6 is a front view of the slurry supply unit of FIG. 1, and FIG. 7A is a cross-sectional view of the inner nozzle and the outer nozzle of FIG. FIG. 7B is a cross-sectional view taken along line A-A of FIG. 7A, and FIG. 7C is a schematic view of an inner surface of the inner nozzle of FIG. 7A. 8 is an exploded perspective view of the sub-nozzle of FIG. 9 is a view schematically showing the flow of slurry and air according to FIG. Figs. 10 and 11 schematically illustrate the movement of the sliding member in the wafer stage of Fig. 1. Fig.

본 발명의 일 실시예는 반도체 웨이퍼를 연마하는 장치로, 상기 웨이퍼의 하부면을 흡착 고정시키는 진공흡착부 (430)를 구비하고, 상기 웨이퍼를 지지하는 웨이퍼스테이지 (400); 상기 웨이퍼에 대해서 회전하는 드럼 (30); 상기 드럼 (30)에 부착되어 상기 웨이퍼의 에지부와 직접 접촉하는 패드 (50); 상기 웨이퍼의 상부면을 향하여 슬러리를 공급하는 슬러리공급부 (200); 및 상기 웨이퍼스테이지 (300), 드럼 (30), 패드 (50) 및 슬러리공급부 (200)를 수납하도록 공간 (100a)을 구비하는 챔버 (100);로 이루어지는 반도체 웨이퍼 연마장치 (10)를 포함한다. One embodiment of the present invention is an apparatus for polishing a semiconductor wafer, comprising: a wafer stage 400 having a vacuum adsorption unit 430 for adsorbing and fixing a lower surface of the wafer, the wafer stage 400 supporting the wafer; A drum (30) rotating with respect to the wafer; A pad 50 attached to the drum 30 and in direct contact with the edge of the wafer; A slurry supply unit 200 for supplying a slurry toward the upper surface of the wafer; And a chamber 100 having a space 100a for accommodating the wafer stage 300, the drum 30, the pad 50 and the slurry supply unit 200. The semiconductor wafer polishing apparatus 10 includes: .

상기 슬러리공급부 (200)는 슬러리를 공급하도록 내부에 제1 공간 (210a)을 구비하는 내부노즐 (210)과 상기 내부노즐 (210)의 외면에서 이격되어 상기 내부노즐 (210)의 외면을 감싸도록 구비되어 불활성기체가 유동하는 제2 공간 (220a)을 구비하는 외부노즐 (220), 및 상기 내부노즐 (210)과 외부노즐 (220)의 말단부에 구비되어 상기 슬러리를 상기 웨이퍼 상에 분산시키도록 복수개의 유로를 구비하는 서브노즐 (300)로 이루어질 수 있다.The slurry supply unit 200 includes an inner nozzle 210 having a first space 210a therein to supply slurry and a second inner space 210 surrounding the outer surface of the inner nozzle 210 so as to surround the outer surface of the inner nozzle 210. [ An outer nozzle 220 having a second space 220a through which an inert gas flows and a second outer nozzle 220 disposed at a distal end of the inner nozzle 210 and the outer nozzle 220 to disperse the slurry on the wafer. And a sub nozzle 300 having a plurality of flow paths.

상기 챔버 (100)에는 상기 웨이퍼가 상기 챔버 (100) 내로 유입되도록 슬라이드형으로 개폐되는 챔버셔터 (110), 상기 챔버셔터 (110)에 인접하게 공기를 분사하는 공기분사부 (120) 및 상기 웨이퍼의 근접을 감지하는 챔버센서 (130)를 포함할 수 있다. 상기 챔버센서 (130)는 상기 웨이퍼가 상기 챔버셔터 (110)측으로의 접근을 센싱하고, 상기 챔버센서 (130)는 센싱된 정보를 제어부 (미도시)로 전달하고, 상기 제어부는 상기 챔버셔터 (110)와 웨이퍼스테이지 (300)를 제어할 수 있다. 상기 제어부에 의하여 상기 챔버셔터 (110)가 개구되어 개구부가 형성되고 상기 공기분사부 (120)는 상기 개구부에 나란하도록 공기를 분사시킬 수 있다.The chamber 100 is provided with a chamber shutter 110 opened and closed in a slidable manner to allow the wafer to flow into the chamber 100, an air injector 120 for injecting air adjacent to the chamber shutter 110, And a chamber sensor 130 for sensing the proximity of the chamber. The chamber sensor 130 senses the approach of the wafer to the chamber shutter 110 and the chamber sensor 130 transmits the sensed information to a control unit (not shown) 110 and the wafer stage 300 can be controlled. The chamber shutter 110 may be opened by the control unit to form an opening, and the air injecting unit 120 may inject air to be aligned with the opening.

도 4 및 도 5를 참조하면, 본 실시예에 따른 웨이퍼 연마장치의 챔버 (100)는 상기 챔버 (100) 내부의 공간 (100a)으로 상기 웨이퍼를 수납하도록 챔버셔터 (110)를 구비할 수 있다. 4 and 5, the chamber 100 of the wafer polishing apparatus according to the present embodiment may include a chamber shutter 110 for accommodating the wafer in a space 100a inside the chamber 100 .

상기 챔버셔터 (110)는 상기 챔버 (100)의 내측에 구비되어 상부에서 하부로 이동하여 상기 챔버 (100)의 개구부 (110a)를 폐쇄하도록 구비될 수 있다. 상기 챔버 (100)의 외면에는 상기 개구부 (110a)의 하부측에서 상기 챔버 (100)의 외면에 대해서 수직으로 연장되는 플레이트 (140)를 구비할 수 있다.The chamber shutter 110 may be provided inside the chamber 100 to move from the upper part to the lower part to close the opening 110a of the chamber 100. [ The chamber 100 may have a plate 140 extending from the lower side of the opening 110a to the outer surface of the chamber 100.

상기 공기분사부 (120)는 상기 개구부 (110a)의 상부측에서 상기 플레이트 (140)를 향하여 수직하게 공기를 분사하며, 상기 플레이트 (140)에는 복수개의 홀 (141)이 구비되어 상기 공기분사부 (120)에서 분사되는 공기가 통과하도록 구비될 수 있다. The air injecting unit 120 injects air vertically toward the plate 140 from the upper side of the opening 110a and the plate 140 has a plurality of holes 141, So that the air injected from the air inlet 120 passes.

상기 공기분사부 (120)는 서로 동일한 간격으로 나란하게 정렬되는 복수개의 노즐 (121)을 포함하고, 상기 노즐 (121)은 상기 챔버의 외면에서 수직하게 연장되되 상기 플레이트 (140)를 향하는 방향으로 복수개의 공기가 분사되는 에어홀 (122)을 구비할 수 있다. 상기 공기분사부 (120)에서 최외측, 예컨대 상기 챔버 (100)에서 가장 이격되어 구비되는 에어홀 (122)은 상기 플레이트 (150)의 단부에서 1mm 내지 0.5mm만큼 이격(d)되도록 이동하는 공기를 분사할 수 있다. 또한, 상기 챔버센서 (130)는 상기 공기분사부 (120)에서 노즐 (121)의 말단에 구비될 수 있다.The air injecting unit 120 includes a plurality of nozzles 121 aligned at equal intervals and the nozzles 121 extend vertically from the outer surface of the chamber and extend in a direction toward the plate 140 And an air hole 122 through which a plurality of air is injected. The air hole 122 provided at the outermost portion of the air injection portion 120 and spaced apart from the chamber 100 is separated from the end of the plate 150 by 1 mm to 0.5 mm, As shown in FIG. In addition, the chamber sensor 130 may be provided at the end of the nozzle 121 in the air injection unit 120.

상기 웨이퍼 연마장치 (10)의 챔버 (100) 내부는 연마 공정이 수행되는 동안 외부의 공기가 차단되도록 구비될 수 있다. 이에 연마 공정 중에 슬러리 외의 불필요한 먼지 등이 챔버 (100) 내부로 유입되는 것을 방지함으로써 클린한 환경에서 상기 먼지 등에 의한 불량없이 상기 웨이퍼를 정밀하게 연마할 수 있다.The inside of the chamber 100 of the wafer polishing apparatus 10 may be provided so as to block external air while the polishing process is performed. Therefore, unnecessary dust or the like other than the slurry is prevented from flowing into the chamber 100 during the polishing process, so that the wafer can be precisely polished in a clean environment without defects by the dust or the like.

상기 챔버센서 (130)는 상기 웨이퍼가 인접하게 구비되면 이를 센싱하여 제어부 (미도시)로 전달할 수 있다. 상기 제어부는 1차적으로 상기 공기분사부 (120)를 가동시켜 상기 에어홀 (122)을 통하여 공기가 분사되도록 한 후, 2차적으로는 챔버셔터 (110)를 가동시켜 상기 챔버셔터 (100)가 상부측으로 이동하여 상기 웨이퍼가 유입되는 개구부 (110a)를 형성할 수 있다.The chamber sensor 130 senses the wafer adjacent to the wafer, and transmits the wafer to a controller (not shown). The control unit primarily activates the air injecting unit 120 to cause air to be injected through the air hole 122 and secondarily to operate the chamber shutter 110 to move the chamber shutter 100 And the opening 110a through which the wafer flows can be formed.

상기 공기분사부 (120)에 의하여 분사되는 공기는 상기 플레이트 (140)를 향하여 수직하게 분사될 수 있다. 수직하게 분사되는 공기는 상기 개구부 (110a)에 나란하게 구비되며 상기 챔버셔터 (110)가 개구부 (110a)를 형성하기 전 구비되므로, 상기 웨이퍼와 함께 외부 먼지 등이 상기 챔버 (100) 내부로 유입되는 것을 방지할 수 있다. The air injected by the air injecting unit 120 may be injected perpendicularly toward the plate 140. The vertically injected air is provided in parallel with the opening 110a and the chamber shutter 110 is provided before the opening 110a is formed so that external dust or the like is introduced into the chamber 100 together with the wafer Can be prevented.

바람직하게는, 상기 공기분사부 (120)에서 최외측에서 분사되는 공기는 상기 플레이트 (140)의 단부에 대해서 0.5mm 내지 0.7mm 이격되도록 수직하게 분사될 수 있다. 상기 최외측에 분사되는 공기가 상기 플레이트 (140)의 단부와 전술한 범위로 이격되어 분사됨으로써 상기 공기는 상기 플레이트 (140)에 의한 와류를 형성하지 않고 외부의 공기를 보다 효율적으로 차단시킬 수 있다.The air injected from the outermost side of the air injecting unit 120 may be injected vertically with a distance of 0.5 mm to 0.7 mm with respect to the end of the plate 140. Since the outermost air is jetted away from the end of the plate 140 in the above-described range, the air can block the outside air more efficiently without forming a vortex caused by the plate 140 .

도 6 내지 도 9를 참조하면, 상기 웨이퍼의 상에는 슬러리공급부 (200)가 구비되고, 상기 슬러리공급부 (200)를 통하여 분사되는 슬러리는 상기 웨이퍼의 상부면에 균일하게 분사될 수 있다. 상기 슬러리공급부 (200)는 슬러리가 공급되는 내부노즐 (210), 공기가 공급되어 상기 슬러리의 이동통로를 가이드하는 외부노즐 (220) 및 상기 내부노즐 (210)과 외부노즐 (220)의 하부에 구비되어 상기 슬러리와 공기의 흐름을 변형시키는 서브노즐 (300)로 이루어질 수 있다. 6 to 9, a slurry supply unit 200 is provided on the wafer, and the slurry injected through the slurry supply unit 200 can be uniformly sprayed onto the upper surface of the wafer. The slurry supply unit 200 includes an inner nozzle 210 to which a slurry is supplied, an outer nozzle 220 to which air is supplied to guide a moving path of the slurry, and a lower part of the inner nozzle 210 and the outer nozzle 220 And a sub nozzle 300 for deforming the flow of the slurry and the air.

상기 외부노즐 (220)의 내부에는 열교환부재 (221)가 구비될 수 있으며, 상기 열교환부재 (221)는 상기 외부노즐 (220)를 통하여 유동하는 공기를 가열 또는 냉각시킬 수 있다. The outer nozzle 220 may include a heat exchange member 221 and the heat exchange member 221 may heat or cool the air flowing through the outer nozzle 220.

상기 내부노즐 (210)을 통하여 유동하는 슬러리는 상기 웨이퍼를 연마하도록 상기 웨이퍼 상에 분사될 수 있으며, 상기 외부노즐 (220)을 통하여 공급되는 공기는 상기 슬러리의 방향을 가이드하고 상기 슬러리의 온도를 제어할 수 있다. 상기 외부노즐 (220)을 통하여 공급되는 공기는 아르곤 (Ar) 또는 질소 (N2)일 수 있으며, 상기 열교환부재 (221)에 의하여 냉각 또는 가열되고, 이에 의하여 냉각 또는 가열된 공기는 상기 슬러리의 온도를 제어함으로써 상기 슬러리가 최적의 온도, 용매량, 농도로 상기 웨이퍼 내로 전달되도록 할 수 있다. 상기 외부노즐 (220)의 내부에는 온도센서 (미도시)가 구비되고 상기 온도센서에 의하여 측정된 온도는 제어부를 통하여 전달됨으로써 상기 슬러리의 온도를 확인하고 상기 열교환부재 (221)를 통하여 상기 공기의 온도를 제어함으로써 상기 슬러리의 온도가 소정의 온도범위를 유지하도록 할 수 있다.The slurry flowing through the inner nozzle 210 may be sprayed onto the wafer to polish the wafer, and the air supplied through the outer nozzle 220 guides the direction of the slurry, Can be controlled. The air supplied through the external nozzle 220 may be argon (Ar) or nitrogen (N 2 ) and is cooled or heated by the heat exchange member 221, By controlling the temperature, the slurry can be delivered into the wafer at the optimum temperature, solvent amount, and concentration. A temperature sensor (not shown) is provided inside the outer nozzle 220 and the temperature measured by the temperature sensor is transmitted through the control unit to check the temperature of the slurry. The temperature of the slurry is measured through the heat exchanging member 221 By controlling the temperature, the temperature of the slurry can be kept within a predetermined temperature range.

상기 내부노즐 (210)의 단면은 원형으로 이루어지고, 상기 외부노즐 (220)의 단면은 상기 내부노즐 (210)의 단면보다 더 큰 직경의 원형으로 이루어질 수 있다. 상기 내부노즐 (210)은 상기 외부노즐의 중심부에 구비되어 상기 내부노즐와 상기 외부노즐의 사이의 제2 공간 (220ㅁ)의 단면은 후프형상으로 구비될 수 있다.The cross section of the inner nozzle 210 may have a circular shape and the cross section of the outer nozzle 220 may have a circular shape having a larger diameter than the cross section of the inner nozzle 210. The inner nozzle 210 may be provided at a central portion of the outer nozzle, and a cross section of the second space 220 between the inner nozzle and the outer nozzle may be formed in a hoop shape.

상기 내부노즐 (210)의 내면에는 카본나노튜브 (CNT)로 이루어지는 코팅부 (221)가 구비되고, 상기 코팅부 (221)는 상기 슬러리가 흐르는 방향으로 나란하게 연장된 복수개의 유로를 형성하고, 상기 유로는 단면이 반원형으로 형성될 수 있다. 상기 코팅부 (211)에 의하여 상기 슬러리가 상기 내부노즐 (210)과의 마찰이 저감되도록 할 수 있고, 상기 슬러리의 흐름에 의하여 상기 내부노즐 (210)과 발생하는 마찰에 의한 슬러리의 성분변화와 상기 내부노즐 (210)의 마모를 방지할 수 있다.A coating part 221 made of carbon nanotubes (CNT) is formed on the inner surface of the inner nozzle 210. The coating part 221 forms a plurality of channels extending in parallel to the slurry flow direction, The flow path may have a semicircular cross section. It is possible to reduce the friction between the slurry and the inner nozzle 210 by the coating portion 211 and to change the composition of the slurry due to the friction generated with the inner nozzle 210 due to the flow of the slurry The inner nozzle 210 can be prevented from being worn.

상기 코팅부 (211)에는 상기 내부노즐 (210)의 제1 공간 (210a)의 중심부를 향하여 돌출되어 상기 슬러리의 응집을 해체하는 복수개의 리브 (212)가 구비되고, 상기 리브 (212)는 원뿔형으로 서로 다른 높이로 형성될 수 있다. 바람직하게는, 상기 리브 (212)는 상기 코팅부 (211)에서 가장 높은 부분, 예컨대 서로 이웃하는 유로, 반원형이 서로 접촉하는 부분 (211a)에서 돌출될 수 있다. 상기 리브 (212)는 상기 내부노즐 (210)을 통과하는 슬러리에서 응집된 부분을 해체함으로써 상기 슬러리의 응집에 의하여 상기 웨이퍼가 불필요하게 마모되는 것을 방지할 수 있다.The coating part 211 is provided with a plurality of ribs 212 protruding toward the central part of the first space 210a of the inner nozzle 210 to dissolve the aggregation of the slurry, As shown in FIG. Preferably, the ribs 212 may protrude from the portion 211a where the semicircles of the coating portion 211 are in contact with each other at the highest portion, for example, the adjacent flow paths. The ribs 212 can prevent the wafers from being unnecessarily worn out by agglomeration of the slurry by disassembling the agglomerated portions of the slurry passing through the inner nozzle 210.

상기 내부노즐 (210)과 외부노즐 (220)의 말단에는 각각 슬러리와 공기의 흐름을 변형시켜 상기 웨이퍼 상에서 상기 슬러리가 균일하게 분사되도록 서브노즐 (300)이 구비될 수 있다.The sub nozzle 300 may be provided at the ends of the inner nozzle 210 and the outer nozzle 220 so as to deform the flow of slurry and air to uniformly spray the slurry on the wafer.

상기 서브노즐 (300)은 상기 제1 공간 (210a)에 대응하여 연장되어 슬러리가 배출되는 복수개의 파이프부 (310)와 상기 제2 공간 (220a)에 대응하도록 연장되어 공기가 배출되는 깔때기형태의 퍼널부 (320)로 이루어질 수 있다.The sub nozzle 300 includes a plurality of pipes 310 extending in correspondence to the first space 210a and discharging the slurry and a funnel-shaped And a funnel part 320.

상기 파이프부 (310)는 상기 제1 공간 (210a)에서 수직으로 연장되는 수직파이프 (311)와, 상기 제1 공간 (210a)에서 상기 수직파이프 (311)에 대해서 경사지도록 연장되는 하나 이상의 경사파이프 (312)를 구비하되 상기 경사파이프 (312)는 상기 수직파이프 (311)의 상부측에 근접하게 구비되되 상기 수직파이프 (311)의 하부측으로 갈수록 멀어지도록 상기 수직파이프 (311)에 대해서 경사지게 감싸도록 구비될 수 있다.The pipe unit 310 includes a vertical pipe 311 vertically extending in the first space 210a and at least one inclined pipe 311 extending in an inclined relation with respect to the vertical pipe 311 in the first space 210a. And the inclined pipe 312 is provided close to the upper side of the vertical pipe 311 so as to be inclined with respect to the vertical pipe 311 so as to be further away from the lower side of the vertical pipe 311 .

상기 퍼널부는 상기 제2 공간에서 경사지도록 연장되어 상기 경사파이프의 외측으로 감싸도록 연장될 수 있다.The funnel portion may extend so as to be inclined in the second space and to surround the sloping pipe.

상기 수직파이프 (311)는 상기 경사파이프 (312)보다 넓은 단면적으로 구비되어 슬러리를 상기 웨이퍼의 중심부를 향하여 배출하고, 상기 경사파이프 (312)는 상기 슬러리를 상기 웨이퍼의 외각을 향하여 배출하되 상기 퍼널부 (320)에 의하여 배출되는 공기에 의하여 흐름이 가이드되어 외부측으로 유출되지 않도록 제어될 수 있다.The vertical pipe (311) has a cross-sectional area wider than the slant pipe (312) to discharge the slurry toward the center of the wafer, and the slant pipe (312) discharges the slurry toward the outer periphery of the wafer, So that the flow is guided by the air discharged by the unit 320 so as to be prevented from flowing out to the outside.

상기 파이프부 (310)의 상부 외면에는 나사산으로 이루어진 제1 체결부 (313)가 구비되고, 상기 퍼널부 (320)의 상부 내면에는 상기 제1 체결부 (313)와 나사결합으로 체결되는 제2 체결부 (321)가 구비될 수 있다. 상기 파이프부 (310)아 상기 퍼널부 (320)는 제1 및 제2 체결부 (313, 321)에 의하여 나사결합에 의하여 체결될 수 있다.The upper part of the upper part of the pipe part 310 is provided with a first fastening part 313 made of thread and the upper part of the upper part of the funnel part 320 is fastened to the second fastening part 313 A fastening portion 321 may be provided. The funnel part 320 can be fastened by the first and second fastening parts 313 and 321 by screwing.

도 10 및 도 11을 참조하면, 상기 웨이퍼스테이지 (400)의 하부에는 볼 스크류 (25)가 구비되고, 상기 웨이퍼스테이지 (400)에는 구동 로드 (21)가 구비되어 상기 웨이퍼스테이지 (400)을 상하방향으로 왕복 이송시킬 수 있다. 10 and 11, a ball screw 25 is provided below the wafer stage 400 and a driving rod 21 is provided on the wafer stage 400 to vertically move the wafer stage 400 Direction.

상기 챔버센서 (130)가 웨이퍼의 근접을 센싱한 후 센싱된 정보를 제어부에 전달할 수 있는데, 상기 제어부는 이에 의하여 상기 구동 로드 (21)를 제어하고, 상기 구동 로드 (21)는 상기 챔버셔터 (110)를 통하여 유입되는 웨이퍼를 수납하도록 웨이퍼스테이지 (400)를 이동시킬 수 있다.The chamber sensor 130 senses the proximity of the wafer and can transmit the sensed information to the control unit. The control unit controls the driving rod 21 and the driving rod 21 moves the chamber shutter The wafer stage 400 can be moved so as to accommodate the wafer introduced through the wafer stage 110.

상기 웨이퍼스테이지 (400)는 중앙부에 진공흡착부 (430)가 구비되는 베이스플레이트 (410), 상기 베이스플레이트 (410)의 상부에 구비되어 상기 진공흡착부 (430)를 사이에 두고 구비되는 한쌍의 슬라이딩부재 (420)를 포함할 수 있다.The wafer stage 400 includes a base plate 410 having a vacuum adsorption unit 430 at a central portion thereof and a pair of vacuum chucks 430 disposed at upper portions of the base plate 410, And may include a sliding member 420.

상기 한쌍의 슬라이딩부재 (420)의 일단은 상기 베이스플레이트 (410)에 고정되고, 상기 한쌍의 슬라이딩부재 (420)의 타단은 상기 베이스플레이트 (410)에서 서로 이격되는 방향으로 슬라이딩될 수 있다. 상기 웨이퍼스테이지 (400)에서 상기 한쌍의 슬라이딩부재 (420)의 이동에 의하여 다양한 크기의 웨이퍼를 지지하도록 구비될 수 있다.One end of the pair of sliding members 420 may be fixed to the base plate 410 and the other ends of the pair of sliding members 420 may be slid in a direction away from the base plate 410. And may be provided to support wafers of various sizes by the movement of the pair of sliding members 420 in the wafer stage 400.

상기 웨이퍼스테이지 (400)는 상기 챔버셔터 (110)를 통하여 유입되는 웨이퍼를 수납하도록 상기 슬라이딩부재 (420)의 타단이 서로 이격되어 준비되며, 상기 웨이퍼가 슬라이딩부재 (420) 상에 구비되며 상기 슬라이딩부재 (420)의 타단은 서로 근접하도록 이동하여 상기 웨이퍼를 고정시킬 수 있다.The wafer stage 400 is provided with the other ends of the sliding members 420 spaced apart from each other to receive wafers introduced through the chamber shutters 110. The wafers are provided on the sliding members 420, The other end of the member 420 may move so as to be close to each other to fix the wafer.

상기 반도체 웨이퍼 연마장치 (10)는 웨이퍼 회동부 (10), 드럼 (30) 및 연마패드 (50)를 포함한다.The semiconductor wafer polishing apparatus 10 includes a wafer pivoting portion 10, a drum 30, and a polishing pad 50.

상기 웨이퍼 회동부 (10)는 상기 챔버 (100)의 하부측에 구비되어 웨이퍼를 흡착 및 회전시킬 수 있다. 상기 웨이퍼 회동부 (10)는 웨이퍼를 고정 및 지지하는 웨이퍼스테이지 (400)를 포함하고, 상기 웨이퍼스테이지 (400)의 중심부에는 웨이퍼에 진공압을 인가하여 상기 웨이퍼를 고정시킬 수 있는 진공흡착부를 구비할 수 있다.The wafer pivoting unit 10 is provided on the lower side of the chamber 100 to suck and rotate the wafer. The wafer rotating unit 10 includes a wafer stage 400 for holding and supporting a wafer and a vacuum adsorption unit for applying a vacuum pressure to the wafer to fix the wafer to the wafer stage 400 is provided at the center of the wafer stage 400 can do.

상기 웨이퍼스테이지 (400)의 하부측은 회전샤프트(12)에 의하여 고정되고, 상기 회전샤프트 (12)는 지지대 (13)에 고정되는 받침대들 (14)을 이용하여 회전 가능하도록 지지된다. 또한, 회전샤프트 (12)에는 상기 웨이퍼스테이지 (400)에 진공압을 인가하기 위한 진공로 (15)가 형성되어 있다. 또한, 상기 회전샤프트 (12)를 구동하기 위해서, 상기 지지대 (13)의 아래에는 전동모터 (16)가 장착되어, 상기 웨이퍼를 회전하기 위한 수단으로 제공된다. 상기 전동모터 (16)의 주축 (17)에 고정되는 기어 (18)는 상기 회전샤프트 (12)에 고정되는 기어 (19)에 맞물린다. 이에 따라서, 상기 전동모터 (16)에 의해서, 상기 회전샤프트 (12)를 통하여, 상기 웨이퍼스테이지 (400)은 상기 웨이퍼를 회전축(10a)을 중심으로 회전 구동시킨다.The lower side of the wafer stage 400 is fixed by the rotary shaft 12 and the rotary shaft 12 is rotatably supported by pedestals 14 fixed to the support stand 13. A vacuum path 15 for applying a vacuum pressure to the wafer stage 400 is formed on the rotary shaft 12. An electric motor 16 is mounted under the support table 13 to drive the rotary shaft 12 and is provided as means for rotating the wafer. The gear 18 fixed to the main shaft 17 of the electric motor 16 meshes with the gear 19 fixed to the rotary shaft 12. [ Accordingly, the wafer stage 400 rotates the wafer about the rotary shaft 10a by the electric motor 16 via the rotary shaft 12. [0064]

상기 지지대 (13)에는 다수 개의 구동 로드들 (21)이 장착되고, 각각의 구동 로드 (21)는 상기 지지대 (13)의 하방으로 돌출된다. 상기 구동 로드 (21)의 하단부는 구동판 (22)에 고정된다. 상기 구동판 (22)에 설치되는 너트 (23)는 볼 스크류 (25)와 나사 결합된다. 상기 볼 스크류 (25)는 축 방향으로 왕복 이송 수단인 전동모터 (24)의 주축에 의해서 회전 구동된다. 상기 볼 스크류 (25)에 의해서, 상기 구동 로드 (21)는 상기 웨이퍼스테이지 (400)을 상하방향으로 왕복 이송시킨다. 즉, 상기 구동 로드 (21)는 상기 웨이퍼를 상하 방향으로 왕복 이송시킬 수 있다.A plurality of drive rods 21 are mounted on the support 13 and each of the drive rods 21 protrudes downward from the support 13. The lower end of the driving rod 21 is fixed to the driving plate 22. [ And the nut 23 provided on the drive plate 22 is screwed to the ball screw 25. [ The ball screw 25 is rotationally driven by the main shaft of the electric motor 24 which is the reciprocating transporting means in the axial direction. By the ball screw 25, the driving rod 21 reciprocally moves the wafer stage 400 in the vertical direction. That is, the driving rod 21 can reciprocate the wafer in the vertical direction.

상기 드럼 (30)은 상기 웨이퍼 회동부 (10) 상에 배치된다. 상기 회전 드럼(30)은 상기 웨이퍼 (W)에 대하여 상대 회전한다. 상기 드럼 (30)은 위쪽에 배치되는 주회전체 (31) 및 아래 쪽에 배치되는 부회전체 (32)를 포함한다.The drum (30) is disposed on the wafer turning part (10). The rotary drum 30 rotates relative to the wafer W. The drum 30 includes a main assembly 31 disposed on the upper side and a sub-assembly 32 disposed on the lower side.

상기 주회전체 (31) 및 상기 부회전체 (32)는 서로 평행하게 배치되고, 다수 개의 연결 로드 (33)에 의해서 연결된다. 또한, 상기 주회전체 (31) 및 상기 부회전체 (32) 사이에는 상기 웨이퍼 (W)를 연마하기 위한 공간 (34)이 형성된다. 또한, 상기 주회전체 (31)는 원판 형상을 가지며, 상기 부회전체 (32)는 링 형상을 가질 수 있다.The main assembly 31 and the sub assembly 32 are arranged parallel to each other and are connected by a plurality of connecting rods 33. A space 34 for polishing the wafer W is formed between the main body 31 and the sub-body 32. In addition, the main assembly 31 may have a disk shape, and the sub-assembly 32 may have a ring shape.

상기 주회전체 (31)는 지지통 (37)에 의해서 회전 가능하도록 지지되는 중공 샤프트 (38)에 장착되고, 상기 중공 샤프트 (38)는 전동 모터 (26)의 회전에 따라서, 회전축 (10b)을 중심으로 회전 구동된다. 상기 전동 모터 (26)의 회전을 상기 중공 샤프트 (38)에 전달하기 위해서, 상기 전동 모터 (26)의 주축에 고정되는 풀리 (27) 및 중공 샤프트 (38)에 고정되는 풀리 (28)는 벨트에 의해서 연결된다.The main shaft 31 is mounted on a hollow shaft 38 rotatably supported by a support tube 37. The hollow shaft 38 is rotatably supported by a rotating shaft 10b As shown in FIG. A pulley 27 fixed to the main shaft of the electric motor 26 and a pulley 28 fixed to the hollow shaft 38 are mounted on the belt 38 to rotate the electric motor 26 to the hollow shaft 38, Lt; / RTI >

상기 중공 샤프트(38)의 내부에는 슬러리를 공급하기 위한 슬러리공급부 (200)가 형성되고, 상기 슬러리공급부 (200)을 통하여, 상기 웨이퍼스테이지 (400) 상에 구비되는 웨이퍼의 상면에 슬러리가 공급될 수 있다.A slurry supply unit 200 for supplying a slurry is formed in the hollow shaft 38 and a slurry is supplied to the upper surface of the wafer provided on the wafer stage 400 through the slurry supply unit 200 .

또한, 상기 주회전체 (31)에는 제 1 연마 암 (41)이 설치된다. 상기 제 1 연마 암 (41)은 상기 드럼 (30)이 회전할 때, 원심력에 의해서, 상기 연마 패드 (50)를 상기 드럼 (30)의 안쪽으로 압박한다. 상기 제 1 연마 암 (41)의 일 단부에는 저울 추가 장착되고, 타 단부에는 상기 연마 패드 (50)가 장착된다. 또한, 상기 제 1 연마 암 (41)이 힌지 회전하도록, 상기 제 1 연마 암 (41)의 중간 부분이 상기 주회전체 (31)에 고정될 수 있다. 상기 드럼 (30)이 회전함에 따라서, 상기 저울추는 바깥쪽으로 원심력을 받고, 상기 제 1 연마 암 (41)은 힌지 회전을 한다. 이에 따라서, 상기 연마 패드 (50)는 상기 웨이퍼 (W)의 에지부 (E)에 압력을 가할 수 있다.A first abrading arm 41 is provided on the main assembly 31. The first polishing arm 41 urges the polishing pad 50 to the inside of the drum 30 by a centrifugal force when the drum 30 rotates. A scale is additionally mounted on one end of the first polishing arm 41 and the polishing pad 50 is mounted on the other end. In addition, an intermediate portion of the first polishing arm 41 may be fixed to the main assembly 31 so that the first polishing arm 41 is hinged. As the drum 30 rotates, the weight is subjected to a centrifugal force to the outside, and the first polishing arm 41 performs a hinge rotation. Accordingly, the polishing pad 50 can apply pressure to the edge portion E of the wafer W.

상기 부회전체 (32)에는 제 2 연마 암 (42)이 설치된다. 상기 제 2 연마 암 (42)은 상기 드럼 (30)이 회전할 때, 원심력에 의해서, 상기 연마 패드 (50)를 상기 드럼 (30)의 안쪽으로 압박한다. 더 자세하게, 상기 제 2 연마 암 (42)의 일 단부에는 저울 추가 장착되고, 타 단부에는 상기 연마 패드 (50)가 장착된다. 또한, 상기 제 2 연마 암 (42)이 힌지 회전하도록, 상기 제 2 연마 암 (42)의 중간 부분이 상기 주회전체 (31)에 고정될 수 있다. 상기 회전 드럼 (30)이 회전함에 따라서, 상기 저울추는 바깥쪽으로 원심력을 받고, 상기 제 2 연마 암 (42)은 힌지 회전을 한다. 이에 따라서, 상기 연마 패드 (50)는 상기 웨이퍼 (W)의 에지부 (E)에 압력을 가할 수 있다.A second polishing arm (42) is provided on the sub-assembly (32). The second polishing arm 42 urges the polishing pad 50 to the inside of the drum 30 by the centrifugal force when the drum 30 rotates. More specifically, a scale is additionally mounted on one end of the second polishing arm 42, and the polishing pad 50 is mounted on the other end. Further, an intermediate portion of the second abrading arm 42 may be fixed to the main assembly 31 so that the second abrading arm 42 is hinged. As the rotary drum 30 rotates, the weight is subjected to a centrifugal force to the outside, and the second polishing arm 42 performs a hinge rotation. Accordingly, the polishing pad 50 can apply pressure to the edge portion E of the wafer W.

상기 연마 패드 (50)는 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)에 설치된다. 상기 연마 패드 (50)는 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)을 통하여, 상기 회전 드럼 (30)에 부착된다. 이에, 상기 연마 패드 (50)는 상기 회전 드럼 (30)이 회전함에과 함께 회전하고, 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)의 힌지 회전에 의해서, 상기 웨이퍼 (W)와 직접 접촉한다.The polishing pad 50 is installed in the first polishing arm 41 and the second polishing arm 42. The polishing pad 50 is attached to the rotary drum 30 through the first polishing arm 41 and the second polishing arm 42. The polishing pad 50 is rotated together with the rotation of the rotary drum 30 and the wafer W is rotated by the hinge rotation of the first and second polishing arms 41 and 42, .

상기 연마 패드 (50)는 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)에 직접 부착될 수 있으나, 지지 패드와 결합되어 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)에 부착될 수 있다. 즉, 상기 연마 패드 (50)는 상기 지지 패드에 결합되고, 상기 지지 패드가 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)에 직접 설치될 수 있다. 지지 패드는 상기 연마 패드 (50)을 지지할 수 있도록 충분한 강성을 가질 수 있다.The polishing pad 50 may be directly attached to the first polishing arm 41 and the second polishing arm 42 but may be coupled to the supporting pad so that the first polishing arm 41 and the second polishing arm 42, (Not shown). That is, the polishing pad 50 is coupled to the support pad, and the support pad can be installed directly on the first and second polishing arms 41 and 42. The support pad may have sufficient rigidity to support the polishing pad 50.

상기 연마 패드 (50)는 상기 웨이퍼 (W)의 에지부 (E)에 접촉한다. 상기 연마 패드 (50)는 상기 웨이퍼 (W)의 외주면에 직접 접촉한다. 즉, 상기 회전 드럼(30)의 회전에 의한 원심력에 의해서, 상기 제 1 연마 암 (41) 및 상기 제 2 연마 암 (42)은 힌지 회전되고, 상기 연마 패드 (50)는 상기 웨이퍼 (W)의 에지부 (E)에 직접 접촉하여 압력을 가한다.The polishing pad 50 is in contact with the edge E of the wafer W. The polishing pad 50 is in direct contact with the outer circumferential surface of the wafer W. That is, the first polishing arm 41 and the second polishing arm 42 are hingedly rotated by the centrifugal force generated by the rotation of the rotary drum 30, and the polishing pad 50 is rotated on the wafer W, And the pressure is applied.

상기 연마 패드 (50)는 다수 개의 홈들 (51)을 포함한다. 상기 홈들 (51)은 상기 웨이퍼(W)와 상기 연마 패드(50)가 서로 접촉하는 면에 형성된다. 예를 들어, 부직포 및 우레탄을 포함하는 패드가 다이아몬드 휠 등에 의해서 절삭되어, 상기 홈들 (51)이 형성될 수 있다. 상기 연마 패드 (50)가 형성된다. 상기 홈들 (51)은 일 방향으로 연장되는 형상을 가질 수 있다. 상기 홈들 (51)은 서로 나란히 형성될 수 있다. 상기 홈들 (51)은 상기 웨이퍼 (W)의 회전축 (10a)과 같은 방향으로 연장될 수 있다. 또한, 상기 홈들 (51)은 상기 웨이퍼 (W)의 상면 및 상기 웨이퍼 (W)의 하면과 교차하는 방향으로 연장될 수 있다.The polishing pad 50 includes a plurality of grooves 51. The grooves 51 are formed on a surface where the wafer W and the polishing pad 50 are in contact with each other. For example, the pads including the nonwoven fabric and the urethane can be cut by a diamond wheel or the like, so that the grooves 51 can be formed. The polishing pad 50 is formed. The grooves 51 may have a shape extending in one direction. The grooves 51 may be formed side by side. The grooves 51 may extend in the same direction as the rotation axis 10a of the wafer W. [ The grooves 51 may extend in a direction intersecting the upper surface of the wafer W and the lower surface of the wafer W. [

상기 연마 패드(50)는 탄성을 가진다. 즉, 상기 연마 패드(50)는 내부에 기공을 갖는 폴리우레탄으로 이루어질 수 있으며, 플렉서블할 수 있다. 따라서, 상기 웨이퍼 (W)의 에지부(E)가 연마될 때, 상기 홈들 (51)의 내측면 (52)과 상기 웨이퍼 (W)의 에지부 (E)가 직접 접촉할 수 있다. 또한, 상기 홈들 (51)은 사각형의 형태로 구비될 수 있는데, 상기 홈들 (51)의 가장 깊은 부분인 바닥면과 상기 바닥면의 양측에 수직하게 구비되는 한쌍의 내측면으로 이루어질 수 있다. 상기 홈들 (51)의 바닥면은 상기 웨이퍼 (W)의 에지부 (E)가 직접 접촉할 수 있다. 상기 연마 패드 (50)의 상면, 상기 홈들 (51)의 내측면 및 상기 홈들 (51)의 바닥면이 상기 웨이퍼 (W)의 에지부 (E)를 연마하는 속도가 다를 수 있다. 즉, 상기 연마 패드 (50)의 상면은 상기 홈들 (51)의 내측면과 다른 방향으로 연장되고, 상기 홈들 (51)의 바닥면과 단차를 이루기 때문에, 상기 연마 패드 (50)의 상면, 상기 홈들 (51)의 내측면 및 상기 홈들 (51)의 바닥면은 서로 다른 속도로 회전한다. 이에 따라서, 상기 웨이퍼 (W)의 에지부 (E)와 상기 연마 패드 (50)의 상면, 상기 홈들 (51)의 내측면 및 상기 홈들 (51)의 바닥면 사이의 상대 속도는 서로 다르고, 연마 속도도 서로 다를 수 있다.The polishing pad 50 has elasticity. That is, the polishing pad 50 may be made of polyurethane having pores therein and may be flexible. Therefore, when the edge portion E of the wafer W is polished, the inner surface 52 of the grooves 51 and the edge portion E of the wafer W can directly contact each other. The grooves 51 may be formed in a square shape and may have a bottom surface which is the deepest portion of the grooves 51 and a pair of inner surfaces vertically provided on both sides of the bottom surface. The bottom surface of the grooves 51 can directly contact the edge portion E of the wafer W. [ The upper surface of the polishing pad 50, the inner surface of the grooves 51 and the bottom surface of the grooves 51 may have different polishing rates at the edge E of the wafer W. [ That is, since the upper surface of the polishing pad 50 extends in a direction different from the inner surface of the grooves 51 and forms a step with the bottom surface of the grooves 51, the upper surface of the polishing pad 50, The inner surface of the grooves 51 and the bottom surface of the grooves 51 rotate at different speeds. The relative speed between the edge portion E of the wafer W and the upper surface of the polishing pad 50, the inner surface of the grooves 51 and the bottom surface of the grooves 51 are different from each other, Speeds can also be different.

상기 홈들 (51)은 상기 웨이퍼 (W)가 연장되는 방향과 교차하는 방향, 즉, 상기 웨이퍼 (W)의 상면과 교차하는 방향으로 연장된다. 상기 웨이퍼 (W)의 상에 분사되는 슬러리는 상기 홈들 (51)을 통하여, 상기 웨이퍼 (W)의 에지부 (E)를 지나서, 상기 웨이퍼 (W) 아래로 용이하게 흐를 수 있다. 즉, 상기 슬러리는 상기 홈들 (51)을 통하여, 상기 웨이퍼 (W)의 에지부 (E)에 용이하게 공급될 수 있다. 이에, 본 실시예에 따른 웨이퍼 연마장치는 상기 홈들 (51)에 의해서, 상기 웨이퍼의 에지부를 용이하게 연마할 수 있다.The grooves 51 extend in a direction intersecting the direction in which the wafer W extends, that is, in a direction intersecting the upper surface of the wafer W. The slurry injected onto the wafer W can flow easily through the grooves 51 and beyond the edge portion E of the wafer W and below the wafer W. [ That is, the slurry can be easily supplied to the edge portion E of the wafer W through the grooves 51. Thus, the wafer polishing apparatus according to the present embodiment can easily polish the edge portion of the wafer by the grooves 51.

본 발명이 속하는 기술분야의 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구의 범위에 의하여 나타내어지며, 특허청구의 범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.It will be understood by those skilled in the art that the present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive. The scope of the present invention is defined by the appended claims rather than the foregoing detailed description, and all changes or modifications derived from the meaning and scope of the claims and the equivalents thereof are included in the scope of the present invention Should be interpreted.

10 : 웨이퍼 연마장치
100 : 챔버
110 : 챔버셔터
120 : 공기분사부
200 : 슬러리공급부
210 : 내부노즐
220 : 외부노즐
300 : 서브노즐
400 : 웨이퍼스테이지
10: wafer polishing apparatus
100: chamber
110: chamber shutter
120:
200: Slurry supply part
210: inner nozzle
220: External nozzle
300: sub nozzle
400: Wafer stage

Claims (7)

반도체 웨이퍼를 연마하는 장치로,
상기 웨이퍼의 하부면을 흡착 고정시키는 진공흡착부를 구비하고, 상기 웨이퍼를 지지하는 웨이퍼스테이지;
상기 웨이퍼에 대해서 회전하는 드럼;
상기 드럼에 부착되어 상기 웨이퍼의 에지부와 직접 접촉하는 패드;
상기 웨이퍼의 상부면을 향하여 슬러리를 공급하는 슬러리공급부; 및
상기 웨이퍼스테이지, 드럼, 패드 및 슬러리공급부를 수납하도록 공간을 구비하는 챔버;를 포함하고,
상기 슬러리공급부는 슬러리를 공급하도록 내부에 제1 공간을 구비하는 내부노즐과 상기 내부노즐의 외면에서 이격되어 상기 내부노즐의 외면을 감싸도록 구비되어 불활성기체가 유동하는 제2 공간을 구비하는 외부노즐, 및 상기 내부노즐과 외부노즐의 말단부에 구비되어 상기 슬러리를 상기 웨이퍼 상에 분산시키도록 복수개의 유로를 구비하는 서브노즐로 이루어지며,
상기 챔버에는 상기 웨이퍼가 상기 챔버 내로 유입되도록 슬라이드형으로 개폐되는 챔버셔터, 상기 챔버셔터에 인접하게 공기를 분사하는 공기분사부 및 상기 웨이퍼의 근접을 감지하는 챔버센서를 포함하고, 상기 챔버센서에 의하여 상기 웨이퍼가 상기 챔버셔터측으로의 접근이 센싱되면 상기 챔버셔터가 개구되어 개구부가 형성되고 상기 공기분사부는 상기 개구부에 나란하도록 공기를 분사시키고,
상기 챔버셔터는 상기 챔버의 내측에 구비되어 상부에서 하부로 이동하여 상기 챔버의 개구부를 폐쇄하도록 구비되고,
상기 챔버의 외면에는 상기 개구부의 하부측에서 상기 챔버의 외면에 대해서 수직으로 연장되는 플레이트를 구비하고, 상기 공기분사부는 상기 개구부의 상부측에서 상기 플레이트를 향하여 수직하게 공기를 분사하며,
상기 플레이트에는 복수개의 홀이 구비되어 상기 공기분사부에서 분사되는 공기가 통과하도록 구비되는 반도체 웨이퍼 연마장치.
An apparatus for polishing a semiconductor wafer,
A wafer stage having a vacuum adsorption portion for adsorbing and fixing the lower surface of the wafer, the wafer stage supporting the wafer;
A drum rotating with respect to the wafer;
A pad attached to the drum and in direct contact with an edge of the wafer;
A slurry supply unit for supplying a slurry toward an upper surface of the wafer; And
A chamber having a space to receive the wafer stage, the drum, the pad, and the slurry supply,
Wherein the slurry supply unit includes an inner nozzle having a first space therein for supplying slurry and an outer nozzle having a second space spaced apart from the outer surface of the inner nozzle to surround the outer surface of the inner nozzle, And a sub nozzle provided at the distal end of the inner nozzle and the outer nozzle and having a plurality of flow paths for dispersing the slurry on the wafer,
Wherein the chamber includes a chamber shutter that is slidably opened and closed to allow the wafer to flow into the chamber, an air ejection portion that ejects air adjacent the chamber shutter, and a chamber sensor that senses proximity of the wafer, When the wafer is sensed to approach the chamber shutter side, the chamber shutter is opened to form an opening, and the air injection unit injects air so as to be aligned with the opening,
The chamber shutter is provided inside the chamber to move from the upper part to the lower part to close the opening of the chamber,
And a plate extending perpendicularly to an outer surface of the chamber at a lower side of the opening, wherein the air injection unit vertically blows air from the upper side of the opening toward the plate,
Wherein the plate is provided with a plurality of holes so that the air injected from the air injecting portion passes through the plate.
삭제delete 제1항에 있어서,
상기 공기분사부는 서로 동일한 간격으로 나란하게 정렬되는 복수개의 노즐을 포함하고, 상기 노즐은 상기 챔버의 외면에서 수직하게 연장되되 상기 플레이트를 향하는 방향으로 복수개의 공기가 분사되는 에어홀을 구비하며,
상기 공기분사부에서 최외측에 구비되는 에어홀은 상기 플레이트의 단부에서 0.5mm 내지 0.7mm만큼 이격되도록 이동하는 공기를 분사하고,
상기 챔버센서는 상기 공기분사부의 말단에 구비되는 반도체 웨이퍼 연마장치.
The method according to claim 1,
Wherein the air injecting part includes a plurality of nozzles arranged in parallel at equal intervals from each other, the nozzle having an air hole extending vertically from the outer surface of the chamber and having a plurality of air injected in a direction toward the plate,
Wherein the air holes provided at the outermost side in the air ejecting part eject air moving at a distance of 0.5 mm to 0.7 mm from the end of the plate,
Wherein the chamber sensor is provided at an end of the air injection unit.
제3항에 있어서,
상기 웨이퍼스테이지는 중앙부에 진공흡착부가 구비되는 베이스플레이트, 상기 베이스플레이트의 상부에 구비되어 상기 진공흡착부를 사이에 두고 구비되는 한쌍의 슬라이딩부재를 포함하고,
상기 한쌍의 슬라이딩부재의 일단은 상기 베이스플레이트에 고정되고, 상기 한쌍의 슬라이딩부재의 타단은 상기 베이스플레이트에서 슬라이딩되어 상기 한쌍의 슬라이딩부재의 타단이 서로 이격되며,
상기 웨이퍼스테이지에서 상기 한쌍의 슬라이딩부재의 이동에 의하여 다양한 크기의 웨이퍼를 지지하도록 구비되는 반도체 웨이퍼 연마장치.
The method of claim 3,
Wherein the wafer stage includes a base plate having a vacuum adsorption unit at a central portion thereof, and a pair of sliding members provided on the base plate and sandwiching the vacuum adsorption unit,
Wherein one end of the pair of sliding members is fixed to the base plate and the other ends of the pair of sliding members slide on the base plate so that the other ends of the pair of sliding members are spaced apart from each other,
And to support the wafers of various sizes by the movement of the pair of sliding members on the wafer stage.
제1항에 있어서,
상기 내부노즐의 단면은 원형으로 이루어지고, 상기 외부노즐의 단면은 상기 내부노즐의 단면보다 더 큰 직경의 원형으로 이루어지며, 상기 내부노즐은 상기 외부노즐의 중심부에 구비되어 상기 내부노즐와 상기 외부노즐의 사이의 제2 공간의 단면은 후프형상으로 구비되고,
상기 내부노즐의 내면에는 카본나노튜브 (CNT)로 이루어지는 코팅부가 구비되고,
상기 외부노즐의 내부에는 상기 외부노즐를 통하여 유동하는 공기를 가열 또는 냉각시키는 열교환부재가 구비되며,
상기 코팅부는 상기 슬러리가 흐르는 방향으로 나란하게 연장된 복수개의 유로를 형성하고, 상기 유로는 단면이 반원형으로 형성되고,
상기 코팅부에는 상기 내부노즐의 제1 공간의 중심부를 향하여 돌출되어 상기 슬러리의 응집을 해체하는 복수개의 리브가 구비되고, 상기 리브는 원뿔형으로 서로 다른 높이로 형성되는 반도체 웨이퍼 연마장치.
The method according to claim 1,
Wherein the inner nozzle has a circular cross section and a cross section of the outer nozzle has a larger diameter than a cross section of the inner nozzle and the inner nozzle is provided at a central portion of the outer nozzle, A cross section of the second space is provided in a hoop shape,
A coating part made of carbon nanotube (CNT) is provided on the inner surface of the inner nozzle,
A heat exchange member for heating or cooling the air flowing through the outer nozzle is provided in the outer nozzle,
Wherein the coating portion forms a plurality of flow paths extending in parallel to the direction in which the slurry flows, the flow paths having a semicircular cross section,
Wherein the coating portion is provided with a plurality of ribs protruding toward the central portion of the first space of the inner nozzle to dissolve the agglomeration of the slurry, wherein the ribs are formed in a conical shape at different heights.
제5항에 있어서,
상기 서브노즐은 상기 제1 공간에 대응하여 연장되어 슬러리가 배출되는 복수개의 파이프부와 상기 제2 공간에 대응하도록 연장되어 공기가 배출되는 깔때기형태의 퍼널부로 이루어지고,
상기 파이프부는 상기 제1 공간에서 수직으로 연장되는 수직파이프와, 상기 제1 공간에서 상기 수직파이프에 대해서 경사지도록 연장되는 하나 이상의 경사파이프를 구비하되 상기 경사파이프는 상기 수직파이프의 상부측에 근접하게 구비되되 상기 수직파이프의 하부측으로 갈수록 멀어지도록 상기 수직파이프에 대해서 경사지게 감싸도록 구비되고,
상기 퍼널부는 상기 제2 공간에서 경사지도록 연장되어 상기 경사파이프의 외측으로 감싸도록 연장되며,
상기 수직파이프는 상기 경사파이프보다 넓은 단면적으로 구비되어 슬러리를 상기 웨이퍼의 중심부를 향하여 배출하고, 상기 경사파이프는 상기 슬러리를 상기 웨이퍼의 외각을 향하여 배출하되 상기 퍼널부에 의하여 배출되는 공기에 의하여 흐름이 가이드되어 외부측으로 유출되지 않도록 제어되는 반도체 웨이퍼 연마장치.
6. The method of claim 5,
Wherein the sub nozzle comprises a plurality of pipe portions extending corresponding to the first space to discharge the slurry and a funnel-shaped funnel-shaped portion extending to correspond to the second space and discharging air,
Wherein the pipe section includes a vertical pipe vertically extending in the first space and at least one inclined pipe extending in an inclined relation with respect to the vertical pipe in the first space, The vertical pipe is inclined with respect to the vertical pipe so as to be further away from the vertical pipe,
Wherein the funnel portion extends to be inclined in the second space and to surround the slope pipe,
Wherein the vertical pipe is provided with a cross-sectional area wider than the slant pipe to discharge the slurry toward the center of the wafer, and the slant pipe discharges the slurry toward the outer periphery of the wafer, Is controlled so as not to flow out to the outside.
제6항에 있어서,
상기 파이프부의 상부 외면에는 나사산으로 이루어진 제1 체결부가 구비되고, 상기 퍼널부의 상부 내면에는 상기 제1 체결부와 나사결합으로 체결되는 제2 체결부가 구비되는 반도체 웨이퍼 연마장치.
The method according to claim 6,
Wherein the upper portion of the pipe portion has a first fastening portion formed of a thread, and an upper inner surface of the funnel portion has a second fastening portion fastened to the first fastening portion by screwing.
KR1020180049515A 2018-04-30 2018-04-30 Polishing device for wafer KR101906770B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180049515A KR101906770B1 (en) 2018-04-30 2018-04-30 Polishing device for wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180049515A KR101906770B1 (en) 2018-04-30 2018-04-30 Polishing device for wafer

Publications (1)

Publication Number Publication Date
KR101906770B1 true KR101906770B1 (en) 2018-10-10

Family

ID=63875969

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180049515A KR101906770B1 (en) 2018-04-30 2018-04-30 Polishing device for wafer

Country Status (1)

Country Link
KR (1) KR101906770B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210070444A (en) * 2019-12-04 2021-06-15 세메스 주식회사 Apparatus and Method for treating substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100686762B1 (en) 2004-11-01 2007-02-26 동경 엘렉트론 주식회사 Substrate processing system
KR101135273B1 (en) 2010-06-01 2012-04-12 주식회사 엘지실트론 Wafer polishing apparatus
JP2015139859A (en) 2014-01-30 2015-08-03 株式会社ニートレックス本社 Grinding fluid supply tool and grinding wheel
KR101584943B1 (en) * 2015-09-09 2016-01-14 전용준 Slurry dispenser for CMP apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100686762B1 (en) 2004-11-01 2007-02-26 동경 엘렉트론 주식회사 Substrate processing system
KR101135273B1 (en) 2010-06-01 2012-04-12 주식회사 엘지실트론 Wafer polishing apparatus
JP2015139859A (en) 2014-01-30 2015-08-03 株式会社ニートレックス本社 Grinding fluid supply tool and grinding wheel
KR101584943B1 (en) * 2015-09-09 2016-01-14 전용준 Slurry dispenser for CMP apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210070444A (en) * 2019-12-04 2021-06-15 세메스 주식회사 Apparatus and Method for treating substrate
KR102360142B1 (en) * 2019-12-04 2022-02-10 세메스 주식회사 Apparatus and Method for treating substrate

Similar Documents

Publication Publication Date Title
US10259098B2 (en) Method and apparatus for polishing a substrate
KR101624465B1 (en) Polishing apparatus and polishing method
TWI771404B (en) Polishing apparatus and polishing method
US6939208B2 (en) Polishing apparatus
KR100700807B1 (en) System for dispensing polishing liquid during chemical mechanical polishing of a semiconductor wafer
US7419420B2 (en) Substrate holding mechanism, substrate polishing apparatus and substrate polishing method
KR102344807B1 (en) A polishing machine and a polishing method for a substrate
US7083506B2 (en) Polishing apparatus
JP2010130022A (en) Substrate polishing apparatus, and method of polishing substrate using the same
JP5775797B2 (en) Polishing apparatus and method
US10807213B2 (en) Chemical mechanical polishing apparatus and method
JP5722065B2 (en) Polishing equipment
TW202026106A (en) Apparatus for polishing and method for polishing
TWI790282B (en) Substrate processing device, substrate processing method, and storage medium
US7025663B2 (en) Chemical mechanical polishing apparatus having conditioning cleaning device
CN113579970A (en) Self-rotating efficient polishing equipment for semiconductor wafer silicon wafer
KR101906770B1 (en) Polishing device for wafer
TW202007476A (en) Apparatus for polishing and method for polishing
KR20220116321A (en) Gas entrainment during fluid jetting for temperature control in chemical mechanical polishing
JP7493966B2 (en) Polishing Equipment and Processing Systems
US7175515B2 (en) Static pad conditioner
JP7145283B2 (en) Buffing equipment and substrate processing equipment
KR20000008937A (en) Method and apparatus for polishing wafer
KR20230162540A (en) Method of grinding wafer and apparatus of grinding wafer
JP2021137927A (en) Polishing device and processing system

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant