KR101820233B1 - Interconnection structure, fabricating method thereof, and semiconductor device using the same - Google Patents

Interconnection structure, fabricating method thereof, and semiconductor device using the same Download PDF

Info

Publication number
KR101820233B1
KR101820233B1 KR1020160035819A KR20160035819A KR101820233B1 KR 101820233 B1 KR101820233 B1 KR 101820233B1 KR 1020160035819 A KR1020160035819 A KR 1020160035819A KR 20160035819 A KR20160035819 A KR 20160035819A KR 101820233 B1 KR101820233 B1 KR 101820233B1
Authority
KR
South Korea
Prior art keywords
silicide
layer
contact region
semiconductor
epitaxial structure
Prior art date
Application number
KR1020160035819A
Other languages
Korean (ko)
Other versions
KR20170031009A (en
Inventor
유헝 린
치웬 리우
홍휴이 쳉
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170031009A publication Critical patent/KR20170031009A/en
Application granted granted Critical
Publication of KR101820233B1 publication Critical patent/KR101820233B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

반도체 디바이스는, 반도체 기판, 반도체 기판에 있는 컨택 영역, 및 컨택 영역의 텍스처 표면 상에 있는 실리사이드를 포함한다. 실리사이드와 컨택 영역 사이에 복수의 스퍼터 이온이 존재한다. 컨택 영역의 표면이 텍스처된 것이므로, 실리사이드에 의해 제공된 컨택 영역이 그에 따라 증가되고, 따라서 반도체 디바이스의 상호접속 구조물의 저항이 감소된다.The semiconductor device includes a semiconductor substrate, a contact region on the semiconductor substrate, and a silicide on the texture surface of the contact region. There is a plurality of sputter ions between the silicide and the contact regions. Since the surface of the contact area is textured, the contact area provided by the silicide is accordingly increased, thus reducing the resistance of the interconnect structure of the semiconductor device.

Description

상호접속 구조물, 이의 제조 방법, 및 이를 사용한 반도체 디바이스{INTERCONNECTION STRUCTURE, FABRICATING METHOD THEREOF, AND SEMICONDUCTOR DEVICE USING THE SAME}TECHNICAL FIELD [0001] The present invention relates to an interconnect structure, a method of manufacturing the same, and a semiconductor device using the same. BACKGROUND OF THE INVENTION [0002]

관련 출원Related application

본 출원은 2015년 9월 10일 출원된 미국 가출원 번호 제62/216,902호에 대한 우선권을 주장하며, 이는 참조에 의해 여기에 포함된다.This application claims priority to U.S. Provisional Application No. 62 / 216,902, filed September 10, 2015, which is incorporated herein by reference.

반도체 집적 회로(IC; integrated circuit) 산업은 급격한 성장을 겪어왔다. 현대의 집적 회로는 트랜지스터 및 커패시터와 같은 말 그대로 수백만의 능동 디바이스로 구성된다. IC 재료 및 설계에 있어서의 기술 발전은 IC 세대를 생성하였으며, 각 세대는 이전 세대보다 더 작고 보다 복잡한 회로를 갖는다. 이들 디바이스는 처음에 서로 격리되어 있지만, 나중에는 기능 회로를 형성하도록 복수의 금속 층을 통해 서로 상호접속된다. IC가 점점 더 복잡해짐에 따라, 상호접속 구조물도 또한 더 복잡해지며, 그 결과 금속 층의 수를 증가시킨다. The semiconductor integrated circuit (IC) industry has undergone rapid growth. Modern integrated circuits consist of literally millions of active devices such as transistors and capacitors. Technological advances in IC materials and design have created IC generations, with each generation having smaller and more complex circuits than previous generations. These devices are initially isolated from each other, but later interconnected via a plurality of metal layers to form functional circuits. As ICs become increasingly complex, interconnect structures are also becoming more complex, increasing the number of metal layers as a result.

상호접속 구조물은, 금속 라인(배선)과 같은 측방 상호접속부, 및 전도성 비아 및 컨택과 같은 수직 상호접속부를 포함할 수 있다. 그러나, 복잡한 상호접속부는 현대 집적 회로의 밀도 및 성능을 제한한다.The interconnect structure may include lateral interconnects, such as metal lines (interconnects), and vertical interconnects, such as conductive vias and contacts. However, complex interconnections limit the density and performance of modern integrated circuits.

반도체 디바이스는, 반도체 기판, 반도체 기판에 있는 컨택 영역, 및 컨택 영역의 텍스처 표면 상에 있는 실리사이드를 포함한다. 실리사이드와 컨택 영역 사이에 복수의 스퍼터 이온이 존재한다. 컨택 영역의 표면이 텍스처된 것이므로, 실리사이드에 의해 제공된 컨택 영역이 그에 따라 증가되고, 따라서 반도체 디바이스의 상호접속 구조물의 저항이 감소된다.The semiconductor device includes a semiconductor substrate, a contact region on the semiconductor substrate, and a silicide on the texture surface of the contact region. There is a plurality of sputter ions between the silicide and the contact regions. Since the surface of the contact area is textured, the contact area provided by the silicide is accordingly increased, thus reducing the resistance of the interconnect structure of the semiconductor device.

본 개시의 양상은 첨부 도면과 함께 볼 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업계에서의 표준 실시에 따라, 다양한 특징부들이 축척대로 도시된 것은 아님을 유의하여야 한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의로 증가되거나 감소될 수 있다.
도 1a 내지 도 1e는 본 개시의 일부 실시예에 따라 다양한 단계에서의 FinFET 디바이스를 제조하는 방법의 개략 사시도들이다.
도 2a 내지 도 2f는 FinFET 디바이스의 상호접속 구조물을 제조하는 방법의 국부 단면도들이다.
도 3은 본 개시의 일부 실시예의 상호접속 구조물의 국부 단면도이다.
도 4a 내지 도 4f는 본 개시의 일부 실시예에 따라 다양한 단계에서의 반도체 디바이스를 제조하는 방법의 개략 사시도들이다.
도 5는 본 개시의 일부 실시예의 상호접속 구조물의 국부 단면도이다.
The aspects of the disclosure are best understood from the following detailed description when taken in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. Indeed, the dimensions of the various features may be increased or decreased arbitrarily to clarify the description.
Figures 1A-1E are schematic perspective views of a method of manufacturing a FinFET device at various stages in accordance with some embodiments of the present disclosure.
Figures 2a-2f are local cross-sectional views of a method of manufacturing an interconnect structure of a FinFET device.
3 is a partial cross-sectional view of an interconnect structure of some embodiments of the present disclosure;
Figures 4A-4F are schematic perspective views of a method of fabricating a semiconductor device at various stages in accordance with some embodiments of the present disclosure.
5 is a partial cross-sectional view of an interconnect structure of some embodiments of the present disclosure;

다음의 개시는 제공되는 주제의 상이한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공하는 것이다. 디바이스 및 구성의 구체적 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이고 한정하고자 하는 것이 아니다. 예를 들어, 이어지는 다음의 기재에서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적인 것이며, 그 자체가, 설명되는 다양한 실시예 및/또는 구성 간의 관계를 나타내는 것은 아니다. The following disclosure is to provide many different embodiments or examples for implementing different features of the subject matter provided. Specific examples of devices and configurations are described below to simplify the present disclosure. These are, of course, merely examples and not intended to be limiting. For example, forming the first feature on or on the second feature in the following description may include an embodiment in which the first and second features are formed in direct contact, and the first and second features, 2 feature may be formed between the first feature and the second feature such that the feature is not in direct contact with the second feature. In addition, the present disclosure may repeat the reference numerals and / or characters in various examples. This repetition is for the sake of simplicity and clarity and does not in itself represent a relationship between the various embodiments and / or configurations described.

또한, "밑에", "아래에", "하부", "위에", "상부" 등과 같은 공간적으로 상대적인 용어는, 도면에 예시된 바와 같이 하나의 구성요소 또는 특징부의, 또다른 구성요소(들) 또는 특징부(들)에 대한 관계를 설명하고자 기재를 용이하게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는, 도면에 도시된 배향에 더하여, 사용시 또는 동작시 디바이스의 상이한 배향을 포함하는 것으로 의도된다. 장치는 달리 배향될 수 있고(90도 회전 또는 다른 배향), 여기에서 사용되는 공간적으로 상대적인 기술자는 마찬가지로 그에 따라 해석될 수 있다.It will also be appreciated that spatially relative terms such as "under", "under", "under", "above", "above", etc., ) Or to describe the relationship to the feature (s). Spatially relative terms are intended to include different orientations of the device at the time of use or in operation, in addition to the orientations shown in the figures. The device can be oriented differently (90 degrees rotation or other orientation), and the spatially relative descriptor used herein can be interpreted accordingly.

반도체 디바이스 크기가 계속해서 축소함에 따라, 복수의 금속화 제조에 있어서 전도성 요건 뿐만 아니라 신뢰성을 충족시키는 것은 점점 더 어려워졌다. 예를 들어, 금속 라인, 및 금속 라인을 집적 회로(IC) 디바이스의 상이한 층으로부터 상호접속시키는 전도성 비아를 포함하는 상호접속 구조물의 형성은 일반적으로 낮은 저항을 요구하는데, 전도성 비아의 전도성 금속이 ILD 층으로 확산하는 것을 막는 배리어 층도 필요로 한다. IC 디바이스에서의 RC 지연을 낮추기 위해, 배리어 층은 또한 상호접속부의 저항을 통제하는 역할을 한다. 본 개시는 FinFET 디바이스와 같은 반도체 디바이스에서 상호접속 구조물의 저항을 감소시키는 방법에 관한 것이다. As semiconductor device sizes continue to shrink, it becomes increasingly difficult to meet reliability requirements as well as conductivity requirements in multiple metallization fabrication. For example, the formation of interconnection structures that include conductive lines, interconnecting metal lines, and metal lines from different layers of an integrated circuit (IC) device generally require low resistance, since the conductive metal of the conductive via is an ILD A barrier layer is required to prevent diffusion into the layer. To lower the RC delay in the IC device, the barrier layer also serves to control the resistance of the interconnect. The present disclosure relates to a method of reducing the resistance of an interconnect structure in a semiconductor device such as a FinFET device.

도 1a 내지 도 1e는 본 개시의 일부 실시예에 따라 다양한 단계에서의 FinFET 디바이스를 제조하는 방법의 개략 사시도들이다. 도 1a를 참조한다. 기판(110)이 제공된다. 일부 실시예에서, 기판(110)은 반도체 재료일 수 있고, 예를 들어 매립 산화물 또는 구배 층을 포함한 공지의 구조물을 포함할 수 있다. 일부 실시예에서, 기판(110)은 미도핑 또는 도핑(예를 들어, p 타입, n 타입, 또는 이들의 조합)될 수 있는 벌크 실리콘을 포함한다. 반도체 디바이스 형성에 적합한 다른 재료가 사용될 수 있다. 게르마늄, 석영, 사파이어, 및 유리와 같은 다른 재료가 대안으로서 기판(110)에 사용될 수 있다. 대안으로서, 실리콘 기판(110)은 SOI(semiconductor-on-insulator) 기판의 활성 층 또는 벌크 실리콘 층 상에 형성된 실리콘-게르마늄 층과 같은 다층 구조물일 수 있다. Figures 1A-1E are schematic perspective views of a method of manufacturing a FinFET device at various stages in accordance with some embodiments of the present disclosure. Please refer to Fig. A substrate 110 is provided. In some embodiments, the substrate 110 can be a semiconductor material and include known structures including, for example, buried oxide or a gradient layer. In some embodiments, the substrate 110 comprises bulk silicon that may be undoped or doped (e.g., p-type, n-type, or a combination thereof). Other materials suitable for forming semiconductor devices may be used. Other materials such as germanium, quartz, sapphire, and glass may alternatively be used for the substrate 110. Alternatively, the silicon substrate 110 may be a multilayer structure, such as a silicon-germanium layer formed on the active layer or bulk silicon layer of a semiconductor-on-insulator (SOI) substrate.

복수의 p 웰 영역(116) 및 복수의 n 웰 영역(112)이 기판(110)에 형성된다. n 웰 영역(112) 중의 하나는 p 웰 영역(116) 중의 2개 사이에 형성된다. p 웰 영역(116)은 붕소 이온과 같은 P 도펀트 재료로 주입되고, n 웰 영역(112)은 비소 이온과 같은 N 도펀트 재료로 주입된다. p 웰 영역(116)의 주입 동안, n 웰 영역(112)은 (포토레지스트와 같은) 마스크로 커버되고, n 웰 영역(112)의 주입 동안, p 웰 영역(116)은 (포토레지스트와 같은) 마스크로 커버된다. A plurality of p-well regions 116 and a plurality of n-well regions 112 are formed in the substrate 110. One of the n well regions 112 is formed between two of the p well regions 116. The p well region 116 is implanted with a P dopant material such as boron ions and the n well region 112 is implanted with an N dopant material such as arsenic ions. During implantation of the p-well region 116, the n-well region 112 is covered with a mask (such as a photoresist) and during implantation of the n-well region 112, the p-well region 116 ) Mask.

복수의 반도체 핀(122, 124)이 기판(110) 상에 형성된다. 반도체 핀(122)은 p 웰 영역(116) 상에 형성되고, 반도체 핀(124)은 n 웰 영역(112) 상에 형성된다. 일부 실시예에서, 반도체 핀(122, 124)은 실리콘을 포함한다. 도 1a의 반도체 핀(122, 124)의 개수는 예시적인 것이며, 본 개시의 청구하는 범위를 한정하여서는 안됨을 유의하여야 한다. 당해 기술 분야에서의 통상의 지식을 가진 자라면, 실제 상황에 따라 반도체 핀(122, 124)에 대한 적합한 수를 선택할 수 있을 것이다. A plurality of semiconductor fins 122 and 124 are formed on the substrate 110. A semiconductor pin 122 is formed on the p-well region 116 and a semiconductor fin 124 is formed on the n-well region 112. [ In some embodiments, the semiconductor pins 122 and 124 comprise silicon. It should be noted that the number of semiconductor pins 122, 124 in FIG. 1A is exemplary and should not limit the claimed scope of the disclosure. Those of ordinary skill in the art will be able to select an appropriate number for semiconductor pins 122 and 124, depending on the actual situation.

반도체 핀(122, 124)은, 예를 들어 포토리소그래피 기술을 사용하여 기판(110)을 패터닝 및 에칭함으로써 형성될 수 있다. 일부 실시예에서, 포토레지스트 재료의 층(도시되지 않음)이 기판(110) 위에 성막된다. 포토레지스트 재료의 층은 원하는 패턴(이 경우, 반도체 핀(122, 124))에 따라 조사되며(노출됨), 포토레지스트 재료의 일부를 제거하도록 현상된다. 남은 포토레지스트 재료는 에칭과 같은 후속 프로세싱 단계로부터 아래의 재료를 보호한다. 산화물 또는 실리콘 질화물 마스크와 같은 다른 마스크도 또한 에칭 프로세스에 사용될 수 있다는 것을 유의하여야 한다. The semiconductor pins 122 and 124 may be formed by patterning and etching the substrate 110 using, for example, photolithographic techniques. In some embodiments, a layer of photoresist material (not shown) is deposited over the substrate 110. A layer of photoresist material is irradiated (exposed) according to the desired pattern (in this case, semiconductor pins 122 and 124) and developed to remove a portion of the photoresist material. The remaining photoresist material protects the underlying material from subsequent processing steps such as etching. It should be noted that other masks such as oxides or silicon nitride masks may also be used in the etching process.

복수의 격리 구조물(130)이 기판(110) 상에 형성된다. 반도체 핀(122, 124) 주변에 쉘로우 트렌치 아이솔레이션(STI; shallow trench isolation)으로서 작용하는 격리 구조물(130)이, 전구체로서 TEOS(tetra-ethyl-ortho-silicate) 및 산소를 사용한 화학적 기상 증착(CVD; chemical vapor deposition) 기술에 의해 형성될 수 있다. 또 일부 다른 실시예에서, 격리 구조물(130)은 SOI 웨이퍼의 절연체 층이다. A plurality of isolation structures (130) are formed on the substrate (110). Isolation structures 130 acting as shallow trench isolation (STI) around semiconductor pins 122 and 124 are formed by chemical vapor deposition (CVD) using tetra-ethyl-ortho-silicate (TEOS) or chemical vapor deposition techniques. In some other embodiments, isolation structure 130 is an insulator layer of an SOI wafer.

도 1b를 참조한다. 적어도 하나의 더미 게이트(142)가 반도체 핀(122, 124)의 부분 상에 형성되고 반도체 핀(122, 124)의 또다른 부분을 노출시킨다. 더미 게이트(142)는 복수의 반도체 핀(122, 124)을 교차하며 형성될 수 있다. 복수의 게이트 스페이서(140)가 기판(110) 위에 그리고 더미 게이트(142)의 측부(side)를 따라 형성된다. 일부 실시예에서, 게이트 스페이서(140)는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 기타 적합한 재료를 포함할 수 있다. 게이트 스페이서(140)는 단층 또는 다층 구조물을 포함할 수 있다. 게이트 스페이서(140)의 전면(blanket) 층이 CVD, PVD, ALD, 또는 기타 적합한 기술에 의해 형성될 수 있다. 그 다음, 더미 게이트(142)의 두 측부 상에 한 쌍의 게이트 스페이서(140)를 형성하도록 전면 층에 대해 이방성 에칭이 수행된다. 일부 실시예에서, 게이트 스페이서(140)는 소스/드레인 영역과 같은 후속 형성되는 도핑 영역을 오프셋하도록 사용된다. 게이트 스페이서(140)는 소스/드레인 영역(접합) 프로파일을 설계 또는 수정하기 위해 더 사용될 수 있다. See FIG. 1B. At least one dummy gate 142 is formed on a portion of the semiconductor fin 122, 124 and exposes another portion of the semiconductor fin 122, 124. The dummy gate 142 may be formed to cross the plurality of semiconductor fins 122 and 124. A plurality of gate spacers 140 are formed over the substrate 110 and along the side of the dummy gate 142. In some embodiments, the gate spacers 140 may comprise silicon oxide, silicon nitride, silicon oxynitride, or other suitable material. The gate spacer 140 may comprise a single layer or multi-layer structure. A blanket layer of gate spacer 140 may be formed by CVD, PVD, ALD, or other suitable technique. Anisotropic etching is then performed on the front layer to form a pair of gate spacers 140 on the two sides of the dummy gate 142. In some embodiments, the gate spacers 140 are used to offset subsequent formed doped regions, such as source / drain regions. The gate spacers 140 may further be used to design or modify the source / drain region (junction) profile.

도 1c를 참조한다. 더미 게이트(142) 및 게이트 스페이서(140)에 의해 둘 다 노출된 반도체 핀(122, 124)의 부분은 반도체 핀(122, 124)에 트렌치(126)를 형성하도록 부분적으로 제거된다(또는 부분적으로 트렌치됨(trenched)). 일부 실시예에서, 트렌치(126)는 그의 상부 부분으로서 유전체 핀 측벽 구조물(125)로 형성된다. 일부 실시예에서, 트렌치(126)의 측벽은 실질적으로 수직이며 서로 평행하다. 일부 다른 실시예에서, 트렌치(126)는 수직 평행이 아닌 프로필로 형성된다. See FIG. The portions of the semiconductor fins 122 and 124 that are both exposed by the dummy gate 142 and the gate spacer 140 are partially removed to form the trench 126 in the semiconductor fins 122 and 124 Trenched). In some embodiments, the trench 126 is formed as a dielectric fin side wall structure 125 as its upper portion. In some embodiments, the sidewalls of the trenches 126 are substantially vertical and parallel to one another. In some other embodiments, the trenches 126 are formed in a profile that is not vertically parallel.

도 1c에서, 반도체 핀(122)은 적어도 하나의 트렌치된 부분(122r) 및 적어도 하나의 채널 부분(122c)을 포함한다. 트렌치(126)는 트렌치된 부분(122r) 상에 형서되고, 더미 게이트(142)는 채널 부분(122c)의 일부를 커버한다. 반도체 핀(124)은 적어도 하나의 트렌치된 부분(124r) 및 적어도 하나의 채널 부분(124c)을 포함한다. 트렌치(126)는 트렌치된 부분(124r) 상에 형성되고, 더미 게이트(142)는 채널 부분(124c)의 일부를 커버한다. 1C, the semiconductor fin 122 includes at least one trenched portion 122r and at least one channel portion 122c. The trench 126 is implanted on the trenched portion 122r and the dummy gate 142 covers a portion of the channel portion 122c. The semiconductor pin 124 includes at least one trenched portion 124r and at least one channel portion 124c. Trench 126 is formed on trenched portion 124r and dummy gate 142 covers a portion of channel portion 124c.

트렌치 형성 프로세스는, 건식 에칭 프로세스, 습식 에칭 프로세스, 및/또는 이들의 조합을 포함할 수 있다. 트렌치 형성 프로세스는 또한, 선택적 습식 에칭 또는 선택적 건식 에칭을 포함할 수 있다. 습식 에칭 용액은 TMAH(tetramethylammonium hydroxide), HF/HNO3/CH3COOH 용액, 또는 다른 적합한 용액을 포함한다. 건식 및 습식 에칭 프로세스는, 사용되는 에천트, 에칭 온도, 에칭 용액 농도, 에칭 압력, 소스 전력, RF 바이어스 전압, RF 바이어스 전력, 에천트 유량, 및 기타 적합한 파라미터와 같은, 조정될 수 있는 에칭 파라미터를 갖는다. 예를 들어, 습식 에칭 용액은, NH4OH, KOH(수산화칼륨), HF(불산), TMAH(tetramethylammonium hydroxide), 다른 적합한 습식 에칭 용액, 또는 이들의 조합을 포함할 수 있다. 건식 에칭 프로세스는, 염소계 화학물질을 사용하는 바이어싱된 플라즈마 에칭 프로세스를 포함한다. 다른 건식 에천트 가스는 CF4, NF3, SF6, 및 He를 포함한다. 건식 에칭은 또한 DRIE(deep reactive-ion etching)과 같은 메커니즘을 사용하여 이방성으로 수행될 수 있다. The trench formation process may include a dry etch process, a wet etch process, and / or a combination thereof. The trench formation process may also include selective wet etching or selective dry etching. The wet etch solution includes tetramethylammonium hydroxide (TMAH), HF / HNO 3 / CH 3 COOH solution, or other suitable solution. The dry and wet etch processes can be adjusted to provide adjustable etch parameters such as etchant, etch temperature, etch solution concentration, etch pressure, source power, RF bias voltage, RF bias power, etchant flow rate, . For example, the wet etch solution may comprise NH 4 OH, KOH (potassium hydroxide), HF (hydrofluoric acid), tetramethylammonium hydroxide (TMAH), other suitable wet etching solutions, or combinations thereof. The dry etch process includes a biased plasma etch process using chlorine-based chemicals. Other dry etchant gases include CF 4 , NF 3 , SF 6 , and He. Dry etching can also be performed anisotropically using a mechanism such as deep reactive-ion etching (DRIE).

도 1d를 참조한다. 복수의 에피텍시 구조물(160)이 반도체 핀(124)의 트렌치(126)에 각각 형성되고, 복수의 에피텍시 구조물(150)이 반도체 핀(122)의 트렌치(126)에 각각 형성된다. 에피텍시 구조물(160)은 인접한 에피텍시 구조물(150)로부터 분리된다. 에피텍시 구조물(150)은 트렌치(R)로부터 돌출한다. 에피텍시 구조물(160)은 n 타입 에피텍시 구조물일 수 있고, 에피텍시 구조물(150)은 p 타입 에피텍시 구조물일 수 있다. 에피텍시 구조물(150 및 160)은 하나 이상의 에피택시 또는 에피택셜(epi) 프로세스를 사용하여 형성될 수 있으며, 그리하여 Si 특징부, SiGe 특징부, 및/또는 다른 적합한 특징부가 반도체 핀(122, 124) 상에 결정질 상태로 형성될 수 있다. 일부 실시예에서, 에피텍시 구조물(150 및 160)의 격자 상수는 반도체 핀(122, 124)의 격자 상수와 상이하고, 에피텍시 구조물(150 및 160)은 SRAM 디바이스의 캐리어 모빌리티를 가능하게 하고 디바이스 성능을 개선하도록 변형되거나(strained) 스트레싱된다. 에피텍시 구조물(150 및 160)은, 게르마늄(Ge) 또는 실리콘(Si)과 같은 반도체 재료; 또는 갈륨 비소(GaAs), 알루미늄 갈륨 비소화물(AlGaAs), 실리콘 게르마늄(SiGe), 실리콘 카바이드(SiC), 또는 갈륨 비소화물 인화물(GaAsP)과 같은 화합물 반도체 재료를 포함할 수 있다. See FIG. A plurality of epitaxial structures 160 are each formed in the trench 126 of the semiconductor fin 124 and a plurality of epitaxial structures 150 are formed in the trench 126 of the semiconductor fin 122, The epitaxial structure 160 is separated from the adjacent epitaxial structure 150. The epitaxial structure 150 protrudes from the trench R. The epitaxial structure 160 may be an n-type epitaxial structure and the epitaxial structure 150 may be a p-type epitaxial structure. The epitaxial structures 150 and 160 may be formed using one or more epitaxial or epitaxial processes so that Si features, SiGe features, and / 124). ≪ / RTI > In some embodiments, the lattice constants of the epitaxial structures 150 and 160 are different from the lattice constants of the semiconductor fins 122 and 124, and the epitaxial structures 150 and 160 enable the carrier mobility of the SRAM device And strained and strained to improve device performance. The epitaxial structures 150 and 160 may comprise a semiconductor material such as germanium (Ge) or silicon (Si); Or a compound semiconductor material such as GaAs, AlGaAs, SiGe, SiC, or GaAsP.

일부 실시예에서, 에피텍시 구조물(150 및 150)은 상이한 에피텍시 프로세스로 형성된다. 에피텍시 구조물(160)은 SiP, SiC, SiPC, Si, Ⅲ-Ⅴ 화합물 반도체 재료, 또는 이들의 조합을 포함할 수 있고, 에피텍시 구조물(150)은 SiGe, SiGeC, Ge, Si, Ⅲ-Ⅴ 화합물 반도체 재료, 또는 이들의 조합을 포함할 수 있다. 에피텍시 구조물(160)의 형성 동안, 인 또는 비소와 같은 n 타입 불순물이 에피텍시의 진행과 함께 도핑될 수 있다. 예를 들어, 에피텍시 구조물(160)이 SiC 또는 Si를 포함할 때, n 타입 불순물이 도핑된다. 또한, 에피텍시 구조물(150)의 형성 동안, 붕소 또는 BF2와 같은 p 타입 불순물이 에피텍시의 진행과 함께 도핑될 수 있다. 예를 들어, 에피텍시 구조물(150)이 SiGe를 포함할 때, p 타입 불순물이 도핑된다. 에피텍시 프로세스는 CVD 성막 기술(예를 들어, 기상 에피텍시(VPE; vapor-phase epitaxy) 및/또는 UHV-CVD(ultra-high vacuum CVD)), 분자 빔 에피텍시 및/또는 다른 적합한 프로세스를 포함한다. 에피텍시 프로세스는, 반도체 핀(122, 124)의 조성(예를 들어, 실리콘)과 반응하는 가스 및/또는 액체 전구체를 사용할 수 있다. 따라서, 캐리어 모빌리티를 증가시키고 디바이스 성능을 개선하도록 변형된 채널이 달성될 수 있다. 에피텍시 구조물(150 및 160)은 인시추(in-situ) 도핑될 수 있다. 에피텍시 구조물(150 및 160)이 인시추 도핑되지 않는 경우, 제2 주입 프로세스(즉, 접합 임플란트 프로세스)가 에피텍시 구조물(150 및 160)을 도핑하도록 수행된다. 에피텍시 구조물(150 및 160)을 활성화하도록 하나 이상의 어닐링 프로세스가 수행될 수 있다. 어닐링 프로세스는 급속 열 어닐링(RTA; rapid thermal annealing) 및/또는 레이저 어닐링 프로세스를 포함한다. In some embodiments, epitaxial structures 150 and 150 are formed with different epitaxial processes. The epitaxial structure 160 may comprise SiGe, SiGeC, Ge, Si, Si (III), SiC, SiC, Si, III-V compound semiconductor materials, or combinations thereof. -V compound semiconductor material, or a combination thereof. During the formation of the epitaxial structure 160, n-type impurities such as phosphorous or arsenic can be doped with the progress of the epitaxy. For example, when the epitaxial structure 160 comprises SiC or Si, an n-type impurity is doped. Also, during the formation of the epitaxial structure 150, p-type impurities such as boron or BF 2 may be doped with the progress of the epitaxy. For example, when the epitaxial structure 150 comprises SiGe, the p-type impurity is doped. The epitaxial process may be performed using CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and / or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy and / Process. The epitaxial process may use a gas and / or a liquid precursor that reacts with the composition of the semiconductor fins 122 and 124 (e.g., silicon). Thus, a modified channel can be achieved to increase carrier mobility and improve device performance. The epitaxial structures 150 and 160 may be in-situ doped. If the epitaxial structures 150 and 160 are not doped in-situ, a second implantation process (i. E., A junction implant process) is performed to dope the epitaxial structures 150 and 160. One or more annealing processes may be performed to activate the epitaxial structures 150 and 160. The annealing process includes rapid thermal annealing (RTA) and / or laser annealing processes.

일부 실시예에서, 에피텍시 구조물(150)은 상부 부분 및 상부 부분과 기판(110) 사이에 배치된 바디 부분을 갖는다. 상부 부분의 폭은 바디 부분의 폭보다 더 넓다. 에피텍시 구조물(160)은 상부 부분 및 상부 부분과 기판(110) 사이에 배치된 바디 부분을 갖는다. 상부 부분의 폭은 바디 부분의 폭보다 더 넓다. 에피텍시 구조물(150 및 160)은 FinFET 디바이스(100)의 소스/드레인 전극으로서 이용된다. In some embodiments, the epitaxial structure 150 has a body portion disposed between the top portion and the top portion and the substrate 110. The width of the upper portion is wider than the width of the body portion. The epitaxial structure 160 has a body portion disposed between the upper portion and the upper portion and the substrate 110. The width of the upper portion is wider than the width of the body portion. The epitaxial structures 150 and 160 are used as the source / drain electrodes of the FinFET device 100.

일부 실시예에서, 에피텍시 구조물(150 및 160)은 상이한 형상을 갖는다. 에피텍시 구조물(160)의 상부 부분은 격리 구조물(130) 위에 존재하는 적어도 실질적으로 패싯(facet) 표면을 가질 수 있고, 에피텍시 구조물(150)의 상부 부분은 격리 구조물(130) 위에 존재하는 적어도 하나의 비-패싯(non-facet)(또는 라운드) 표면을 가질 수 있고, 이에 관련하여 청구 범위가 한정되지 않는다. In some embodiments, the epitaxial structures 150 and 160 have different shapes. The upper portion of the epitaxial structure 160 may have at least a substantially facet surface present on the isolation structure 130 and the upper portion of the epitaxial structure 150 may be present on the isolation structure 130 At least one non-facet (or rounded) surface that does not limit the scope of the invention.

도 1e를 참조한다. 에피텍시 구조물(150 및 160)이 형성된 후에, 더미 게이트(142)가 제거되고, 따라서 트렌치가 게이트 스페이서(140) 사이에 형성된다. 격리 구조물(130) 및 반도체 핀(122, 124)의 일부가 트렌치로부터 노출된다. 더미 게이트(142)는 하나 이상의 에칭 프로세스를 수행함으로써 제거될 수 있다. 게이트 스택(170)이 형성되어 트렌치를 채운다. 게이트 스택(170)은 게이트 전극 및 게이트 전극과 격리 구조물(130) 사이에 배치된 게이트 유전체를 포함한다. 게이트 유전체 및 게이트 전극은 각각, ALD 프로세스, CVD 프로세스, PVD 프로세스 또는 스퍼터 성막 프로세스와 같은 성막 프로세스에 의해 형성될 수 있다. 게이트 유전체는, 실리콘 질화물, 실리콘 산질화물, 높은 유전 상수(하이-k)를 갖는 유전체, 및/또는 이들의 조합과 같은 유전체 재료로 제조된다. 일부 실시예에서, 게이트 전극은 금속 전극이다. 일부 실시예에서, 게이트 스택(170)은 게이트 전극 상의 캡 층을 더 포함한다.See FIG. After the epitaxial structures 150 and 160 are formed, the dummy gate 142 is removed, and thus a trench is formed between the gate spacers 140. A portion of isolation structure 130 and semiconductor pins 122 and 124 is exposed from the trenches. The dummy gate 142 may be removed by performing one or more etch processes. A gate stack 170 is formed to fill the trenches. The gate stack 170 includes a gate electrode and a gate dielectric disposed between the gate electrode and the isolation structure 130. The gate dielectric and the gate electrode may each be formed by a deposition process such as an ALD process, a CVD process, a PVD process, or a sputter deposition process. The gate dielectric is made of a dielectric material, such as silicon nitride, silicon oxynitride, a dielectric with a high dielectric constant (high-k), and / or combinations thereof. In some embodiments, the gate electrode is a metal electrode. In some embodiments, the gate stack 170 further includes a cap layer on the gate electrode.

FinFET 디바이스(100)가 제조된 후에, FinFET 디바이스의 전극을 다른 디바이스에 상호접속시키기 위해 상호접속 구조물이 형성된다. 상호접속 구조물을 제조하는 것의 세부사항은 도 2a 내지 도 2f에 설명되어 있으며, 도 2a 내지 도 2f는 FinFET 디바이스에서 상호접속 구조물을 제조하는 방법의 국부 단면도들이다. After the FinFET device 100 is fabricated, an interconnect structure is formed to interconnect the electrodes of the FinFET device to other devices. The details of fabricating the interconnection structure are illustrated in Figures 2A-2F, and Figures 2A-2F are local cross-sectional views of a method of fabricating an interconnection structure in a FinFET device.

도 2a를 참조한다. 유전체 층(220)이 FinFET 디바이스 상에 형성된다. 유전체 층(220)은 에피텍시 구조물(210) 및 에피텍시 구조물(210) 둘레의 핀(120)을 커버한다. 핀(120)은 도 1d의 핀(122, 124) 중의 임의의 하나일 수 있고, 에피텍시 구조물(210)은 도 1d에 설명된 바와 같은 에피텍시 구조물(150 및 160) 중의 임의의 하나일 수 있다. 유전체 층(220)은 층간 유전체(ILD; interlayer dielectric)일 수 있고, 산화물 재료 또는 로우 k 재료를 포함할 수 있다. 유전체 층(220)은 예를 들어, 화학적 기상 증착(CVD) 프로세싱 단계, 스핀온 프로세싱 단계, 또는 이들의 조합에 의해 형성될 수 있다. 상이한 층 및/또는 동일한 층 상에 형성된 전도성 특징부들을 격리하도록 유전체 층(220)이 제공된다.Please refer to FIG. A dielectric layer 220 is formed on the FinFET device. Dielectric layer 220 covers epitaxial structure 210 and fin 120 around epitaxial structure 210. The pin 120 may be any one of the pins 122 and 124 of Figure ID and the epitaxial structure 210 may be any one of the epitaxial structures 150 and 160 as illustrated in Figure ≪ / RTI > Dielectric layer 220 may be an interlayer dielectric (ILD) and may include an oxide material or a low k material. The dielectric layer 220 may be formed by, for example, a chemical vapor deposition (CVD) processing step, a spin-on processing step, or a combination thereof. A dielectric layer 220 is provided to isolate the conductive features formed on the different layers and / or the same layer.

유전체 층(220)에 개구(222)가 형성된다. 일부 실시예에서, 유전체 층(220)에 형성된 복수의 개구가 존재한다. 개구(222)는 예를 들어, 컨택 개구, 비아 개구, 단일 다마신 개구, 듀얼 다마신 개구, 또는 이들의 조합일 수 있다. 개구(222)는, 예를 들어, 유전체 층(220) 위에 패터닝된 포토레지스트 층(도시되지 않음)을 형성하고, 건식 에칭 프로세싱 단계를 사용하여 마스크로서 패터닝된 포토레지스트 층(도시되지 않음)을 사용함으로써 개구(222)를 정의할 유전체 층(220)의 부분을 제거하는 것에 의해, 형성될 수 있다. 다양한 적합한 건식 에칭 프로세스가 사용될 수 있다. 건식 에칭 프로세싱 단계 후에, 패터닝된 포토레지스트 층(도시되지 않음)은 예를 들어, 포토리소그래피 제거 프로세스에 의해 제거된다. 에피텍시 구조물(210)의 일부도 또한 개구(222)의 형성 동안 제거된다. 에피텍시 구조물(210)이 노출되어 공기와 반응할 때 산화물 층(212)이 에피텍시 구조물(210)의 표면 상에 형성된다. An opening 222 is formed in the dielectric layer 220. In some embodiments, there are a plurality of openings formed in the dielectric layer 220. The opening 222 may be, for example, a contact opening, a via opening, a single damascene opening, a dual damascene opening, or a combination thereof. The opening 222 may be formed, for example, by forming a patterned photoresist layer (not shown) over the dielectric layer 220 and etching the patterned photoresist layer (not shown) as a mask using a dry etch processing step And removing the portion of the dielectric layer 220 to define the opening 222 by use. A variety of suitable dry etch processes may be used. After the dry etch processing step, the patterned photoresist layer (not shown) is removed, for example, by a photolithographic removal process. A portion of the epitaxial structure 210 is also removed during formation of the opening 222. An oxide layer 212 is formed on the surface of the epitaxial structure 210 when the epitaxial structure 210 is exposed and reacting with air.

도 2b를 참조하면, 노출된 에피텍시 구조물(210) 상에 존재하는 산화물 층(212)을 제거하도록 제거 프로세스가 수행된다. 제거 프로세스는 스퍼터 프로세스와 같은 물리적 제거 프로세스일 수 있다. 물리적 제거 프로세스 동안, 에너지 이온(energetic ion)(214)이 에피텍시 구조물(210)의 노출된 부분 상에 부딪히며(bomb) 그 위의 산화물 층(212)을 약화시킨다(erode). 에너지 이온(214)은 예를 들어 아르곤(Ar) 이온, 네온(Ne) 이온, 크립톤(Kr), 또는 제논(Xe) 이온일 수 있다. Referring to FIG. 2B, a removal process is performed to remove the oxide layer 212 present on the exposed epitaxial structure 210. The removal process may be a physical removal process such as a sputter process. During the physical removal process, energetic ions 214 bombard the exposed portions of the epitaxial structure 210 and erode the oxide layer 212 thereon. The energy ions 214 may be, for example, argon (Ar) ions, neon (Ne) ions, krypton (Kr), or xenon (Xe) ions.

산화물 층(212) 및 에피텍시 구조물(210)의 입자는 에너지 이온(214)의 충격으로 인해 이탈(dislodge)된다. 잔여 산화물 층(212)은 제거 프로세스 후에 파손되며 불연속적으로 된다. 산화물 층(212) 및 에피텍시 구조물(210)의 입자의 이탈은 에피텍시 구조물(210)의 거칠고 불규칙적인 표면을 초래한다. 일부 실시예에서, 복수의 리세스(R)가 에피텍시 구조물(210)의 상부 상에 형성된다. 리세스(R)는 에피텍시 구조물(210)의 표면 상에 랜덤으로 배열된다. 에피텍시 구조물(210)의 표면 상의 리세스(R)의 밀도도 또한 랜덤으로 이루어진다. 리세스(R)의 각각의 깊이는 약 1.5 nm 내지 약 3.5 nm 범위이고, 리세스(R)의 깊이 차이는 약 0.5nm 내지 약 3 nm 범위이며, 이는 에너지 이온(214)을 발생시키는 RF 전력에 대응한다. 일부 실시예에서, 스퍼터 프로세스의 RF 전력은 500w보다 더 높고, 에피텍시 구조물(210) 상의 리세스(R)의 깊이 차이는 약 1.5 nm 내지 약 3 nm 범위이다. 일부 실시예에서, 스퍼터 프로세스의 RF 전력은 400w보다 더 낮고, 리세스(R)의 깊이 차이는 약 0.5 nm 내지 약 1.5 nm 범위이다. p 타입 FinFET 디바이스의 깊이 차이는, SiGe와 같은 p 타입 에피텍시 구조물의 에칭 속도가 SiP와 같은 n 타입 에피텍시 구조물의 에칭 속도보다 더 큰 것으로 인해, n 타입 FinFET의 경우보다 약 2 nm 내지 약 20 nm 더 높다. The oxide layer 212 and the particles of the epitaxial structure 210 are dislodged due to the impact of the energy ions 214. The remaining oxide layer 212 is broken and discontinuous after the removal process. Dislodgement of the oxide layer 212 and the particles of the epitaxial structure 210 results in a rough and irregular surface of the epitaxial structure 210. In some embodiments, a plurality of recesses R are formed on top of the epitaxial structure 210. The recesses R are randomly arranged on the surface of the epitaxial structure 210. The density of the recesses R on the surface of the epitaxial structure 210 is also made random. Each depth of the recesses R ranges from about 1.5 nm to about 3.5 nm and the depth difference of the recesses R ranges from about 0.5 nm to about 3 nm, . In some embodiments, the RF power of the sputter process is higher than 500 W and the depth difference of the recess R on the epitaxial structure 210 is in the range of about 1.5 nm to about 3 nm. In some embodiments, the RF power of the sputter process is lower than 400 W, and the depth difference of the recess (R) ranges from about 0.5 nm to about 1.5 nm. The depth difference of the p-type FinFET device is about 2 nm to about 2 nm more than in the case of the n-type FinFET due to the etching rate of the p-type epitaxial structure such as SiGe being larger than the etching rate of the n-type epitaxial structure, It is about 20 nm higher.

물리적 제거 프로세스 동안, 아르곤(Ar) 이온, 네온(Ne) 이온, 크립톤(Kr), 또는 제논(Xe) 이온과 같은 에너지 이온(214)의 일부는 에피텍시 구조물(210) 안으로 배출되고 에피텍시 구조물(210) 내에 매립된다. 에피텍시 구조물(210)의 표면 상에 존재하는 이온(214)의 깊이, 밀도, 또는 양을 포함한 분포는 랜덤이고 불규칙적이다. 이온(214)의 분포는 에너지 이온(214)을 제공하는 RF 전력과 관계있을 수 있다. During the physical removal process, a portion of energy ions 214, such as argon (Ar) ions, neon (Ne), krypton (Kr), or xenon (Xe) ions, 0.0 > 210 < / RTI > The distribution including the depth, density, or amount of ions 214 present on the surface of the epitaxial structure 210 is random and irregular. The distribution of ions 214 may be related to the RF power providing energy ions 214.

도 2c를 참조한다. 에피텍시 구조물(210) 상에 남아있는 (도 2b에 도시된 바와 같은) 산화물 층(212)은 화학적 제거 프로세스를 수행함으로써 제거된다. 화학적 제거 프로세스는 NF3 및 NH3을 포함하는 화학 혼합물을 사용함으로써 수행될 수 있다. 그러나, 에피텍시 구조물(210)을 파괴하지 않고서 산화물 층(212)을 제거하기 위한 다른 적합한 화학물질이 화학적 제거 프로세스에 이용될 수 있다. See FIG. 2C. The oxide layer 212 (as shown in Figure 2B) remaining on the epitaxial structure 210 is removed by performing a chemical removal process. The chemical removal process may be performed by using a chemical mixture comprising NF 3 and NH 3 . However, other suitable chemicals for removing the oxide layer 212 without destroying the epitaxial structure 210 may be used in the chemical removal process.

산화물 층(212)은 물리적 제거 프로세스 및 화학적 제거 프로세스 둘 다를 수행함으로써 제거된다. 물리적 제거 프로세스는 에피텍시 구조물(210)의 거칠고 불규칙적인 표면을 형성하도록 에피텍시 구조물(210)의 표면을 텍스처(texture)하는데 이용된다. 리세스(R)가 에피텍시 구조물(210)의 표면 상에 형성되고, 작은 양의 이온(214)이 물리적 제거 프로세스 동안 에피텍시 구조물(210) 안으로 배출된다. 에피텍시 구조물(210)의 표면적은 리세스(R)의 존재 때문에 증가된다. 화학적 제거 프로세스는 산화물 층(212)을 제거하기 위해 이용된다. 이온(214)은 화학물질과 반응되지 않으며, 화학적 제거 프로세스가 수행된 후에 에피텍시 구조물(210)에 여전히 존재한다. The oxide layer 212 is removed by performing both a physical removal process and a chemical removal process. The physical removal process is used to texture the surface of the epitaxial structure 210 to form a rough and irregular surface of the epitaxial structure 210. A recess R is formed on the surface of the epitaxial structure 210 and a small amount of ions 214 are ejected into the epitaxial structure 210 during the physical removal process. The surface area of the epitaxial structure 210 is increased due to the presence of the recess R. A chemical removal process is used to remove the oxide layer 212. The ions 214 are not reactive with the chemical and are still present in the epitaxial structure 210 after the chemical removal process is performed.

도 2d를 참조한다. 금속 층(230)이 개구(222)의 측벽 및 바닥을 라이닝하도록 그리고 유전체 층(220) 위에 형성된다. 일부 실시예에서, 금속 층(230)은 금속 합금 층일 수 있다. 금속 층(230)은 티타늄(Ti), 코발트(Co), 니켈(Ni), 플래티늄(Pt), 또는 텅스텐(W)과 같은 자가 정렬된 실리사이드(살리사이드) 기술에 사용하기 위한 금속을 포함한다. 금속 층(230)은 CVD 프로세스, PVD 프로세스 또는 스퍼터 성막 프로세스와 같은 성막 프로세스에 의해 형성된다. See Figure 2D. A metal layer 230 is formed over the dielectric layer 220 to lining the sidewalls and bottom of the opening 222. In some embodiments, the metal layer 230 may be a metal alloy layer. The metal layer 230 includes a metal for use in self-aligned silicide (salicide) technology such as titanium (Ti), cobalt (Co), nickel (Ni), platinum (Pt), or tungsten . The metal layer 230 is formed by a deposition process such as a CVD process, a PVD process, or a sputter deposition process.

배리어 층(240)이 금속 층(230) 상에 더 형성된다. 배리어 층(240)은 후속 형성되는 전도체가 아래의 유전체 층(220) 안으로 확산하는 것을 막을 배리어로서 기능할 수 있다. 일부 실시예에서, 배리어 층(240)은 탄탈(Ta), 티타늄(Ti) 등을 포함한다. 일부 실시예에서, 배리어 층(240)은 약 10 옹스트롬 내지 약 250 옹스트롬의 두께를 갖는다. 일부 실시예에서, 금속 층(230)과 배리어 층(240)의 결합된 두께는, 후속 개구 충전(filling) 프로세스 동안 갭 충전 이슈를 막기 위해 약 120 옹스트롬보다 더 작다. 배리어 층(240)은 PVD, CVD, PECVD, LPCVD 또는 다른 잘 알려진 성막 기술을 사용함으로써 성막된다. A barrier layer 240 is further formed on the metal layer 230. The barrier layer 240 may serve as a barrier to prevent the subsequently formed conductor from diffusing into the underlying dielectric layer 220. In some embodiments, the barrier layer 240 includes tantalum (Ta), titanium (Ti), and the like. In some embodiments, the barrier layer 240 has a thickness of from about 10 angstroms to about 250 angstroms. In some embodiments, the combined thickness of metal layer 230 and barrier layer 240 is less than about 120 angstroms to prevent gap fill issues during subsequent open filling processes. The barrier layer 240 is deposited by using PVD, CVD, PECVD, LPCVD, or other well known deposition techniques.

도 2e를 참조한다. 에피텍시 구조물(210) 상에 실리사이드(250)를 형성하도록 어닐링 프로세스가 수행된다. 어닐링 프로세스는 비정질 실리사이드 막을 더 낮은 저항의 다결정질 상으로 변환하도록 이용된다. 살리사이드 프로세스는 때때로 임계 치수 허용오차의 문제를 풀기 위해 소스 및 드레인 영역에 실리사이드 컨택을 형성하는데 사용된다. 일부 실시예에서, 금속 층은 티타늄 층이고, 티타늄 실리사이드(250)가 되도록 어닐링된다. 어닐링 프로세스는 고저항 Ti 리치(rich) 상을 형성하도록 수행되고, 티타늄 실리사이드의 두께는 약 30 옹스트롬 내지 약 160 옹스트롬 범위이다. 일부 실시예에서, 티타늄 실리사이드(250)는, 에피텍시 구조물(210)이 n 타입 에피텍시 구조물이므로 TiSi2일 수 있다. 일부 실시예에서, 티타늄 실리사이드(250)는, 에피텍시 구조물(210)이 p 타입 에피텍시 구조물이므로 TiSiGe일 수 있다. See FIG. 2E. An annealing process is performed to form the silicide 250 on the epitaxial structure 210. The annealing process is used to convert the amorphous silicide film to a lower resistance polycrystalline phase. Salicide processes are sometimes used to form silicide contacts in the source and drain regions to solve the problem of critical dimension tolerances. In some embodiments, the metal layer is a titanium layer and is annealed to be titanium silicide 250. The annealing process is performed to form a high-resistance Ti rich phase, and the thickness of the titanium silicide ranges from about 30 angstroms to about 160 angstroms. In some embodiments, the titanium silicide 250 may be TiSi 2 because the epitaxial structure 210 is an n-type epitaxial structure. In some embodiments, the titanium silicide 250 may be TiSiGe, since the epitaxial structure 210 is a p-type epitaxial structure.

에피텍시 구조물(210)의 표면이 텍스처되고 리세스(R)가 에피텍시 구조물(210) 상에 형성되므로, 에피텍시 구조물(210)과 실리사이드(250) 사이의 계면은 불규칙하고 거칠며, 실리사이드(250)와 접촉하는 에피텍시 구조물(210)의 표면적이 그에 따라 증가된다. 물리적 제거 프로세스로부터의 이온(214)이 실리사이드(250)에 남는다. 에피텍시 구조물(210)과 실리사이드(250) 사이의 계면에서의 깊이 차이는 약 1.5 nm 내지 약 3.5 nm 범위이다. The interface between the epitaxial structure 210 and the silicide 250 is irregular and rough because the surface of the epitaxial structure 210 is textured and the recess R is formed on the epitaxial structure 210, The surface area of the epitaxial structure 210 in contact with the silicide 250 is accordingly increased. Ions 214 from the physical removal process remain in the silicide 250. The depth difference at the interface between the epitaxial structure 210 and the silicide 250 ranges from about 1.5 nm to about 3.5 nm.

도 2f를 참조한다. 개구(222)를 채우도록 배리어 층(240) 위에 전도체(260)가 형성된다. 일부 실시예에서, 전도체(260)는 유전체 층(220)에 상호접속 구조물로서 형성된다. 일부 실시예에서, 전도체(260)는, CVD 프로세스, PVD 프로세스 또는 스퍼터 성막 프로세스와 같은 성막 프로세스에 의해 형성된다. 일부 실시예에서, 전도체(260)는 텅스텐(W), 구리(Cu), 또는 코발트(Co)를 포함한다. See Figure 2f. A conductor 260 is formed over the barrier layer 240 to fill the opening 222. In some embodiments, the conductors 260 are formed as interconnect structures in the dielectric layer 220. In some embodiments, the conductor 260 is formed by a deposition process, such as a CVD process, a PVD process, or a sputter deposition process. In some embodiments, the conductor 260 comprises tungsten (W), copper (Cu), or cobalt (Co).

금속 층(230)의 바닥은 에피텍시 구조물(210)과 반응되며 실리사이드(250)가 된다. 따라서, 남아있는 금속 층(230)은 배리어 층(240)과 개구(222)의 측벽 사이에 존재하고, 실리사이드(250)와 배리어 층(240) 사이에는 존재하지 않는다. 즉, 배리어 층(240)의 바닥이 실리사이드(250)와 직접 접촉하며, 그리하여 상호접속 구조물의 컨택 저항이 감소된다. The bottom of the metal layer 230 is reacted with the epitaxial structure 210 and becomes the silicide 250. The remaining metal layer 230 is between the barrier layer 240 and the sidewalls of the opening 222 and is not present between the silicide 250 and the barrier layer 240. That is, the bottom of the barrier layer 240 is in direct contact with the silicide 250, thus reducing the contact resistance of the interconnect structure.

유전체 층(220) 위의 전도체(260)의 부분이 제거된다. 일부 실시예에서, 제거 프로세스는, 개구(222) 밖의 전도체(260), 배리어 층(240) 및 금속 층(230)의 과도한 부분을 제거하도록 수행되며, 따라서 유전체 층(220)의 상부 표면을 노출시키고 평탄화된 표면을 달성한다. A portion of the conductor 260 on the dielectric layer 220 is removed. In some embodiments, the removal process is performed to remove excess portions of conductor 260, barrier layer 240, and metal layer 230 outside opening 222, thus exposing the upper surface of dielectric layer 220 And a planarized surface is achieved.

전도체(260) 및 실리사이드(250)를 포함하는 상호접속 구조물이 유전체 층(230)에 형성되고 에피텍시 구조물(210)에 접속된다. 실리사이드(250)와 에피텍시 구조물(210) 사이의 계면이 거칠고 불규칙적으로 되므로, 그 사이의 컨택 영역은 그에 따라 증가된다. 따라서, 상호접속 구조물의 저항은 증가된 컨택 영역으로 인해 감소된다. An interconnect structure comprising conductor 260 and silicide 250 is formed in dielectric layer 230 and is connected to epitaxial structure 210. As the interface between the silicide 250 and the epitaxial structure 210 becomes coarse and irregular, the contact area therebetween increases accordingly. Thus, the resistance of the interconnect structure is reduced due to the increased contact area.

본 개시의 일부 다른 실시예의 상호접속 구조물의 국부 단면도인 도 3을 참조한다. 핀(120)은 그 위에 복수의 에피텍시 구조물(210)을 가질 수 있다. 도 2a 내지 도 2f에 설명된 바와 같이, 물리적 제거 프로세스 및 화학적 프로세스는, 에피텍시 구조물(210)의 표면을 텍스처하고 에피텍시 구조물(210)의 노출된 부분 상의 산화물 층을 제거하도록 수행된다. 이어진 에피텍시 구조물(210)은 산같은 상부 표면을 형성하고, 에피텍시 구조물(210)의 상부 표면의 깊이 차이는 단일 에피텍시 구조물(210)의 경우보다 더 크다. 예를 들어, 에피텍시 구조물(210)의 상부 표면의 깊이 차이는 약 3 nm 내지 약 25 nm 범위이며, 이는 에너지 이온(214)을 발생시키는 RF 전력에 대응한다. 일부 실시예에서, 스퍼터 프로세스의 RF 전력은 500w보다 더 높고, 에피텍시 구조물(210)의 표면의 깊이 차이는 약 15 nm 내지 약 25 nm 범위이다. 일부 실시예에서, 스퍼터 프로세스의 RF 전력은 400w보다 더 낮고, 에피텍시 구조물(210)의 표면의 깊이 차이는 약 3 nm 내지 약 15 nm 범위이다. Reference is now made to Fig. 3, which is a local cross-sectional view of the interconnect structure of some other embodiments of the present disclosure. The pin 120 may have a plurality of epitaxial structures 210 thereon. As described in Figures 2A-2F, the physical removal process and the chemical process are performed to texture the surface of the epitaxial structure 210 and remove the oxide layer on the exposed portion of the epitaxial structure 210 . The resulting epitaxial structure 210 forms a top surface such as a mountain and the depth difference of the top surface of the epitaxial structure 210 is greater than that of the single epitaxial structure 210. For example, the depth difference of the top surface of the epitaxial structure 210 ranges from about 3 nm to about 25 nm, which corresponds to the RF power generating the energy ions 214. In some embodiments, the RF power of the sputter process is higher than 500 W and the depth difference of the surface of the epitaxial structure 210 is in the range of about 15 nm to about 25 nm. In some embodiments, the RF power of the sputter process is lower than 400 W, and the depth difference of the surface of the epitaxial structure 210 is in the range of about 3 nm to about 15 nm.

따라서, 배리어 층(240)과 에피텍시 구조물(210) 사이의 실리사이드(250)의 두께는 균일하지 않고, 에피텍시 구조물(210) 각각의 폭은 동일하지 않다. 인접한 에피텍시 구조물(210) 사이의 차이는 약 3 nm 내지 약 20 nm이다. 실리사이드(250)의 두께의 차이는 약 3 nm 내지 약 25 nm 범위이다. The thickness of the silicide 250 between the barrier layer 240 and the epitaxial structure 210 is not uniform and the width of each epitaxial structure 210 is not the same. The difference between adjacent epitaxial structures 210 is from about 3 nm to about 20 nm. The difference in thickness of the silicide 250 ranges from about 3 nm to about 25 nm.

전술한 상호접속 구조물은 에피텍시 구조물을 갖는 FinFET 디바이스에 이용되는 것에 한정되지 않고, 실리사이드 컨택을 갖는 임의의 적합한 반도체 디바이스에 이용될 수 있다. 예를 들어, 전술한 상호접속 구조물은, 도 4a 내지 도 4f에서 설명되는 바와 같이, 예를 들어 나노와이어 컴포넌트에 이용될 수 있다. The above-described interconnect structures are not limited to those used in a FinFET device having an epitaxial structure, but may be used in any suitable semiconductor device having a silicide contact. For example, the interconnection structure described above can be used, for example, in a nanowire component, as described in Figures 4A-4F.

도 4a 내지 도 4f를 참조한다. 도 4a 내지 도 4f는 본 개시의 일부 실시예에 따라 다양한 단계에서의 반도체 디바이스를 제조하는 방법의 개략 사시도들이다. 도 4a를 참조하면, 방법은 SOI(semiconductor-on-insulator) 구조물(310)로 시작한다. SOI 구조물(310)은 반도체 기판(312), 매립 산화물(BOX; buried oxide) 층(314), 및 SOI 층(316)을 포함한다. 일부 실시예에서, SOI 층(316)은 실리콘과 같은 반도체 재료로부터 형성된다. BOX 층(314)은 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산질화물을 포함할 수 있다. BOX 층(314)은 반도체 기판(312)과 SOI 층(316) 사이에 존재한다. 보다 상세하게는, BOX 층(314)은 SOI 층(316) 아래에 그리고 반도체 기판(312)의 상부에 존재할 수 있고, BOX 층(314)은, SOI 구조물(310) 안으로 고에너지 도펀트를 주입한 다음, 매립 산화물 층을 형성하도록 구조물을 어닐링함으로써 형성될 수 있다. 일부 다른 실시예에서, BOX 층(314)은 SOI 층(316)의 형성 전에 성막되거나 성장될 수 있다. 또 일부 다른 실시예에서, SOI 구조물(310)은 웨이퍼 본딩 기술을 사용하여 형성될 수 있으며, 여기에서 본딩된 웨이퍼 쌍은 글루, 접착 폴리머, 또는 직접 본딩을 이용하여 형성된다. 4A to 4F. Figures 4A-4F are schematic perspective views of a method of fabricating a semiconductor device at various stages in accordance with some embodiments of the present disclosure. Referring to FIG. 4A, the method begins with a semiconductor-on-insulator (SOI) structure 310. The SOI structure 310 includes a semiconductor substrate 312, a buried oxide (BOX) layer 314, and an SOI layer 316. In some embodiments, the SOI layer 316 is formed from a semiconductor material such as silicon. BOX layer 314 may comprise silicon oxide, silicon nitride, or silicon oxynitride. A BOX layer 314 is present between the semiconductor substrate 312 and the SOI layer 316. More specifically, a BOX layer 314 may be under the SOI layer 316 and above the semiconductor substrate 312, and the BOX layer 314 may be formed by implanting a high energy dopant into the SOI structure 310 And then annealing the structure to form a buried oxide layer. In some other embodiments, the BOX layer 314 may be deposited or grown prior to formation of the SOI layer 316. In still other embodiments, the SOI structure 310 may be formed using wafer bonding techniques, wherein the bonded wafer pair is formed using a glue, adhesive polymer, or direct bonding.

도 4b를 참조한다. SOI 층(316)은 패드(322, 324, 326, 및 328) 및 접속 구조물(332 및 334)을 형성하도록 패터닝된다. 예를 들어, 패드(322, 324, 326, 및 328) 및 접속 구조물(332 및 334)은 포토리소그래피 및 에칭과 같은 적합한 프로세스를 사용함으로써 제조될 수 있다. 접속 구조물(332)은 패드(322 및 324)를 접속시킨다. 접속 구조물(334)은 패드(326 및 328)를 접속시킨다. 다르게 말하자면, 접속 구조물(332)의 적어도 하나는 그의 대향 측에 분리된 패드(322 및 324)를 가질 수 있고, 접속 구조물(334)의 적어도 하나는 그의 대향 측에 분리된 패드(326 및 328)를 가질 수 있다. See FIG. 4B. SOI layer 316 is patterned to form pads 322, 324, 326, and 328 and connection structures 332 and 334. For example, the pads 322, 324, 326, and 328 and the connection structures 332 and 334 may be fabricated using suitable processes such as photolithography and etching. The connection structure 332 connects the pads 322 and 324. The connection structure 334 connects the pads 326 and 328. In other words, at least one of the connection structures 332 may have separate pads 322 and 324 on its opposite side and at least one of the connection structures 334 may have separate pads 326 and 328 on its opposite side, Lt; / RTI >

도 4c를 참조한다. 접속 구조물(332 및 334)은 제1 나노와이어(342) 및 제2 나노와이어(344)를 형성하도록 부분적으로 제거된다. 일부 실시예에서, 접속 구조물(332 및 334)의 하부 부분 및 BOX 층(314)의 아래의 부분은 등방성 에칭 프로세스에 의해 제거되며, 그리하여 제1 나노와이어(342)가 패드(322 및 324) 사이에 부유 상태로(suspended) 형성되고, 제2 나노와이어(344)가 패드(326 및 328) 사이에 부유 상태로 형성된다. 등방성 에칭은 우선적 방향을 포함하지 않는 에칭의 형태이다. 등방성 에칭의 하나의 예는 습식 에칭이다. 등방성 에칭 프로세스는, 제1 및 제2 나노와이어(342 및 344)가 위에 매달려있는 언더컷(undercut) 영역을 형성한다. 일부 실시예에서, 등방성 에칭은 DHF(diluted hydrofluoric acid)를 사용하여 수행될 수 있다. 등방성 에칭 프로세스 후에, 제1 및 제2 나노와이어(342 및 344)는 타원형 형상의(그리고 일부 경우에. 실린더형 형상의) 구조물을 형성하도록 스무딩(smoothing)될 수 있다. 일부 실시예에서, 스무딩 프로세스는 어닐링 프로세스에 의해 수행될 수 있다. 예시적인 어닐링 온도는 약 600 ℃ 내지 약 1000 ℃ 범위일 수 있고, 어닐링 프로세스에서 수소 압력은 약 7 torr 내지 약 600 torr 범위일 수 있다. 4C. The connection structures 332 and 334 are partially removed to form a first nanowire 342 and a second nanowire 344. In some embodiments, the lower portion of the connection structures 332 and 334 and the lower portion of the BOX layer 314 are removed by an isotropic etching process so that the first nanowire 342 is between the pads 322 and 324 And a second nanowire 344 is formed between the pads 326 and 328 in a floating state. Isotropic etching is a type of etching that does not include a preferential direction. One example of isotropic etching is wet etching. The isotropic etch process forms an undercut region in which the first and second nanowires 342 and 344 are suspended above. In some embodiments, isotropic etching may be performed using diluted hydrofluoric acid (DHF). After the isotropic etching process, the first and second nanowires 342 and 344 may be smoothed to form an elliptical (and in some cases, cylindrical) configuration. In some embodiments, the smoothing process may be performed by an annealing process. The exemplary annealing temperature may range from about 600 ° C to about 1000 ° C, and the hydrogen pressure in the annealing process may range from about 7 torr to about 600 torr.

도 4d를 참조한다. 스페이서(352)가 더미 게이트 재료 층(362)의 대향 측벽 상에 형성되고, 스페이서(354)가 더미 게이트 재료 층(364)의 대향 측벽 상에 형성된다. 스페이서(352 및 354)를 형성하는 방법은, 유전체 층을 형성한 다음, 유전체 층의 일부를 제거하도록 에칭 프로세스를 수행하는 것을 포함한다.4D. Spacers 352 are formed on the opposing sidewalls of the dummy gate material layer 362 and spacers 354 are formed on the opposing sidewalls of the dummy gate material layer 364. [ The method of forming the spacers 352 and 354 includes forming an dielectric layer and then performing an etching process to remove a portion of the dielectric layer.

스페이서(352 및 354)의 형성에 이어서, n 타입 소스/드레인 확장 영역을 형성하도록, 스페이서(352)에 인접한 제1 나노와이어(342)의 노출된 부분에 n 타입 도펀트가 도입될 수 있다. 마찬가지로, p 타입 소스/드레인 확장 영역을 형성하도록, 스페이서(354)에 인접한 제2 나노와이어(344)의 노출된 부분에 p 타입 도펀트가 도입될 수 있다. p 타입 도펀트의 예는, 붕소, 알루미늄, 갈륨 및 인듐을 포함할 수 있지만, 이에 한정되지 않는다. n 타입 도펀트의 예는 안티몬, 비소 및 인을 포함하지만, 이에 한정되지 않는다. Following formation of spacers 352 and 354, an n-type dopant may be introduced into the exposed portion of first nanowire 342 adjacent spacer 352 to form an n-type source / drain extension region. Likewise, a p-type dopant may be introduced into the exposed portion of the second nanowire 344 adjacent to the spacer 354 to form a p-type source / drain extension region. Examples of p-type dopants may include, but are not limited to, boron, aluminum, gallium, and indium. Examples of n-type dopants include, but are not limited to, antimony, arsenic, and phosphorus.

일부 실시예에서, 소스/드레인 확장 영역은, 인시추 도핑 에피텍셜 성장 프로세스 다음에, 확장 영역을 제공하도록 제1 나노와이어(342) 및 제2 나노와이어(344)로 인시추 도핑 에피텍셜 반도체 재료로부터 도펀트를 구동시킬 어닐링 프로세스를 사용하여, 제1 나노와이어(342) 및 제2 나노와이어(344)에 형성된다. 일부 실시예에서, 인시추 도핑 반도체 재료는 에피텍셜 성장 프로세스를 사용하여 형성된다. "인시추 도핑"은, 인시추 도핑 반도체 재료의 반도체 함유 재료를 성막하는 에피텍셜 성장 프로세스 동안, 도펀트가 인시추 도핑 반도체 재료 안으로 통합되는 것을 의미한다. 화학 반응물이 제어될 때, 성막 원자는 표면을 누빌 정도로 충분한 에너지로 제1 및 제2 나노와이어(342 및 344)의 표면 및 패드(322, 324, 326 및 328)에 도달하며, 성막 표면의 원자의 결정 배열에 자신을 정렬시킨다. 에피텍셜 성장은, 더미 게이트 재료 층(362 및 364) 및 스페이서(352 및 354)에 의해 커버되지 않는 제1 나노와이어(342) 및 제2 나노와이어(344)의 부분 및 패드(322, 324, 326 및 328)를 두껍게 한다.In some embodiments, the source / drain extension region may be formed by an in situ doping epitaxial growth process followed by a first nanowire 342 and a second nanowire 344 to provide an extension region. Is formed in the first nanowire 342 and the second nanowire 344 using an annealing process to drive the dopant from the first nanowire 342 and the second nanowire 344. [ In some embodiments, the in-situ doping semiconductor material is formed using an epitaxial growth process. "In-situ doping" means that the dopant is incorporated into the in-situ doped semiconductor material during the epitaxial growth process to deposit the semiconductor-containing material of the in-situ doping semiconductor material. When the chemical reactants are controlled, the film-forming atoms reach the surfaces of the first and second nanowires 342 and 344 and the pads 322, 324, 326 and 328 with sufficient energy to penetrate the surface, Aligns itself with the crystal arrangement of FIG. Epitaxial growth may be achieved by depositing portions of first nanowires 342 and second nanowires 344 that are not covered by dummy gate material layers 362 and 364 and spacers 352 and 354 and portions of pads 322, 326 and 328 are thickened.

그 후에, 딥 소스/드레인 영역을 형성하도록 패드(322, 324, 326 및 328)에 이온 주입이 수행될 수 있다. 딥 소스/드레인 영역은 이온 주입을 사용하여 형성될 수 있다. 딥 소스/드레인 영역을 제공하는 이온 주입 동안, 주입을 원하지 않는 디바이스의 부분은 포토레지스트 마스크와 같은 마스크에 의해 보호될 수 있다. 패드(322 및 324)의 딥 소스/드레인 영역은, n 타입 도펀트와 같은, 제1 나노와이어(342)의 소스/드레인 확장 영역과 동일한 전도성 도펀트를 갖지만, 패드(322 및 324)의 딥 소스/드레인 영역은 제1 나노와이어(342)의 소스/드레인 확장 영역보다 더 큰 도펀트 농도를 갖는다. 마찬가지로, 패드(326 및 328)의 딥 소스/드레인 영역은, p 타입 도펀트와 같은, 제2 나노와이어(344)의 소스/드레인 확장 영역과 동일한 전도성 도펀트를 갖지만, 패드(326 및 328)의 딥 소스/드레인 영역은 제2 나노와이어(344)의 소스/드레인 확장 영역보다 더 큰 도펀트 농도를 갖는다. Thereafter, ion implantation may be performed on the pads 322, 324, 326 and 328 to form a deep source / drain region. The deep source / drain regions may be formed using ion implantation. During ion implantation that provides a deep source / drain region, portions of the device that are not desired to be implanted may be protected by a mask such as a photoresist mask. The deep source / drain regions of the pads 322 and 324 have the same conductive dopant as the source / drain extension regions of the first nanowire 342, such as an n-type dopant, The drain region has a larger dopant concentration than the source / drain extension region of the first nanowire 342. Similarly, the deep source / drain regions of the pads 326 and 328 have the same conductive dopant as the source / drain extension regions of the second nanowire 344, such as a p-type dopant, The source / drain region has a larger dopant concentration than the source / drain extension region of the second nanowire 344. [

도 4e를 참조한다. 더미 게이트 재료 층(362 및 364), 제1 나노와이어(342) 및 제2 나노와이어(344)를 커버하도록 층간 유전체(ILD) 층(370)이 형성된다. ILD 층(370)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 카바이드, 저-유전 상수 유전체 재료, 또는 이들의 조합을 포함할 수 있다. ILD 층(370)은 CVD 프로세스와 같은 성막 프로세스에 의해 형성될 수 있다. 그 후에, 더미 게이트 재료 층의 상부 표면을 노출시키도록 ILD 층(370)의 일부가 제거된다. 제거 단계는 화학 기계적 연마(CMP; chemical-mechanical polishing) 프로세스를 수행하는 것을 포함할 수 있다. 더미 게이트 재료 층은 습식 에칭과 같은 적합한 프로세스를 사용함으로써 더 제거된다. 더미 게이트 재료 층의 제거 후에, 스페이서(352) 사이에 제1 트렌치(382)가 형성되고, 스페이서(354) 사이에 제2 트렌치(384)가 형성되고, 제1 및 제2 트렌치(382 및 384)는 스페이서(352, 354) 및 ILD 층(370)에 의해 서로 공간적으로 격리된다. See FIG. 4E. An interlayer dielectric (ILD) layer 370 is formed to cover the dummy gate material layers 362 and 364, the first nanowire 342, and the second nanowire 344. The ILD layer 370 may comprise silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, a low-k dielectric material, or a combination thereof. The ILD layer 370 may be formed by a deposition process such as a CVD process. A portion of the ILD layer 370 is then removed to expose the upper surface of the dummy gate material layer. The removing step may include performing a chemical-mechanical polishing (CMP) process. The dummy gate material layer is further removed by using a suitable process such as wet etching. After removal of the dummy gate material layer a first trench 382 is formed between the spacers 352 and a second trench 384 is formed between the spacers 354 and the first and second trenches 382 and 384 Are spatially isolated from each other by the spacers 352 and 354 and the ILD layer 370. [

도 4f를 참조한다. 게이트 스택(390 및 392)이 형성되어 트렌치(382 및 384)를 채운다. 게이트 스택(390 및 392)은 각각, 나노와이어를 감싸는 게이트 유전체, 게이트 유전체를 감싸는 게이트 전극, 및 게이트 전극을 감싸는 캡 층을 포함한다. 4f. Gate stacks 390 and 392 are formed to fill the trenches 382 and 384. The gate stacks 390 and 392 each include a gate dielectric surrounding the nanowire, a gate electrode surrounding the gate dielectric, and a cap layer surrounding the gate electrode.

반도체 디바이스(300)가 형성된 후에, 복수의 상호접속 구조물이 패드(322, 324, 326 및 328)에 접속하도록 ILD 층(370)에 형성된다. 상호접속 구조물 및 패드의 단면도가 도 5에 예시되어 있다. After the semiconductor device 300 is formed, a plurality of interconnect structures are formed in the ILD layer 370 to connect to the pads 322, 324, 326, and 328. A cross-sectional view of the interconnect structure and pad is illustrated in FIG.

도 5에 도시된 바와 같이, 상호접속 구조물(400)이 ILD 층(370)에 형성되고 패드(320)와 접촉한다. 패드(320)는 패드(322, 324, 및 326 및 328)의 임의의 하나일 수 있다. 상호접속 구조물(400)은 금속 층(410), 실리사이드 층(420), 배리어 층(430), 및 전도체(440)를 포함한다. 상호접속 구조물(400)을 제조하는 것의 세부사항은 도 2a 내지 도 2g에 기재된 방법과 실질적으로 동일하다. 개구가 ILD 층(370)에 형성된 후에, 패드(320)의 노출된 부분은 스퍼터 프로세스와 같은 물리적 제거 프로세스를 수행함으로써 텍스처된다. Ne, Ar, Kr, Xe와 같은 스퍼터 프로세스를 위한 반응 이온(412)의 일부가 패드(320)의 표면에 머문다. 금속 층(410)이 ILD 층(370)의 개구에 성막되고, 금속 층의 바닥이 패드(320)와 반응되며 실리사이드(320)가 된다. 이온(412)은 실리사이드(420)에 남는다. 금속 층(410)은, 배리어 층(430)과 ILD 층(370)의 측벽 사이에 존재하고, 배리어 층(430)과 실리사이드(420) 사이에는 존재하지 않는다. 배리어 층(430)은 실리사이드(420)와 직접 접촉한다. 전도체(440)가 개구를 채우며 형성된다. As shown in FIG. 5, an interconnect structure 400 is formed in the ILD layer 370 and contacts the pad 320. The pad 320 may be any one of the pads 322, 324, and 326 and 328. The interconnect structure 400 includes a metal layer 410, a silicide layer 420, a barrier layer 430, and a conductor 440. The details of fabricating the interconnect structure 400 are substantially the same as those described in Figures 2A-2G. After the openings are formed in the ILD layer 370, the exposed portions of the pad 320 are textured by performing a physical removal process, such as a sputter process. Some of the reactive ions 412 for the sputter process, such as Ne, Ar, Kr, Xe, stay on the surface of the pad 320. A metal layer 410 is deposited on the opening of the ILD layer 370 and the bottom of the metal layer is reacted with the pad 320 to become the silicide 320. [ The ions 412 remain in the silicide 420. The metal layer 410 is between the barrier layer 430 and the sidewall of the ILD layer 370 and is not present between the barrier layer 430 and the silicide 420. The barrier layer 430 is in direct contact with the silicide 420. A conductor 440 is formed filling the opening.

에피텍시 구조물 및 반도체 패드와 같은 컨택 영역의 표면은, 물리적 제거 프로세스를 수행함으로써 텍스처된다. 물리적 제거 프로세스에 이용되는 이온의 일부가 컨택 영역에 남는다. 컨택 영역은 그 위에 성막된 금속 층과 반응됨으로써, 그 사이에 실리사이드를 형성한다. 컨택 영역의 표면이 텍스처되어 있으므로, 실리사이드에 의해 제공되는 컨택 영역은 그에 따라 증가되며, 따라서 상호접속 구조물의 저항이 감소된다. The surfaces of the contact regions, such as epitaxial structures and semiconductor pads, are textured by performing a physical removal process. Some of the ions used in the physical removal process remain in the contact area. The contact region is reacted with the metal layer deposited thereon to form a silicide therebetween. As the surface of the contact region is textured, the contact region provided by the silicide is accordingly increased, thus reducing the resistance of the interconnect structure.

본 개시의 일부 실시예에 따르면, 반도체 디바이스는, 반도체 기판, 반도체 기판에 있는 컨택 영역, 및 컨택 영역 상에 있는 실리사이드를 포함한다. 컨택 영역은 텍스처 표면을 포함하고, 실리사이드와 컨택 영역 사이에 복수의 스퍼터 잔여물이 존재한다. According to some embodiments of the present disclosure, a semiconductor device includes a semiconductor substrate, a contact region in the semiconductor substrate, and a silicide on the contact region. The contact region includes a textured surface, and a plurality of sputter residues are present between the silicide and the contact regions.

본 개시의 일부 다른 실시예에 따르면, 컨택 영역 상에 있는 실리사이드, 실리사이드 상에 있는 전도체, 및 전도체와 실리사이드 사이에 있는 배리어 층을 포함한다. 컨택 영역과 실리사이드 사이의 계면은 텍스처된 것이며, 복수의 스퍼터 잔여물이 실리사이드에 존재한다. According to some other embodiments of the present disclosure, a silicide on the contact region, a conductor on the silicide, and a barrier layer between the conductor and the silicide are included. The interface between the contact region and the silicide is textured and a plurality of sputter residues are present in the silicide.

본 개시의 일부 다른 실시예에 따르면, 상호접속 구조물을 제조하는 방법은, 컨택 영역의 일부를 노출시키도록 유전체 층에 개구를 형성하는 단계, 컨택 영역의 표면을 텍스처하도록 물리적 제거 프로세스를 수행하는 단계, 컨택 영역의 텍스처 표면 상에 금속 층을 형성하는 단계, 금속 층 상에 배리어 층을 형성하는 단계, 및 어닐링 프로세스를 수행하는 단계를 포함하며, 금속 층은 컨택 영역과 반응됨으로써 컨택 영역과 배리어 층 사이에 실리사이드를 형성한다. According to some other embodiments of the present disclosure, a method of fabricating an interconnect structure includes forming an opening in a dielectric layer to expose a portion of a contact region, performing a physical removal process to texture the surface of the contact region, Forming a metal layer on the texture surface of the contact region, forming a barrier layer on the metal layer, and performing an annealing process, wherein the metal layer is reacted with the contact region, To form a silicide therebetween.

전술한 바는 당해 기술 분야에서의 숙련자들이 본 개시의 양상들을 보다 잘 이해할 수 있도록 여러 실시예들의 특징을 나타낸 것이다. 당해 기술 분야에서의 숙련자들은, 여기에 소개된 실시예와 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기반으로서 본 개시를 용이하게 사용할 수 있다는 것을 알아야 한다. 당해 기술 분야에서의 숙련자라면 또한, 이러한 등가의 구성은 본 개시의 사상 및 범위에서 벗어나지 않으며, 본 개시의 사상 및 범위에서 벗어나지 않고서 여기에 다양한 변경, 치환, 및 대안을 행할 수 있다는 것을 알아야 한다.The foregoing presents features of various embodiments in order that those skilled in the art may better understand aspects of the disclosure. Those skilled in the art will readily appreciate that the present disclosure can readily be used as a basis for designing or modifying other processes and structures to accomplish the same purpose and / or to achieve the same advantages as the embodiments disclosed herein You should know. It should be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of this disclosure and that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the disclosure.

Claims (10)

반도체 디바이스에 있어서,
반도체 기판;
상기 반도체 기판 내에 있는 컨택 영역 - 상기 컨택 영역은 텍스처(textured) 표면을 포함함 - ;
상기 컨택 영역 상에 있는 실리사이드; 및
상기 실리사이드와 상기 컨택 영역 사이의 계면에 있는 복수의 잔여물
을 포함하고,
상기 컨택 영역은 에피텍시 구조물 또는 반도체 패드인 것인 반도체 디바이스.
A semiconductor device comprising:
A semiconductor substrate;
A contact region within the semiconductor substrate, the contact region including a textured surface;
A silicide on the contact region; And
A plurality of residues at the interface between the silicide and the contact region
/ RTI >
Wherein the contact region is an epitaxial structure or a semiconductor pad.
청구항 1에 있어서, 상기 잔여물은 아르곤(Ar) 이온, 네온(Ne) 이온, 크립톤(Kr), 또는 제논(Xe) 이온인 것인 반도체 디바이스. The semiconductor device of claim 1, wherein the residue is an argon (Ar) ion, a neon (Ne) ion, a krypton (Kr), or a xenon (Xe) ion. 삭제delete 삭제delete 청구항 1에 있어서, 상기 실리사이드와 상기 컨택 영역 사이의 상기 계면은 불규칙한 것인 반도체 디바이스. The semiconductor device of claim 1, wherein the interface between the silicide and the contact region is irregular. 청구항 1에 있어서, 상기 반도체 기판 상에 있는 유전체 층을 더 포함하며, 상기 유전체 층은 상기 실리사이드의 일부를 노출시킬 개구를 포함하는 것인 반도체 디바이스. The semiconductor device of claim 1, further comprising a dielectric layer on the semiconductor substrate, wherein the dielectric layer includes an opening to expose a portion of the silicide. 청구항 6에 있어서,
상기 개구를 채우는 전도체; 및
상기 개구의 측벽 상에 그리고 상기 실리사이드 상에 있는 배리어 층을 더 포함하는 반도체 디바이스.
The method of claim 6,
A conductor filling said opening; And
And a barrier layer on the sidewalls of the opening and on the silicide.
청구항 7에 있어서,
상기 개구의 측벽과 상기 배리어 층 사이에 있는 금속 층을 더 포함하며, 상기 금속 층은 상기 실리사이드와 상기 배리어 층 사이에는 없는 것인 반도체 디바이스.
The method of claim 7,
Further comprising a metal layer between the barrier and the sidewall of the opening, wherein the metal layer is not between the silicide and the barrier layer.
상호접속 구조물에 있어서,
컨택 영역 상에 있는 실리사이드로서, 상기 컨택 영역과 상기 실리사이드 사이의 계면은 텍스처된 것이며, 복수의 잔여물이 상기 컨택 영역과 상기 실리사이드 사이의 계면에 있는 것인, 상기 실리사이드;
상기 실리사이드 상에 있는 전도체; 및
상기 전도체와 상기 실리사이드 사이에 있는 배리어 층
을 포함하고,
상기 컨택 영역은 에피텍시 구조물 또는 반도체 패드인 것인 상호접속 구조물.
In the interconnect structure,
A silicide on the contact region, the interface between the contact region and the silicide being textured, and a plurality of residues being at the interface between the contact region and the silicide;
A conductor on the silicide; And
A barrier layer between the conductor and the silicide
/ RTI >
Wherein the contact region is an epitaxial structure or a semiconductor pad.
상호접속 구조물을 제조하는 방법에 있어서,
컨택 영역의 일부를 노출시키도록 유전체 층 내에 개구를 형성하는 단계;
상기 컨택 영역의 표면을 텍스처하도록 물리적 제거 프로세스를 수행하는 단계;
상기 컨택 영역의 텍스처 표면 상에 금속 층을 형성하는 단계;
상기 금속 층 상에 배리어 층을 형성하는 단계; 및
어닐링 프로세스를 수행하는 단계
를 포함하고,
상기 금속 층은 상기 컨택 영역과 반응됨으로써 상기 컨택 영역과 상기 배리어 층 사이에 실리사이드를 형성하고,
복수의 잔여물이 상기 컨택 영역과 상기 실리사이드 사이의 계면에 있고,
상기 컨택 영역은 에피텍시 구조물 또는 반도체 패드인 것인 상호접속 구조물의 제조 방법.
A method of manufacturing an interconnect structure,
Forming an opening in the dielectric layer to expose a portion of the contact region;
Performing a physical removal process to texture the surface of the contact area;
Forming a metal layer on a textured surface of the contact region;
Forming a barrier layer on the metal layer; And
Performing the annealing process
Lt; / RTI >
The metal layer reacts with the contact region to form a silicide between the contact region and the barrier layer,
Wherein a plurality of residues are at the interface between the contact region and the silicide,
Wherein the contact region is an epitaxial structure or a semiconductor pad.
KR1020160035819A 2015-09-10 2016-03-25 Interconnection structure, fabricating method thereof, and semiconductor device using the same KR101820233B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562216902P 2015-09-10 2015-09-10
US62/216,902 2015-09-10
US14/993,024 2016-01-11
US14/993,024 US9576908B1 (en) 2015-09-10 2016-01-11 Interconnection structure, fabricating method thereof, and semiconductor device using the same

Publications (2)

Publication Number Publication Date
KR20170031009A KR20170031009A (en) 2017-03-20
KR101820233B1 true KR101820233B1 (en) 2018-01-18

Family

ID=58017792

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160035819A KR101820233B1 (en) 2015-09-10 2016-03-25 Interconnection structure, fabricating method thereof, and semiconductor device using the same

Country Status (5)

Country Link
US (5) US9576908B1 (en)
KR (1) KR101820233B1 (en)
CN (1) CN106531805B (en)
DE (1) DE102016101844B4 (en)
TW (1) TWI588964B (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9576908B1 (en) * 2015-09-10 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10541172B2 (en) * 2016-08-24 2020-01-21 International Business Machines Corporation Semiconductor device with reduced contact resistance
KR102276650B1 (en) * 2017-04-03 2021-07-15 삼성전자주식회사 Method for manufacturing semiconductor device
US10297602B2 (en) * 2017-05-18 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for forming source/drain regions of different transistors
US10304735B2 (en) * 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
FR3098019B1 (en) * 2019-06-25 2022-05-20 Aledia Optoelectronic device comprising three-dimensional semiconductor elements and process for its manufacture
US11271077B2 (en) * 2020-03-03 2022-03-08 Globalfoundries U.S. Inc. Trap-rich layer in a high-resistivity semiconductor layer
CN113130636B (en) * 2021-04-15 2022-06-17 长鑫存储技术有限公司 Method for manufacturing semiconductor device and semiconductor device thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100364919B1 (en) * 1993-03-05 2003-02-26 지멘스 악티엔게젤샤프트 FORMATION OF SILICIDED JUNCTIONS IN DEEP SUB-MICRON MOSFETs BY DEFECT ENHANCED CoSi2 FORMATION

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06188385A (en) 1992-10-22 1994-07-08 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JPH09213801A (en) * 1996-01-29 1997-08-15 Sony Corp Manufacture of semiconductor device with contact hole forming process
US5824586A (en) 1996-10-23 1998-10-20 Advanced Micro Devices, Inc. Method of manufacturing a raised source/drain MOSFET
US6558739B1 (en) * 1997-05-30 2003-05-06 Chartered Semiconductor Manufacturing Ltd. Titanium nitride/titanium tungsten alloy composite barrier layer for integrated circuits
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
US6156630A (en) 1997-08-22 2000-12-05 Micron Technology, Inc. Titanium boride gate electrode and interconnect and methods regarding same
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US6245672B1 (en) * 1998-10-23 2001-06-12 Texas Instruments Incorporated Method of forming diffusion barriers for copper metallization in integrated cirucits
US6096629A (en) * 1998-11-05 2000-08-01 Taiwan Semiconductor Manufacturing Company Uniform sidewall profile etch method for forming low contact leakage schottky diode contact
US6251777B1 (en) * 1999-03-05 2001-06-26 Taiwan Semiconductor Manufacturing Company Thermal annealing method for forming metal silicide layer
JP3297415B2 (en) * 2000-02-09 2002-07-02 株式会社半導体先端テクノロジーズ Method for manufacturing semiconductor device
KR100703984B1 (en) * 2006-03-22 2007-04-09 삼성전자주식회사 Fabrication method of semiconductor integrated circuit device and resultant structure
WO2008084867A1 (en) * 2007-01-10 2008-07-17 Nec Corporation Semiconductor device and process for producing the semiconductor device
JP4664950B2 (en) * 2007-08-20 2011-04-06 株式会社東芝 Semiconductor device
FR2979749B1 (en) * 2011-09-07 2014-03-28 St Microelectronics Crolles 2 PROCESS FOR PRODUCING A SILICIDE LAYER IN THE BACKGROUND OF A TRENCH, AND DEVICE FOR CARRYING OUT SAID METHOD
US8742457B2 (en) * 2011-12-16 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuses on semiconductor fins
US9412842B2 (en) * 2013-07-03 2016-08-09 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US9209132B2 (en) 2013-07-26 2015-12-08 Semiconductor Components Industries, Llc Semiconductor component and method of manufacture
US9076823B2 (en) * 2013-09-11 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-layer metal deposition in silicide formation
US20150118833A1 (en) 2013-10-24 2015-04-30 Applied Materials, Inc. Method of making source/drain contacts by sputtering a doped target
US9595524B2 (en) * 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
KR102171023B1 (en) * 2014-07-21 2020-10-29 삼성전자주식회사 Method of fabricating semiconductor devices
US9530661B2 (en) * 2014-08-06 2016-12-27 Applied Materials, Inc. Method of modifying epitaxial growth shape on source drain area of transistor
KR102245130B1 (en) * 2014-10-29 2021-04-29 삼성전자 주식회사 Semiconductor Devices Including Contact Patterns Having a Rising Portion and a Recessed Portion
US9230795B1 (en) * 2014-10-29 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Directional pre-clean in silicide and contact formation
US9391201B2 (en) * 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9437496B1 (en) * 2015-06-01 2016-09-06 Globalfoundries Inc. Merged source drain epitaxy
US9576908B1 (en) * 2015-09-10 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure, fabricating method thereof, and semiconductor device using the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100364919B1 (en) * 1993-03-05 2003-02-26 지멘스 악티엔게젤샤프트 FORMATION OF SILICIDED JUNCTIONS IN DEEP SUB-MICRON MOSFETs BY DEFECT ENHANCED CoSi2 FORMATION

Also Published As

Publication number Publication date
US10297548B2 (en) 2019-05-21
US20170077033A1 (en) 2017-03-16
US9576908B1 (en) 2017-02-21
US20210118807A1 (en) 2021-04-22
KR20170031009A (en) 2017-03-20
US20220344274A1 (en) 2022-10-27
US10861791B2 (en) 2020-12-08
US20170098613A1 (en) 2017-04-06
CN106531805A (en) 2017-03-22
US11404376B2 (en) 2022-08-02
TW201711158A (en) 2017-03-16
US11749603B2 (en) 2023-09-05
DE102016101844A1 (en) 2017-03-16
DE102016101844B4 (en) 2021-04-29
US20190279939A1 (en) 2019-09-12
TWI588964B (en) 2017-06-21
CN106531805B (en) 2020-04-10

Similar Documents

Publication Publication Date Title
US11749603B2 (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
US11682625B2 (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
US20230253254A1 (en) Semiconductor Device and Method
US11728223B2 (en) Semiconductor device and methods of manufacture
US20240194765A1 (en) Semiconductor Device and Method
US20230377995A1 (en) Gate structure and method of forming same
US9954067B2 (en) Semiconductor device and manufacturing method thereof
TWI796972B (en) Semiconductor device and methods of forming the same
US11862694B2 (en) Semiconductor device and method
US11532628B2 (en) Semiconductor device and method
US20230377989A1 (en) Source/Drain Regions and Methods of Forming Same
US20230369428A1 (en) Under epitaxy isolation structure
US20220051950A1 (en) Gapfill structure and manufacturing methods thereof
US20220359763A1 (en) Structure and formation method of semiconductor device with embedded epitaxial structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)