KR101742825B1 - Interfacial layers for electromigration resistance improvement in damascene interconnects - Google Patents

Interfacial layers for electromigration resistance improvement in damascene interconnects Download PDF

Info

Publication number
KR101742825B1
KR101742825B1 KR1020110004334A KR20110004334A KR101742825B1 KR 101742825 B1 KR101742825 B1 KR 101742825B1 KR 1020110004334 A KR1020110004334 A KR 1020110004334A KR 20110004334 A KR20110004334 A KR 20110004334A KR 101742825 B1 KR101742825 B1 KR 101742825B1
Authority
KR
South Korea
Prior art keywords
layer
copper
substrate
insulating film
aluminum
Prior art date
Application number
KR1020110004334A
Other languages
Korean (ko)
Other versions
KR20110084130A (en
Inventor
아난다 바너지
조니 앤드류 안토넬리
제니퍼 오'러플린
만디얌 스리람
바트 반 슈라벤디즈크
세샤사이 바라다라잔
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/688,154 external-priority patent/US8268722B2/en
Priority claimed from US12/689,803 external-priority patent/US7858510B1/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20110084130A publication Critical patent/KR20110084130A/en
Application granted granted Critical
Publication of KR101742825B1 publication Critical patent/KR101742825B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

금속 배선과 절연막 확산 장벽(또는 에칭 저지) 층 사이의 계면에 존재하는 보호 캡을 이용하여 인터커넥트의 전자이동 성능을 개선할 수 있다. 보호 캡은 약 350℃의 기판 온도에서 플라스마의 부재 하에서 산화물-프리 구리 표면을 유기알루미늄 화합물로 처리하여, 노출된 구리 위에 알루미늄-함유 물질의 제 1 층을 증착함으로써 형성된다. 형성된 알루미늄-함유 층을 화학적으로 변화시켜 부분적으로 또는 전체적으로 패시베이션되어 상기 층에 Al-N, Al-O, 또는 Al-O와 Al-N 둘 모두의 결합을 형성한다. 일부 실시예에서, 노출된 제 1 층을 갖는 기판을 플라스마의 부재 하에서 산소-함유 반응물 및/또는 질소-함유 반응물과 접촉시킴으로써 패시베이션이 형성된다. 노출된 ULK를 포함하는 기판 위에 보호 캡이 형성될 수 있다. 통상적으로 절연막 부분에 존재하는 알루미늄-함유 층이 Al-O 결합을 갖는 비-전도성 층을 자발적으로 형성할 것이다.The electron mobility of the interconnect can be improved by using a protective cap existing at the interface between the metal wiring and the insulating film diffusion barrier (or etch stop) layer. The protective cap is formed by treating the oxide-free copper surface with an organoaluminum compound in the absence of plasma at a substrate temperature of about 350 DEG C and depositing a first layer of aluminum-containing material over the exposed copper. The formed aluminum-containing layer is chemically changed to partially or totally passivate to form a bond of Al-N, Al-O, or both Al-O and Al-N in the layer. In some embodiments, a passivation is formed by contacting a substrate having an exposed first layer with an oxygen-containing reactant and / or a nitrogen-containing reactant in the absence of a plasma. A protective cap may be formed on the substrate including the exposed ULK. The aluminum-containing layer normally present in the insulating film portion will spontaneously form a non-conductive layer having Al-O bonds.

Description

다마신 인터커넥트에서 전자이동 저항성 개선을 위한 계면층{INTERFACIAL LAYERS FOR ELECTROMIGRATION RESISTANCE IMPROVEMENT IN DAMASCENE INTERCONNECTS}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to an interfacial layer for improving electromagnetic transfer resistance in a damascene interconnect,

본 발명은 부분 제작된 집적 회로 위에 물질의 층을 형성하는 방법에 관한 것으로, 더욱 상세하게는, 다마신 인터커넥트의 전자이동 속성을 개선하기 위하여 구리 배선 내에 보호 캡을 형성하는 방법에 관한 것이다.The present invention relates to a method of forming a layer of material on a partially fabricated integrated circuit, and more particularly to a method of forming a protective cap in a copper interconnect to improve the electron mobility of a damascene interconnect.

다마신 공정은 집적 회로 상에 금속 배선을 형성하는 방법이다. 다마신 공정은 절연층(층간 절연막)에 형성된 트렌치와 비아 내에 상감 세공된 금속 배선을 형성하는 것과 관계된다. 다마신 공정은 종종, 그 밖의 다른 방법들보다 적은 처리 단계들을 필요로 하고 더 높은 수율(yield)을 제공하기 때문에 바람직한 방법으로 여겨진다. 다마신 공정은 플라스마 에칭에 의해 즉시 패턴화될 수 없는 구리와 같은 금속에서 특히 적합하다.The damascene process is a method of forming a metal wiring on an integrated circuit. The damascene process involves forming a trench formed in an insulating layer (interlayer insulating film) and an inlaid metal wiring in the via. A damascene process is often considered a preferred method because it requires fewer processing steps than other methods and provides a higher yield. The damascene process is particularly suitable for metals such as copper that can not be readily patterned by plasma etching.

통상적인 다마신 공정 흐름에서, 패턴화된 절연막 위에 금속이 증착되어, 절연층에 형성된 바이와 트렌치를 충전한다. 최종 금속배선(metallization) 층이 능동 소자를 지닌 층 위에 또는 더 낮게 놓인 금속배선 층 위에 통상적으로 바로 형성된다. 실리콘 탄화물 또는 실리콘 질화물과 같은 절연막 확산 장벽 물질의 얇은 층이 인접한 금속배선 층들 사이에 증착되어, 금속이 절연막의 벌크(bulk) 층으로 확산되는 것을 방지할 수 있다. 또한 일부 경우에서는, 실리콘 탄화물 또는 실리콘 질화물 절연막 확산 장벽 층이 층간 절연막(inter layer dielectric, ILD)의 패터닝 동안 에칭 저지층의 역할도 한다.In a typical damascene process flow, a metal is deposited over the patterned insulating film to fill the via and the trench formed in the insulating layer. A final metallization layer is usually formed directly on the layer with the active element or above the metallization layer placed lower. A thin layer of an insulating film diffusion barrier material such as silicon carbide or silicon nitride may be deposited between adjacent metal interconnection layers to prevent metal from diffusing into the bulk layer of the insulating film. Also in some cases, the silicon carbide or silicon nitride insulating film diffusion barrier layer also serves as an etch stop layer during patterning of an interlayer dielectric (ILD).

통상적인 집적 회로(IC)에서, 몇몇 금속배선 층들이 서로의 위에 증착되어 스택(stack)을 형성하며, 여기서 금속-충전된 비아와 트랜치가 IC 전도 경로(conducting path)의 역할을 한다. 하나의 금속배선 층의 전도 경로가, 일련의 다마신 인터커넥트에 의해, 밑에 놓이거나 위에 놓인 층의 전도 경로에 연결된다. In a typical integrated circuit (IC), several metallization layers are deposited on top of each other to form a stack, where metal-filled vias and trenches serve as IC conducting paths. The conduction path of one metallization layer is connected to the conduction path of the underlying or overlying layer by a series of damascene interconnects.

이러한 인터커넥트들의 제작에서 몇가지 문제점들이 제시되는데, 이러한 문제점들은 계속하여 축소되는 IC 소자 피쳐(feature)들의 치수에 있어서 점점 더 중요해지고 있다. 현재, 90nm 기술 노드에서, 그리고 좀 더 개선된 노드에서, 개선된 수명과 신뢰성을 갖는 인터커넥트를 제공할 수 있는 인터커넥트 제작 방법에 대한 요구가 강해지고 있다.Several problems are presented in the fabrication of these interconnects, which are becoming increasingly important in the dimensioning of ever-shrinking IC device features. Currently, there is a growing need for interconnect fabrication methods that can provide interconnects with improved lifetime and reliability at 90 nm technology nodes and at more advanced nodes.

IC 제작 동안 맞닥뜨리는 하나의 문제점은 전자이동(electromigration) 장애이다. 전자이동은 인터커넥트에 의해 경험되는 고전류 밀도가 전류를 가진 금속 원자의 이동을 초래하고, 그 결과 인터커넥트 내에 공동(void)이 형성될 때 발생한다. 궁극적으로, 공동의 형성으로 인해 소자의 장애를 초래할 수 있고 이것이 전자이동 장애로서 알려져 있다. 진행 중인 IC 소자의 소형화 동안, 인터커넥트 치수가 감소되고, 인터커넥트에 의해 더 큰 전류 밀도가 경험된다. 그 결과, 전자이동 장애의 가능성이 이러한 소자 소형화와 함께 증가하게 된다. 구리가 알루미늄보다 더 큰 전자이동 저항성을 가지지만, 구리 인터커넥트에서조차, 45nm 기술 노드에서 그리고 좀 더 개선된 노드에서 전자이동 장애가 상당한 신뢰성 문제가 되고 있다.One problem encountered during IC fabrication is electromigration failure. Electron transfer occurs when the high current density experienced by the interconnect results in the migration of metal atoms with current, resulting in the formation of voids in the interconnect. Ultimately, the formation of cavities can lead to device failure, which is known as an electron transport disorder. During miniaturization of ongoing IC devices, the interconnect dimensions are reduced and larger current densities are experienced by the interconnect. As a result, the possibility of electron mobility failure increases with the miniaturization of such devices. Copper has greater electron mobility resistance than aluminum, but even at copper interconnects, electron mobility disturbances are becoming a significant reliability problem at the 45 nm technology node and at the more advanced nodes.

금속 배선과 절연막 확산 장벽(또는 에칭 저지) 층 사이의 계면에 존재하는 보호 캡이 본원에서 제공되며, 상기 보호 캡은 인터커넥트들의 전자이동 성능을 개선할 수 있다. 이러한 보호 캡을 형성하는 방법 또한 기술된다. 유리하게, 본원에 기술된 보호 캡은, 인터커넥트 저항성을 유의하게 증가시키지 않고, 절연막 확산 장벽 층과의 계면에서 금속 배선의 상부에 존재하는 매우 얇은 층으로서 형성될 수 있다. 보호 캡핑 층(protective capping layer)은 예를 들어 고용체(solid solution), 합금, 또는 인터커넥트 금속(가령, 구리)과 도핑 원소(가령, 붕소, 알루미늄, 티타늄 등)의 화합물을 포함할 수 있다. 많은 실시예에서, 인터커넥트 금속과의 합금을 형성하거나 결정 경계(grain boundary)에 축적됨으로써 인터커넥트 금속 원자들의 이동을 감소시키는 도핑 원소를 선택하는 것이 유리하다. A protective cap is presently provided at the interface between the metal wiring and the insulating film diffusion barrier (or etch stop) layer, and the protective cap can improve the electron mobility of the interconnects. A method of forming such a protective cap is also described. Advantageously, the protective cap described herein can be formed as a very thin layer present at the top of the metal interconnect at the interface with the insulating film diffusion barrier layer, without significantly increasing the interconnect resistance. The protective capping layer may comprise, for example, a solid solution, an alloy, or a compound of an interconnect metal (e.g., copper) and a doping element (e.g., boron, aluminum, titanium, etc.). In many embodiments, it is advantageous to select doping elements that either form an alloy with the interconnect metal or accumulate at the grain boundary thereby reducing migration of the interconnect metal atoms.

본원에 제공된 방법은 도펀트-생성(dopant-generating) 물질(예를 들어, B, Al, Ti 등을 함유한 물질)의 소스 층을 노출된 금속 배선 위에 증착하고, 상기 소스 층의 상부를 패시베이션 층(가령, 질화물 또는 산화물)으로 변화시키고, 도펀트-생성 소스 층의 변화되지 않은 부분을 인터커넥트 금속과 접촉 상태로 유지시키고, 뒤이어, 상기 소스 층의 변화되지 않은 부분이 인터커넥트 금속으로 확산되거나 인터커넥트 금속과 반응하도록 함으로써 보호 캡의 두께를 조절할 수 있다. 일 실시예에서, 인터커넥트 내로 삽입되는 도펀트의 양은 인터커넥트와 접촉하여 존재하는 소스 층의 변화되지 않은 부분의 두께까지로 제한된다. 그 밖의 다른 실시예에서, 인터커넥트 내로 삽입되는 도펀트의 양은 확산 및/또는 반응 동안의 온도를 조절함으로써 조절된다.The method provided herein includes depositing a source layer of a dopant-generating material (e.g., a material containing B, Al, Ti, etc.) on an exposed metal interconnect, depositing an upper portion of the source layer over the passivation layer (E. G., Nitride or oxide), maintaining the unaltered portion of the dopant-generating source layer in contact with the interconnect metal, and subsequently depositing an unchanged portion of the source layer into the interconnect metal, So that the thickness of the protective cap can be adjusted. In one embodiment, the amount of dopant that is inserted into the interconnect is limited to the thickness of the unchanged portion of the source layer that is in contact with the interconnect. In other alternative embodiments, the amount of dopant that is inserted into the interconnect is controlled by adjusting the temperature during diffusion and / or reaction.

도펀트 또는 쉽게 확산되는 많은 양의 도펀트(가령, Si 또는 Ge)가 인터커넥트 금속 위에 증착될 때 종종 인터커넥트의 저항성이 증가되는데, 유리하게, 이러한 조절 가능 방식으로 형성된 얇은 보호 캡은 인터커넥트의 저항성을 유의하게 증가시키지 않는다. 이에 더하여, 아래에 기술될 것이지만, 본원에 제공되는 방법은, 거의 또는 전혀 선택의 여지가 없이, 노출된 금속과 절연막 둘 모두의 위에 증착되는 도펀트-생성 소스로부터 보호 캡핑 층을 형성하는 것에 적합하다. 이러한 방법은 또한, 도펀트-함유 소스 층이 절연막 위에 유의하게 증착됨이 없이 금속 층에만 선택적으로 증착되는 경우에도 이용될 수 있다.The resistance of the interconnect is often increased when a dopant or a large amount of readily diffusing dopant (e.g., Si or Ge) is deposited on the interconnect metal. Advantageously, the thin protective cap formed in this adjustable manner significantly reduces the resistance of the interconnect . In addition, as will be described below, the method provided herein is suitable for forming a protective capping layer from a dopant-generating source deposited on top of both the exposed metal and the insulating film with little or no choice . This method can also be used when the dopant-containing source layer is selectively deposited only on the metal layer without significant deposition on the insulating film.

본 발명의 일 형태를 따라, 반도체 소자 구조물을 형성하는 방법이 제공된다. 일 실시예에서, 상기 방법은 (a) 제 1 금속(가령, 구리 또는 구리 합금)의 노출 층과 절연막의 노출 층 갖는 기판을 붕소 또는 제 2 금속(가령, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, Sb 등)을 포함한 화합물과 접촉시켜서 붕소 또는 제 2 금속을 포함한 소스 층을 절연막과 상기 제 1 금속 둘 모두의 위에 증착시키는 단계; (b) 상기 제 1 금속의 영역 위의 소스 층의 상부 부분을 변화시켜 패시베이션 층을 형성하는 단계로서, 여기서, 변화되지 않은 소스 층의 부분은 제 1 금속의 층과 접촉 상태로 유지되는 특징의 단계와; (c) 상기 변화되지 않은 소스 층으로부터의 활성 성분(active component)이 제 1 금속으로 확산되거나 제 1 금속과 반응하도록 하여 제 1 금속의 층 내에 보호 캡을 형성하는 단계를 포함한다.According to an aspect of the present invention, a method of forming a semiconductor device structure is provided. In one embodiment, the method comprises the steps of: (a) depositing a substrate having an exposed layer of a first metal (e.g., copper or copper alloy) and an exposed layer of an insulating film with boron or a second metal (e.g., Al, Hf, Ti, Co, Ta , Mo, Ru, Sn, Sb, etc.) to deposit a source layer comprising boron or a second metal on both the insulating film and the first metal; (b) varying the upper portion of the source layer over the region of the first metal to form a passivation layer, wherein the portion of the unchanged source layer remains in contact with the layer of the first metal ; (c) allowing the active component from the unaltered source layer to diffuse into or react with the first metal to form a protective cap within the first metal layer.

일 실시예에서, 기판은 금속간 절연막의 층에 내장된 노출된 구리 배선을 갖는 다마신 구조이다. 소스 층의 증착에 앞서, 선택 사항으로서 상기 기판이, 구리 표면으로부터 오염물질(가령, 구리 산화물)을 제거하도록 사전에 세척될 수 있다. 예를 들어, 사전-세척은 기판을 플라스마의 환원 가스(가령, H2 or NH3)에 노출시킴으로써 수행될 수 있다. 그 후, 특정 온도에서 기판을 휘발성 도펀트 전구체(precursor)와 접촉시킴으로써, 도펀트(활성 성분)의 소스를 함유한 소스 층이 증착될 수 있다. 통상적으로(필연적인 것은 아니지만) 소스 층의 증착은 플라스마 방전의 부재 하에서 열적으로(thermally) 수행된다. 사전-세척과 소스 층 증착은 예를 들어 동일한 공정 챔버에서 진공상태 해소(vacuum break) 없이 CVD 장치에서 수행될 수 있다.In one embodiment, the substrate is a damascene structure having exposed copper interconnects embedded in a layer of intermetal dielectric. Prior to deposition of the source layer, the substrate may optionally be pre-cleaned to remove contaminants (e.g., copper oxide) from the copper surface. For example, pre-cleaning may be performed by exposing the substrate to a reducing gas of the plasma (e.g., H 2 or NH 3 ). A source layer containing a source of a dopant (active component) can then be deposited by contacting the substrate with a volatile dopant precursor at a specific temperature. Typically (though not necessarily) the deposition of the source layer is performed thermally in the absence of a plasma discharge. Pre-cleaning and source layer deposition can be performed in a CVD apparatus, for example, without vacuum break in the same process chamber.

일 실시예에서, 플라스마 방전의 부재 하에서 약 200 내지 400℃ 사이의 챔버 온도에서 기판을 B2H6(또는 그 밖의 다른 붕소-함유 전구체)를 함유한 가스 혼합물과 불활성 운반 가스(carrier gas)와 접촉시킴으로써 붕소-함유 소스 층이 증착된다. 증착 챔버 내의 압력이 약 0.5 내지 10 Torr의 범위로 유지되고, 가스 혼합물에서 B2H6의 농도가 약 0.5 내지 20 부피% 사이의 범위를 가진다. 이러한 조건 하에서, 붕소-함유 소스 층이 기판의 노출된 절연막과 금속 부분 둘 모두의 위에 증착된다. 상기 소스 층은 B-H 결합을 갖는 것으로 발견되었고, 따라서 BHx 층이라 언급될 것이다.In one embodiment, at a chamber temperature between about 200 and 400 캜 in the absence of plasma discharge, the substrate is exposed to a gas mixture containing B 2 H 6 (or other boron-containing precursor) and an inert carrier gas A boron-containing source layer is deposited by contact. The pressure in the deposition chamber is maintained in the range of about 0.5 to 10 Torr and the concentration of B 2 H 6 in the gas mixture is in the range of about 0.5 to 20% by volume. Under these conditions, a boron-containing source layer is deposited over both the exposed insulating layer and the metal portion of the substrate. The source layer was found to have a BH bond and will therefore be referred to as a BH x layer.

많은 실시예에서, 금속 표면에서의 더 높은 전구체 분해율(decomposition rate)로 인해, 기판의 절연막 부분과 비교할 때 기판의 금속 부분 위에 더 많은 양의 도펀트 소스 물질이 증착된다. 그 결과, 이러한 실시예들에서, 금속 부분 위에 증착된 소스 층의 두께가 절연막 위에 증착된 소스 층의 두께보다 더 두껍다. 그러나, 많은 붕소-함유 전구체와 금속-함유 전구체에 있어서 통상적으로 금속과 절연막 간의 완전한 증착 선택성은 달성되기 어렵다. 유리하게, 본원에 개시된 증착 방법이 소스 층의 증착에 있어서 절대적인 금속/절연막 선택성을 요구하지는 않는다.In many embodiments, due to the higher precursor decomposition rate at the metal surface, a greater amount of dopant source material is deposited over the metal portion of the substrate as compared to the insulating film portion of the substrate. As a result, in these embodiments, the thickness of the source layer deposited over the metal portion is greater than the thickness of the source layer deposited over the insulating layer. However, in many boron-containing precursors and metal-containing precursors, the complete deposition selectivity between metal and insulating film is generally difficult to achieve. Advantageously, the deposition methods disclosed herein do not require absolute metal / insulating film selectivity in the deposition of the source layer.

일부 실시예에서, 전구체 분해와 기판 위에 금속-함유 층의 증착을 야기하기에 적합한 온도와 압력 하에서 금속 할로겐화물, 금속 수소화물, 금속 카르보닐(metal carbonyl), 또는 휘발성 유기금속 화합물과 같은 휘발성 금속-함유 전구체와 기판을 접촉시킴으로써 금속-함유 소스 층이 증착된다. 많은 경우에서, BHx 층의 증착에 대해 위에서 나열된 것과 유사한 온도와 압력 범위가 사용된다. 해당업계 종사자라면 서로 다른 유형의 금속 전구체에 대하여 어떻게 증착 조건을 최적화할 수 있는지 이해할 것이다.In some embodiments, a metal halide, a metal hydride, a metal carbonyl, or a volatile metal compound such as a volatile organometallic compound under temperature and pressure suitable for causing precursor decomposition and deposition of a metal- Containing precursor is contacted with the substrate to deposit a metal-containing source layer. In many cases, a temperature and pressure range similar to those listed above for the deposition of the BH x layer is used. Those skilled in the art will understand how to optimize deposition conditions for different types of metal precursors.

다수의 금속이 보호 캡 형성을 위한 도펀트로서 적합하다. 상기 금속에는, 고용체, 합금, 또는 인터커넥트 금속과의 금속간 상(intermetallic phase)을 형성하는 금속들과, 인터커넥트의 결정 경계에서 확산되거나 축적될 수 있는 금속들이 포함된다. 예를 들어 Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, 및 Sb가 보호 캡의 성분으로서 사용될 수 있다. 이들 금속 서로간 또는 이들 금속과 그 밖의 다른 금속과의 합금 및 고용체가 이용될 수도 있다. 알루미늄-함유 소스 층을 위한 휘발성 전구체에는 트리메틸알루미늄, 디메틸알루미늄 수소화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 및 트리스(디에틸아미노)알루미늄이 포함된나 이에 한정되는 것은 아니다. 그 밖의 다른 금속들의 증착에 적합한 전구체에는 비스(시클로펜타디에닐)코발트, 코발트(Ⅱ) 아세틸아세톤염, 테트라키스(디메틸아미도)하프늄, 테트라키스(디에틸아미도)하프늄, 테트라키스(디메틸아미도)몰리브덴, 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미도)티타늄, 비스(디에틸아미노)비스(디이소프로필아미노)티타늄, 펜타키스(디메틸아미노)티타늄, 터트(부틸이미도트리스)(디에틸아미도)탄탈(tert(butylimidotris)(diethylamido)tantalum)(TBTDET), 펜타키스(디에틸아미도)탄탈(pentakis(diethylamido)tantalum), 비스(에틸시클로펜타디에닐)루테늄(bis(ethylcyclopentadienyl)ruthenium), 트리스(디메틸아미도)안티몬, 및 테트라메틸틴(tetramethyltin)이 포함되나 이에 한정되는 것은 아니다.A number of metals are suitable as dopants for forming the protective cap. The metal includes metals that form an intermetallic phase with solid solution, alloy, or interconnect metal, and metals that can diffuse or accumulate at the crystal boundary of the interconnect. For example, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, and Sb may be used as components of the protective cap. Alloys and solid solutions of these metals with each other or with these metals and other metals may also be used. Volatile precursors for the aluminum-containing source layer include, but are not limited to, trimethylaluminum, dimethylaluminum hydride, triethylaluminum, triisobutylaluminum, and tris (diethylamino) aluminum. Suitable precursors for the deposition of other metals include bis (cyclopentadienyl) cobalt, cobalt (II) acetylacetone salts, tetrakis (dimethylamido) hafnium, tetrakis (diethylamido) hafnium, tetrakis Amido) molybdenum, tetrakis (dimethylamino) titanium (TDMAT), tetrakis (diethylamino) titanium (TDEAT), tetrakis (ethylmethylamido) titanium, bis (diethylamino) bis ) Titanium, pentakis (dimethylamino) titanium, tert (butylimidotris) (diethylamido) tantalum (tertiary), diethylamido tantalum (TBTDET), pentakis (diethylamido) diethylamido tantalum, bis (ethylcyclopentadienyl) ruthenium, tris (dimethylamido) antimony, and tetramethyltin.

전술된 바와 같이, 붕소 또는 금속을 함유한 소스 층이 증착된 후, 그 상부 부분이 변화되어 패시베이션 층(가령, 질화물 또는 산화물을 함유한 층)을 형성하고, 그 바닥 부분은 변화되지 않고 인터커넥트 금속과 접촉 상태로 유지된다. 소스 층이 절연막 위에서보다 금속 위에 더 두꺼운 두께로 증착되는 많은 실시예에서, 이러한 변화 공정으로 인해 절연막 위에 존재하는 소스 층의 부분이 저 전도성을 가진 물질(가령, BNx, AlxOy 등)을 함유한 패시베이션 층으로 완전히 변화된다. 이러한 변화는 인접한 인터커넥트들 간의 단락을 방지하기 위해 수행된다. 더욱이, 금속 배선 위에 존재하는 소스 층의 부분적 변화가 층 내에 존재하는 도펀트의 양을 조절하는 기능을 하고, 보호 캡의 두께를 조절함으로써 인터커넥트 저항성을 조절할 수 있는 통로를 제공한다.As described above, after the source layer containing boron or metal is deposited, the upper portion thereof is changed to form a passivation layer (e.g., a layer containing nitride or oxide), the bottom portion of which is unchanged, As shown in Fig. In many embodiments where the source layer is deposited to a greater thickness over the metal than over the insulating layer, the portion of the source layer that is present on the insulating layer due to this change process is a material with low conductivity (e.g., BN x , Al x O y Etc.). ≪ / RTI > This change is done to prevent shorts between adjacent interconnects. Moreover, the partial change in the source layer present on the metal wiring serves to adjust the amount of dopant present in the layer, and provides a passage through which the interconnect resistance can be controlled by adjusting the thickness of the protective cap.

패시베이션 층을 형성하기 위하여 다수의 공정들이 이용될 수 있다. 일 실시예에서, 플라스마 방전에서 질소-함유 반응물에 기판을 노출시킴으로써 소스 층이 변화된다. 예를 들어, NH3, N2H4, 아민, N2, 및 이들의 혼합물이 사용될 수 있다. 구체적 예시에서, 기판을 플라스마에서 N2 및 NH3의 혼합물과 접촉시킴으로써 BHx 소스 층이 변화되어 BNx를 함유한 패시베이션 층을 형성할 수 있다. 그 밖의 다른 실시예에서, 플라스마 방전에서 산소-함유 화합물(가령, O2, N2O, 또는 CO2)에 기판을 노출시킴으로써 소스 층(가령, 금속-함유 소스 층)이 변화되어 산화물(가령, 알루미늄 산화물, 티타늄 산화물 등)을 함유한 패시베이션 층을 형성할 수 있다. 그 밖의 다른 실시예에서, 플라스마에서 반응물을 함유한 탄소를 이용해 소스 층을 변화시켜 탄화물 또는 탄화수소(가령, BCx, CxHy 등)을 함유한 패시베이션 층을 형성할 수 있다. A number of processes may be used to form the passivation layer. In one embodiment, the source layer is changed by exposing the substrate to the nitrogen-containing reactant in the plasma discharge. For example, NH 3 , N 2 H 4 , amines, N 2 , and mixtures thereof may be used. In a specific example, the substrate is exposed to N 2 And BH x is brought into contact with a mixture of NH 3 The source layer can be changed to form a passivation layer containing BN x . In another embodiment, the source layer (e.g., the metal-containing source layer) is changed by exposing the substrate to an oxygen-containing compound (e.g., O 2 , N 2 O, or CO 2 ) , Aluminum oxide, titanium oxide, etc.) can be formed. In other embodiments, the source layer may be varied using carbon containing reactants in the plasma to form a passivation layer containing carbides or hydrocarbons (e.g., BC x , C x H y, etc.).

변화되는 층의 두께는 원하는 대로 맞춤화될 수 있다. 변화되는 층의 두께를 조절함으로써, 도펀트 소스를 함유한, 변화되지 않고 남아 있는 층의 두께가 조절되고, 이로써 인터커넥트 내의 보호 캡의 두께가 조절되는 결과를 가져온다. 예를 들어, 패시베이션 층을 형성하기 위하여 금속 배선 위에 존재하는 소스 층 두께의 약 20 내지 60% 사이가 변화될 수 있고, 변화되지 않은 도펀트-함유 부분은 금속 배선과 접촉 상태로 남긴다. 일 예시에서, 금속 배선 위에 존재하는 소스 층이 약 50 내지 500Å 사이의 두께를 가진다. 소스 층 두께의 약 20 내지 60% 두께가 패시베이션 층으로 변화된 후에, 약 20 내지 400Å 사이의 두께가 금속 배선과 접촉 상태로 남아 있다. The thickness of the layer to be changed can be customized as desired. By adjusting the thickness of the layer to be changed, the thickness of the remaining unaltered layer containing the dopant source is adjusted, which results in the thickness of the protective cap in the interconnect being adjusted. For example, between about 20 and 60% of the thickness of the source layer present on the metal lines to form the passivation layer may be varied, and the unchanged dopant-containing portions remain in contact with the metal lines. In one example, the source layer present on the metal lines has a thickness between about 50 and 500 ANGSTROM. After about 20 to 60% of the thickness of the source layer thickness has been converted to the passivation layer, a thickness of between about 20 and 400 A remains in contact with the metallization.

그 다음, 변화된 층이 형성된 후, 변화되지 않은 소스 층으로부터의 활성 성분이 인터커넥트 금속으로 확산되거나 인터커넥트 금속과 반응할 수 있고, 인터커넥트 금속의 층 내에 보호 캡을 형성할 수 있다. 일부 실시예에서, 보호 캡을 형성하기 전에, 소스 층 내에 활성 성분이 첫 번째로 생성된다. 활성 성분의 성질에 따라, 다양한 조건들을 이용하여 활성 성분을 생성할 수 있고 상기 활성 성분이 인터커넥트 금속으로 확산되도록 촉진할 수 있다. 일부 실시예에서, 미리 정해진 시간 동안 기판을 고온에 노출시킴으로써 금속 인터커넥트 내에 보호 캡이 형성되는 것을 촉진할 수 있다. 그 밖의 다른 실시예에서, 보호 캡의 형성은 도펀트 확산에 충분한 시간이 허용된 이후 실온에서 발생한다. Then, after the modified layer is formed, the active component from the unaltered source layer can diffuse into the interconnect metal or react with the interconnect metal and form a protective cap in the layer of interconnect metal. In some embodiments, before forming the protective cap, the active ingredient is first generated in the source layer. Depending on the nature of the active ingredient, various conditions can be used to produce the active ingredient and promote the active ingredient to diffuse into the interconnect metal. In some embodiments, the substrate may be exposed to high temperatures for a predetermined period of time to facilitate formation of a protective cap within the metal interconnect. In other embodiments, the formation of the protective cap occurs at room temperature after sufficient time has been allowed for dopant diffusion.

일부 실시예에서, 패시베이션 층이 형성된 후에, 상기 패시베이션 층 위에 에칭 저지층 또는 절연막 확산 장벽층(예를 들어, 도핑되었거나 도핑되지 않은 실리콘 탄화물 또는 실리콘 질화물을 포함한 층)이 증착된다. 그 밖의 다른 실시예에서, 패시베이션 층 자체가 에칭 저지층 또는 절연막 확산 장벽층의 역할을 할 수 있고, 별도의 에칭 저지층이 요구되지 않는다. 후자의 실시예에서, 금속간 절연막이 패시베이션 층 위에 바로 증착된다.In some embodiments, after a passivation layer is formed, an etch stop layer or an insulating film diffusion barrier layer (e.g., a layer comprising doped or undoped silicon carbide or silicon nitride) is deposited over the passivation layer. In other embodiments, the passivation layer itself may serve as an etch stop layer or an insulating film diffusion barrier layer, and no separate etch stop layer is required. In the latter embodiment, an intermetal dielectric is deposited directly on the passivation layer.

일부 실시예에서, 절연막 확산 장벽층 또는 에칭 저지층이 증착된 후에, 도펀트가 인터커넥트 금속으로 확산되거나 인터커넥트 금속과 반응하도록 함으로써 인터커넥트 금속의 도핑이 수행된다. 예를 들어, 에칭 저지층(가령, 실리콘 탄화물 층)이 증착된 후에 기판이 최소한 약 100℃까지 가열되어 보호 캡의 형성을 촉진할 수 있다.In some embodiments, doping of the interconnect metal is performed by allowing the dopant to diffuse into the interconnect metal or react with the interconnect metal after the insulating film diffusion barrier layer or etch stop layer is deposited. For example, after the etch stop layer (e.g., silicon carbide layer) has been deposited, the substrate may be heated to at least about 100 DEG C to facilitate formation of the protective cap.

유리하게, 일부 실시예에서, 전체 캡-형성 공정과 확산 장벽(또는 에칭 저지) 증착 공정이 하나의 모듈에서 진공 상태 해소 없이 연속하여 수행된다. 하나의 챔버 내에 다수의 스테이션을 갖거나 다수의 챔버를 갖는 PECVD 모듈 장치가 이러한 증착에 적합한 장치이다. 주목할만하게, 금속-함유 층과 절연층 둘 모두가 진공 상태 해소 없이 하나의 PECVD 장치에서 연속하여 증착될 수 있다. 예를 들어, 일 실시예에서, 이러한 공정은 금속-함유 소스 층 증착 단계, 소스 층의 상부 부분을 패시베이션 층으로 변화시키는 단계, 활성 성분이 금속 인터커넥트 내에서 보호 캡을 형성하도록 하는 단계, 그리고 절연막 확산 장벽층 또는 에칭 저지층 형성 단계와 관계되며, 여기서 모든 공정들이 진공 상태 해소 없이 하나의 장치에서 수행된다.Advantageously, in some embodiments, the entire cap-forming process and the diffusion barrier (or etch stop) deposition process are performed sequentially in a module without vacuum release. A PECVD module device having multiple stations in one chamber or having multiple chambers is a suitable device for such deposition. Notably, both the metal-containing layer and the insulating layer can be continuously deposited in one PECVD apparatus without releasing the vacuum state. For example, in one embodiment, this process includes depositing a metal-containing source layer, converting the top portion of the source layer to a passivation layer, allowing the active component to form a protective cap within the metal interconnect, A diffusion barrier layer, or an etch stop layer, wherein all processes are performed in a single apparatus without vacuum release.

이러한 방법을 이용하여 형성된 소자는 개선된 전자이동 속성을 가질 수 있고, 또한 금속/절연막 확산 계면에 더 큰 부착력을 나타낼 수 있다.A device formed using this method can have improved electron mobility and also exhibit greater adhesion to the metal / insulating film diffusion interface.

본 발명의 또 다른 양상을 따라, 반도체 소자가 제공된다. 반도체 소자는 절연 물질의 영역과, 상기 절연 물질에 내장된 구리 또는 구리 합금의 영역을 포함한다. 이러한 반도체 소자는 절연막의 층 위에 그리고 구리 또는 구리 합금의 영역 위에 증착되는 BNx를 포함한 층을 추가로 포함한다. 반도체 소자는 구리 또는 구리 합금 영역 내에 붕소-함유 캡을 추가로 포함한다.According to still another aspect of the present invention, there is provided a semiconductor device. The semiconductor device includes a region of an insulating material and a region of copper or a copper alloy embedded in the insulating material. Such a semiconductor device further comprises a layer comprising BN x deposited over a layer of insulating film and over a region of copper or copper alloy. The semiconductor device further comprises a boron-containing cap within the copper or copper alloy region.

본 발명의 또 다른 양상을 따라, 부분 제작된 반도체 소자의 금속 부분 위에 또는 금속 부분 내에 보호 캡을 형성하는 장치가 제공된다. 상기 장치는 (a) 반응물의 주입을 위한 주입구를 갖는 공정 챔버; (b) 보호 캡의 형성 동안 웨이퍼를 제 위치에 고정하기 위한 웨이퍼 지지부; 그리고 (c) 보호 캡 증착을 위한 프로그램 명령어를 포함하고 있는 컨트롤러를 포함한다. 상기 명령어는 (ⅰ) 웨이퍼 기판 상의 노출된 금속 부분과 노출된 절연막 부분 위에 붕소 또는 제 2 금속을 포함한 소스 층을 증착시키기 위한 명령어; (ⅱ) 패시베이션 층을 형성하도록 활성 성분 층의 상부 부분을 변화시키기 위한 명령어; 및 (ⅲ) 보호 캡을 형성하도록 소스 층 내의 활성 성분이 기판 위의 금속으로 확산되거나 금속과 반응하기 위한 명령어를 포함한다. 일부 실시예에서, 상기 장치는 PECVD 장치이다. 이러한 공정들은 멀티-스테이션 장치의 하나의 스테이션에서 연속하여 수행될 수 있다. 그 밖의 다른 실시예에서, 일부 공정들이 장치의 제 1 스테이션에서 수행될 수 있고 그 밖의 다른 공정들은 이와는 다른 스테이션에서 수행될 수 있다. 하나의 스테이션은 제 1 온도에서 수행되는 공정들을 위해 구성될 수 있고 또 다른 스테이션은 이와는 다른 온도에서 수행되는 공정들을 위해 구성될 수 있다. 예를 들어, 소스 층의 증착이 제 1 온도에서 멀티-스테이션의 하나의 스테이션에서 수행될 수 있고, 소스 층의 뒤이은 변화가 다른 스테이션에서 다른 온도로 수행될 수 있다. 진공 상태 해소 없이 스테이션들 사이로 기판이 운반될 수 있다. 그 밖의 다른 실시예에서, 기판을 대기 조건(ambient condition)에 노출시키지 않고 챔버들 사이로 기판이 운반될 수 있는 멀티-챔버 장치에서 공정이 유사하게 구현될 수 있다.In accordance with another aspect of the present invention, there is provided an apparatus for forming a protective cap on or in a metal portion of a partially fabricated semiconductor device. The apparatus comprises: (a) a process chamber having an inlet for injection of reactants; (b) a wafer support for securing the wafer in position during formation of the protective cap; And (c) a controller including program instructions for depositing a protective cap. The instructions comprising: (i) depositing a source layer comprising boron or a second metal over the exposed metal portion and the exposed portion of the insulating film on the wafer substrate; (Ii) changing an upper portion of the active component layer to form a passivation layer; And (iii) instructions for the active ingredient in the source layer to diffuse into the metal on the substrate or react with the metal to form a protective cap. In some embodiments, the apparatus is a PECVD apparatus. These processes can be performed continuously in one station of the multi-station device. In other embodiments, some processes may be performed at a first station of the device, and other processes may be performed at a different station. One station may be configured for processes performed at a first temperature and another station may be configured for processes performed at a different temperature. For example, deposition of a source layer may be performed at one station of the multi-station at a first temperature, and subsequent changes of the source layer may be performed at another temperature at another station. The substrate can be transported between stations without vacuum release. In other embodiments, the process can be similarly implemented in a multi-chamber device in which the substrate can be transported between chambers without exposing the substrate to ambient conditions.

본 발명의 또 다른 양상에서, 산화물-프리(oxide-free) 구리 표면 위에 알루미늄-함유 보호 캡을 형성하는 방법이 제공된다. 상기 방법은 (a) 절연막과 구리 또는 구리 합금의 층 둘 모두의 위에 알루미늄을 포함한 제 1 층을 형성하도록 산화물-프리 구리 또는 구리 합금의 노출 층과 절연막의 노출 층을 갖는 기판을 알루미늄을 포함한 화합물과 접촉시키는 단계; (b) 알루미늄을 포함한 패시베이션 층을 형성하도록 상기 제 1 층의 일부분 또는 전부를 화학적으로 변화시키는 단계; 및 (c) 상기 패시베이션 층 위에 절연층을 증착하는 단계에 의해 특징지어질 수 있다. 일부 실시예에서, (a), (b), 및 (c) 단계들 각각이 화학적 기상 증착(CVD) 장치에서 수행된다. 더욱이, 특정 실시예에서, (c)에서 증착된 절연층은 에칭 저지 절연층이다. 이러한 에칭 저지 절연층은 예를 들어 실리콘 질화물 또는 실리콘 탄화물과 같이 도핑되었거나 도핑되지 않은 물질일 수 있다. 또 다른 실시예에서, (c)에서 증착된 절연층은 패시베이션 층 위에 바로 증착되는 층간 절연막(ILD)층이다.In another aspect of the present invention, a method of forming an aluminum-containing protective cap on an oxide-free copper surface is provided. The method comprises the steps of: (a) providing a substrate having an exposed layer of an oxide-free copper or copper alloy and an exposed layer of an insulating film so as to form a first layer comprising aluminum on top of both the insulating film and the copper or copper alloy layer, ; (b) chemically changing a portion or all of the first layer to form a passivation layer comprising aluminum; And (c) depositing an insulating layer over the passivation layer. In some embodiments, each of steps (a), (b), and (c) are performed in a chemical vapor deposition (CVD) apparatus. Moreover, in certain embodiments, the insulating layer deposited in (c) is an etch-stop insulating layer. This etch stop insulating layer may be a doped or undoped material such as, for example, silicon nitride or silicon carbide. In another embodiment, the insulating layer deposited in (c) is an interlayer dielectric (ILD) layer deposited directly over the passivation layer.

특정 실시예에서, 상기 방법은 (a) 단계 전에 추가 단계를 포함한다. 구체적으로, 구리 또는 구리 합금의 표면으로부터 구리 산화물을 완전히 제거하기 위하여 기판 표면이 세척된다. 세척 기법들의 예시에는 N2, NH3 및 H2 중 하나 이상을 포함한 가스에서 (1) 직접 플라스마 처리, (2) 원거리 플라스마 처리, (3) UV 처리, 그리고 (4) 열 처리가 포함된다.In a particular embodiment, the method comprises an additional step before step (a). Specifically, the substrate surface is cleaned to completely remove the copper oxide from the surface of the copper or copper alloy. Examples of cleaning techniques include N 2 , NH 3, and H 2 (1) direct plasma treatment, (2) remote plasma treatment, (3) UV treatment, and (4) heat treatment.

전술된 실시예에서, (a) 단계는 플라스마의 부재 하에서 약 350℃ 이상(가령, 약 400℃ 이상)의 기판 온도에서 기판을 유기알루미늄(organoaluminum) 화합물과 접촉시키는 단계를 수반할 수 있다. 예로서, 유기알루미늄 화합물은 트리메틸알루미늄이다.In the above-described embodiment, step (a) may involve contacting the substrate with an organoaluminum compound at a substrate temperature of at least about 350 캜 (e.g., at least about 400 캜) in the absence of plasma. By way of example, the organoaluminum compound is trimethylaluminum.

특정 실시예에서, (b) 단계는 알루미늄이 구리 층으로 실질적으로 확산되도록 하지 않고 구리 또는 구리 합금 위에 존재하는 제 1 층을 실질적으로 완전히 패시베이션하는 단계를 수반한다. 대안적으로, (b) 단계는 알루미늄이 구리 층으로 부분적으로 확산되도록 하면서 구리 또는 구리 합금 위에 존재하는 제 1 층을 부분적으로 패시베이션하는 단계를 수반한다.In certain embodiments, step (b) involves substantially completely passivating the first layer present on the copper or copper alloy without allowing aluminum to diffuse substantially into the copper layer. Alternatively, step (b) involves partially passivating the first layer present on the copper or copper alloy while allowing aluminum to diffuse partially into the copper layer.

특정 실시예에서, (b)에서 층을 패시베이션하는 단계는 Al-N 결합을 포함하는 실질적으로 부동성(immobile)인 화합물을 형성하는 단계를 포함한다. 구체적 실시예에서, 패시베이션 공정은 기판을 질소-함유 제제로 처리하는 단계를 수반하고, 상기 처리는 예를 들어 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 또는 열 처리일 수 있다. 좀 더 구체적인 실시예에서, 상기 처리는 플라스마의 부재 하에 질소-함유 제제에 기판을 노출시키는 단계를 수반한다. 후자의 처리는, 예를 들어 절연막이 ULK 절연막인 경우 적절할 수 있다.In certain embodiments, passivating the layer in (b) comprises forming a substantially immobile compound comprising an Al-N bond. In a specific embodiment, the passivation process involves treating the substrate with a nitrogen-containing agent, which may be, for example, direct plasma treatment, remote plasma treatment, UV treatment, or heat treatment. In a more specific embodiment, the treatment involves exposing the substrate to a nitrogen-containing formulation in the absence of plasma. The latter treatment may be appropriate when, for example, the insulating film is an ULK insulating film.

그 밖의 다른 실시예에서, (b)에서 층을 패시베이션하는 단계는 Al-O 결합을 포함하는 실질적으로 부동성인 화합물을 형성하는 단계를 포함한다. 이러한 공정은 기판을 산소-함유 제제로 처리하는 단계를 수반할 수 있고, 상기 처리는 예를 들어 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 또는 열 처리 중 하나일 수 있다. 구체적인 실시예에서, 상기 처리는 플라스마의 부재 하에서 기판을 산소-함유 제제와 접촉시키는 단계를 수반한다. 이러한 처리는, 예를 들어, 절연막이 ULK 절연막일 때 적합할 수 있다 산소-함유 제제의 예에는 O2, N2O, CO2, 및 O3가 포함된다.In another embodiment, the step of passivating the layer in (b) comprises forming a substantially free-flowing compound comprising an Al-O bond. Such a process may involve treating the substrate with an oxygen-containing agent, which may be, for example, one of direct plasma treatment, remote plasma treatment, UV treatment, or heat treatment. In a specific embodiment, the treatment entails contacting the substrate with an oxygen-containing agent in the absence of plasma. This processing is, for example, an insulating film may be suitable when the oxygen ULK dielectric film - are examples of formulations containing contains O 2, N 2 O, CO 2, and O 3.

본 발명의 또 다른 양상은 반도체 소자 구조물을 형성하는 장치에 관한 것으로, 상기 장치는 (a) 가스 형태의 금속-함유 반응물 또는 휘발성 금속-함유 반응물의 주입을 위한 주입구를 갖는 공정 챔버; (b) 공정 챔버에서 웨이퍼 기판 위에 금속-함유 층을 증착하는 동안 웨이퍼를 제 위치에 고정하기 위한 웨이퍼 지지부; 그리고 (c) 프로그램 명령어를 포함하는 컨트롤러를 포함한다. 상기 프로그램 명령어들은 (ⅰ) 산화물이 없는 구리 또는 구리 합금의 노출 층과 절연막의 노출 층을 갖는 기판을 알루미늄-함유 반응물과 접촉시켜 제 1 층을 증착시키기 위한 명령어; 및 (ⅱ) 알루미늄을 포함한 패시베이션 층을 형성하도록 상기 제 1 층의 부분 또는 전부를 화학적으로 변화시키기 위한 명령어를 포함할 수도 있다.Yet another aspect of the present invention relates to an apparatus for forming a semiconductor device structure comprising: (a) a process chamber having an inlet for the injection of a metal-containing reactant or a volatile metal-containing reactant in gaseous form; (b) a wafer support for securing the wafer in place during deposition of the metal-containing layer on the wafer substrate in the process chamber; And (c) a controller including program instructions. The program instructions comprising the steps of: (i) depositing a first layer by contacting a substrate having an exposed layer of copper or copper alloy free of oxide and an exposed layer of an insulating film with an aluminum-containing reactant; And (ii) chemically changing part or all of the first layer to form a passivation layer comprising aluminum.

위와 같은 본 발명의 특징 및 그 밖의 다른 특징과 이점들이 첨부 도면을 참조하여 좀 더 자세히 기술될 것이다.The above and other features and advantages of the present invention will be described in more detail with reference to the accompanying drawings.

도 1a 내지 도 1e는 구리 듀얼 다마신 제작 공정 동안 형성되는 소자 구조물의 횡단면도이다.
도 2a 내지 도 2c는 보호 캡을 도시하는 부분 제작된 소자 구조물의 횡단면도이다.
도 3a는 일부 실시예에 따른 캡-형성 공정의 예시적 공정 흐름도를 나타낸다.
도 3b는 일부 실시예에 따른 캡-형성 공정의 또 다른 예시적 공정 흐름도를 나타낸다.
도 4a 내지 도 4e는 일부 실시예에 따라, 캡핑 층의 형성 동안 생성되는 소자 구조물의 횡단면도이다.
도 5는 본 발명의 일부 실시예를 따라 캡핑 층 형성에 이용될 수 있는 저주파(LF) 및 고주파(HF) 무선 주파수 플라스마 소스를 이용할 수 있는 PECVD 장치의 도식적 표현이다.
도 6은 본 발명의 일부 실시예를 따라 캡핑 층을 형성하기에 적합한 멀티-스테이션 장치의 일 예시의 도식적 표현이다.
도 7은 본 발명의 일부 실시예에 따라 캡핑 층을 형성하기에 적합한 멀티-스테이션의 또 다른 예시의 도식적 표현이다.
1A-1E are cross-sectional views of device structures formed during a copper dual damascene fabrication process.
2A to 2C are cross-sectional views of a partially fabricated device structure showing a protective cap.
Figure 3a shows an exemplary process flow diagram of a cap-forming process in accordance with some embodiments.
Figure 3B shows another exemplary process flow diagram of a cap-forming process in accordance with some embodiments.
Figures 4A-4E are cross-sectional views of device structures produced during formation of the capping layer, in accordance with some embodiments.
5 is a graphical representation of a PECVD apparatus that may utilize a low frequency (LF) and radio frequency (HF) radio frequency plasma source that may be used to form a capping layer in accordance with some embodiments of the present invention.
Figure 6 is a graphical representation of an example of a multi-station device suitable for forming a capping layer in accordance with some embodiments of the present invention.
Figure 7 is a schematic representation of another example of a multi-station suitable for forming a capping layer in accordance with some embodiments of the present invention.

개요summary

소자의 치수가 계속하여 감소하고 있고 인터커넥트에 의해 경험되는 전류 밀도가 증가하고 있기 때문에 IC 제작에 있어서 전자이동은 유의한 신뢰성 문제가 되고 있다. 전자이동은 전류를 가진 금속 원자의 이동과 인터커넥트 내의 공동(void)의 형성에서 그 자체가 증거로서 드러난다. 공동의 형성은 결과적으로 소자 장애를 초래할 수 있다. 금속 원자의 이동은 금속/확산 장벽 계면에서 그리고 결정 경계(grain boundary)를 따라 특히 두드러진다. 현재, 90nm 및 45nm 기술 노드에서, 전자이동 성능을 개선하기 위한 방법이 요구된다. 인터커넥트 내로 도펀트 원소를 주입함으로써 전자이동 성능이 개선될 수 있지만, 이러한 도펀트는 인터커넥트 금속(가령, Cu)보다 통상적으로 높은 저항성을 갖고, 인터커넥트 저항성을 유의하게 증가시킬 수 있다. 따라서, 조절되지 않는 인터커넥트 금속의 도핑은 허용될 수 없이 높은 저항성을 갖는 인터커넥트를 초래할 수 있다.As device dimensions continue to decrease and current density experienced by interconnects increases, electron mobility in IC fabrication has become a significant reliability issue. Electron transport is itself evident in the movement of metal atoms with current and in the formation of voids in interconnects. The formation of cavities can result in device failure. The migration of metal atoms is particularly pronounced at the metal / diffusion barrier interface and along the grain boundary. Currently, at 90 nm and 45 nm technology nodes, a method is needed to improve the electron mobility performance. Although electron transport performance can be improved by implanting the dopant element into the interconnect, such a dopant has typically higher resistance than an interconnect metal (e.g., Cu) and can significantly increase the interconnect resistance. Thus, doping of the unregulated interconnect metal can result in interconnects having unacceptably high resistance.

조절 가능한 도펀트 도입을 위한 방법이 본원에 제공된다. 상기 방법은 조절된 양의 도펀트를 인터커넥트에 주입함으로써 금속 인터커넥트 내에 보호 캡을 형성하는 단계와 관련된다. 그 결과, 통상적으로, 금속과 절연막 확산 장벽(또는 에칭 저지) 층 사이의 계면에서 금속 배선의 상부 부분 내에 매우 얇은 보호 캡이 형성될 수 있다. 이러한 보호 캡은 고용체(solid solution), 합금, 또는 도펀트와 인터커넥트 금속의 화합물을 포함하는 것이 바람직하다(단, 필연적인 것은 아니다). 예를 들어, 구리가 B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, 또는 Sb로 도핑될 수 있다. 이러한 도펀트는 또한, 서로가 함께 또는 그 밖의 다른 원소와 함께 이용될 수 있다. 일반적으로, 다양한 도펀트가 이용될 수 있다. 고용체, 합금, 및 인터커넥트 금속과의 화합물을 형성할 수 있는 도펀트와, 금속/확산 장벽 계면에 그리고 인터커넥트 내의 결정 경계에 축적될 수 있는 도펀트가 특히 선호된다. Methods for introducing an adjustable dopant are provided herein. The method involves forming a protective cap in a metal interconnect by implanting a controlled amount of dopant into the interconnect. As a result, a very thin protective cap can typically be formed in the upper portion of the metal wiring at the interface between the metal and the insulating film diffusion barrier (or etch stop) layer. Such a protective cap preferably (but not necessarily) comprises a solid solution, an alloy, or a compound of interconnect metal with a dopant. For example, copper may be doped with B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, or Sb. These dopants can also be used together with one another or with other elements. In general, various dopants can be used. Dopants capable of forming compounds with solid solutions, alloys, and interconnect metals, and dopants that can accumulate at the metal / diffusion barrier interface and at crystal boundaries in the interconnect are particularly preferred.

본원에 기술된 보호 캡과 이러한 보호 캡의 형성 방법이 인터커넥트의 전자이동 성능 개선에 유리하지만, 기술된 소자와 공정의 이용이 이러한 특정 응용예에만 한정되는 것은 아니다. 예를 들어, 보호 캡은 금속 배선과 절연막 확산 장벽층 또는 에칭 저지층 사이의 부착력을 개선시키는 역할을 할 수 있고, IC 소자 제작 동안 인터커넥트 금속이 산화되는 것을 방지하는 역할도 할 수 있다. Although the protective cap described herein and the method of forming such a protective cap are beneficial in improving the electron mobility of the interconnect, the use of the described devices and processes is not limited to this particular application. For example, the protective cap may serve to improve the adhesion between the metal wiring and the insulating film diffusion barrier layer or etch stop layer, and may also prevent oxidation of the interconnect metal during IC device fabrication.

인터커넥트에서의 보호 캡 형성이 구리 듀얼 다마신 공정의 맥락에서 예시될 것이다. 본원에 개시된 방법이 단일 다마신 공정을 포함한 그 밖의 다른 처리 방법에서도 이용될 수 있고 구리 이외의 다양한 인터커넥트 금속에도 적용될 수 있음이 이해된다. 예를 들어, 본원 발명은 알루미늄, 금, 및 은-함유 인터커넥트에도 적용될 수 있다. The formation of a protective cap in the interconnect will be illustrated in the context of a copper dual damascene process. It is understood that the methods disclosed herein may also be used in other processes including single damascene processes and may also be applied to various interconnect metals other than copper. For example, the present invention is also applicable to aluminum, gold, and silver-containing interconnects.

도 1a 내지 도 1d는 듀얼 다마신 제작 공정의 다양한 스테이지에서 반도체 기판 위에 형성되는 소자 구조물의 횡단면도를 도시한 것이다. 듀얼 다마신 공정에 의해 형성되는 완성 구조물의 횡단면도가 도 1e에 나타난다. 이번 응용에에서 사용된 "반도체 기판"은 IC 소자의 반도체 부분에 한정되지 않고 반도체-함유 기판으로서 광범위하게 정의된다. 도 1a를 참조하면, 듀얼 다마신 제작에서 이용되는 부분 제작된 IC 구조물(100)의 예시가 도시된다. 도 1a 내지 도 1d에 도시된 것과 같은 구조물(100)은 반도체 기판의 일부분이고, 일부 실시예에서는, 트랜지스터와 같은 능동 소자를 함유한 층 위에 바로 존재할 수 있다. 그 밖의 다른 실시예에서, 상기 구조물(100)은 전도성 물질(가령, 메모리 커패시터를 함유한 층)을 포함하는 금속배선(metallization) 층 또는 그 밖의 다른 층들 위에 바로 존재할 수 있다. 1A to 1D show a cross-sectional view of a device structure formed on a semiconductor substrate at various stages of a dual damascene fabrication process. A cross-sectional view of the completed structure formed by the dual damascene process is shown in Fig. The "semiconductor substrate" used in this application is not limited to the semiconductor portion of the IC element but is broadly defined as a semiconductor-containing substrate. Referring to FIG. 1A, an example of a partially fabricated IC structure 100 used in dual damascene fabrication is shown. The structure 100, such as that shown in FIGS. 1A-1D, is a portion of a semiconductor substrate and, in some embodiments, may be directly on a layer containing active elements such as transistors. In another alternative embodiment, the structure 100 may be directly on a metallization layer or other layers comprising a conductive material (e.g., a layer containing a memory capacitor).

도 1a에 도시된 층(103)은 실리콘 이산화물, 좀 더 일반적으로는 로우-k(low-k) 절연 물질일 수 있는 금속간 절연막의 층이다. 금속간 절연막 스택의 유전 상수를 최소화하기 위하여, 약 3.5보다 작은, 바람직하게는 약 3.0보다 작은, 그리고 종종 약 2.8보다 작은 k 값을 갖는 물질이 층간 절연막으로서 이용된다. 이러한 물질에는 해당업계 종사자에게 알려져 있는 불소 또는 탄소가 도핑된 실리콘 이산화물, 유기물이 함유된 로우-k 물질, 및 도핑된 다공성(porous) 실리콘 이산화물이 포함된다(이에 한정되는 것은 아님). 이러한 물질은 예를 들어 PECVD에 의해 또는 스핀-온(spin-on) 방식에 의해 증착될 수 있다. 층(103)에 배선 경로(트렌치 및 비아)가 증착되고, 이러한 배선 경로에, 부분적으로 전도성인 금속 확산 장벽(105)이 증착되고 뒤이어 구리 전도성 루트(107)가 상감된다. 구리 또는 그 밖의 다른 이동성(mobile) 전도성 물질이 반도체 기판, 밑에 놓인 실리콘 소자, 및 절연층의 전도성 경로를 금속 배선에 제공하여, 상기 금속 배선을 금속 이온(가령, Cu2 +)으로부터 보호해야 하며, 상기 금속 이온은 전도성 경로가 없다면 실리콘 또는 층간 절연막으로 확산되거나 표류될 수 있고 이로써 실리콘이나 층간 절연막의 속성을 저하시키는 결과를 초래할 수 있다. IC 소자의 절연층을 보호하기 위하여 몇몇 유형의 금속 확산 장벽이 이용된다. 이들 유형은 부분적으로 전도성인 금속-함유 층(가령, 105)과 절연막 장벽 층으로 나누어질 수 있고, 이러한 금속-함유 층과 절연막 장벽 층은 도 1b와 관련해 좀 더 자세히 기술될 것이다. 부분적으로 전도성인 확산 장벽(105)에 적합한 물질에는 탄탈, 탄탈 질화물, 티타늄, 티타늄 질화물 등의 물질이 포함된다. 이들 물질은 비아와 트렌치를 갖는 절연층 위에 PVD 또는 ALD 법에 의해 통상적으로 증착된다. Layer 103 shown in FIG. 1A is a layer of an intermetallic dielectric that may be silicon dioxide, more typically a low-k insulating material. In order to minimize the dielectric constant of the intermetal dielectric stack, a material having a k value of less than about 3.5, preferably less than about 3.0, and often less than about 2.8 is used as the interlayer dielectric. Such materials include, but are not limited to, fluorine or carbon-doped silicon dioxide, low-k materials containing organics, and doped porous silicon dioxide, as known to those skilled in the art. Such materials can be deposited, for example, by PECVD or by a spin-on process. (Trenches and vias) are deposited in the layer 103 and a partially conductive metal diffusion barrier 105 is deposited on this wiring path and subsequently the copper conductive root 107 is inlaid. Copper or other mobility (mobile) conductive material is to provide a conductive path of a semiconductor substrate, a silicon element, and an insulating layer lying under the metal wiring, and the metal wiring metal ions (e.g., Cu 2 +) should be protected from , The metal ions may be diffused or drifted into silicon or an interlayer insulating film if there is no conductive path, resulting in deterioration of properties of silicon or an interlayer insulating film. Several types of metal diffusion barriers are used to protect the insulating layer of the IC device. These types may be subdivided into a partially conductive metal-containing layer (e.g., 105) and an insulating barrier barrier layer, which will be described in more detail with respect to FIG. 1B. Suitable materials for the partially conductive diffusion barrier 105 include materials such as tantalum, tantalum nitride, titanium, and titanium nitride. These materials are typically deposited by PVD or ALD methods on insulating layers with vias and trenches.

PVD, 전해도금, 무전해 증착, CVD 등을 포함한 다수의 기법을 통해 구리 전도성 루트(107)가 형성될 수 있다. 일부 구현예에서, 구리 충전을 형성하는 바람직한 방법은 PVD에 의해 얇은 구리의 시드 층(seed layer)을 증착하는 단계와, 뒤이어 전해도금에 의해 벌크 구리 충전재(fill)를 증착하는 단계를 포함한다. 구리는 통상적으로 필드 부분에 존재하는 초과 부분을 갖도록 증착되기 때문에, 상기 초과 부분을 제거하여 평탄화된 구조물(100)을 얻기 위하여 화학 기계적 연마(CMP)가 필요하다.The copper conductive roots 107 can be formed through a number of techniques including PVD, electroplating, electroless deposition, CVD, and the like. In some embodiments, a preferred method of forming a copper fill comprises depositing a seed layer of thin copper by PVD, followed by depositing a bulk copper fill by electrolytic plating. Since copper is typically deposited to have excess portions present in the field portion, chemical mechanical polishing (CMP) is needed to remove the excess to obtain a planarized structure 100.

그 다음, 도 1b를 참조하면, 구조물(100)이 완성된 후, 기판(100)의 표면이 사전-세척되어 오염물과 금속 산화물을 제거할 수 있다. 사전-세척 후에, 활성 성분(붕소 또는 금속을 함유한 도펀트-생성 성분)을 함유한 도펀트 소스 층이 구리 배선(107)과 절연막(103) 둘 모두의 위에 증착된다. 그 다음, 예를 들어 상기 소스 층의 질화 반응 또는 산화 반응에 의해 상기 소스 층이 패시베이션 층으로 변화된다. 예를 들어, 패시베이션 층은 BNx, BOx, AlOx, TiOx 등을 함유할 수 있다. 소스 층이 절연막의 구역들 위에 비-전도성 패시베이션 층으로 완전히 변화되어 인접한 금속 배선들(107) 간의 단락을 방지할 수 있다. 구리 배선(107) 위에 바로 존재하는 소스 층의 부분이 오직 부분적으로만 패시베이션 층으로 변화되며, 이로써, 변화되지 않은 소스 층의 부분은 구리와 접촉 상태로 유지된다. 패시베이션되지 않은 소스 층의 부분으로부터의 도펀트가 구리로 확산되거나 구리와 반응한 후, 금속 배선(107)의 상부 부분 내에 보호 캡(108)이 형성된다. 소스 층에 증착되는 물질의 양을 조절함으로써, 소스 층을 부분적으로 패시베이션하는 동안 변화 정도를 조절함으로써, 그리고 도펀트와 구리의 확산 및/또는 반응 동안 이용되는 조건들을 조절함으로써 보호 캡의 두께가 조절될 수 있다. 보호 캡은, 예를 들어 B, Al, Ti 등과 구리의 합금 또는 고용체를 포함할 수 있다. 일부 실시예에서, 소스 층으로부터 도펀트의 확산을 촉진하는데 이용되는 온도와 시간을 조절함으로써 합금 또는 고용체 내의 도펀트의 양이 조절된다. 보호 캡의 조성 및 패시베이션 층의 조성이 다음의 섹션에서 자세히 기술될 것이다.Referring now to FIG. 1B, after the structure 100 is completed, the surface of the substrate 100 may be pre-cleaned to remove contaminants and metal oxides. After pre-cleaning, a dopant source layer containing the active component (dopant-generating component containing boron or metal) is deposited on both the copper wiring 107 and the insulating film 103. Then, the source layer is changed to a passivation layer, for example, by a nitridation reaction or an oxidation reaction of the source layer. For example, the passivation layer may comprise BN x , BO x , AlO x , TiO x And the like. The source layer can be completely changed to the non-conductive passivation layer over the regions of the insulating film to prevent shorting between adjacent metal wirings 107. The portion of the source layer directly overlying the copper wiring 107 is only partially changed to the passivation layer, whereby the portion of the unchanged source layer remains in contact with the copper. After the dopant from the portion of the un-passivated source layer is diffused or reacted with copper, a protective cap 108 is formed in the upper portion of the metal line 107. By adjusting the amount of material deposited on the source layer, by adjusting the degree of change during partial passivation of the source layer, and by adjusting the conditions used during dopant and copper diffusion and / or reaction, the thickness of the protective cap is adjusted . The protective cap may comprise, for example, an alloy or solid solution of B, Al, Ti, etc., and copper. In some embodiments, the amount of dopant in the alloy or solid solution is controlled by adjusting the temperature and time used to promote the diffusion of the dopant from the source layer. The composition of the protective cap and the composition of the passivation layer will be described in detail in the following sections.

일부 실시예에서, 패시베이션 층은 또한, 확산 장벽층의 역할도 한다. 그 밖의 다른 실시예에서, 별개의 확산 장벽(또는 에칭 저지) 층이 패시베이션 층의 상부에 증착된다. 통상적으로, 이러한 확산 장벽층은 도핑되었거나 도핑되지 않은 실리콘 탄화물 또는 실리콘 질화물을 포함한다.In some embodiments, the passivation layer also serves as a diffusion barrier layer. In another alternative embodiment, a separate diffusion barrier (or etch stop) layer is deposited on top of the passivation layer. Typically, such a diffusion barrier layer comprises doped or undoped silicon carbide or silicon nitride.

도 1b에 도시된 바와 같이, 필름(109)이 단일 패시베이션 층(가령, BNx 또는 AlOx 층)을 포함할 수도 있고, 또는 구리 배선(107)에 인접한 패시베이션 층과 상기 패시베이션 층 위에 존재하는 상부 절연막 확산 장벽층(가령, 도핑된 실리콘 탄화물 층)으로 구성된 이중층(bi-layer)일 수도 있다. 이러한 실시예들 모두가 도 2a 내지 도 2c를 참조해 뒤이은 섹션에서 자세히 기술될 것이다. 상기 필름(109)은 Cu/절연막 계면 필름이라고 언급될 수도 있고, 또는 단순히 "계면 필름"이라고 언급될 수도 있다. 1B, the film 109 may comprise a single passivation layer (e.g., a BN x or AlO x layer), or may include a passivation layer adjacent to the copper wiring 107 and a top layer overlying the passivation layer Or a bi-layer composed of an insulating film diffusion barrier layer (e.g., a doped silicon carbide layer). All of these embodiments will be described in detail in the following sections with reference to Figures 2A-2C. The film 109 may be referred to as a Cu / insulating film interface film, or simply referred to as an "interfacial film ".

계면 필름이 별개의 절연막 확산 장벽층을 포함하는 실시예에서, 상기 절연막 확산 장벽층은 통상적으로 PECVD 법에 의해 패시베이션 층의 상부에 증착된다. 일 실시예에서, 패시베이션 층의 증착, 보호 캡(108)의 형성, 및 절연막 확산 장벽층의 증착이 진공 상태를 해소하지 않고 하나의 PECVD 장치에서 수행된다. 계면 필름(109)은 또한, 뒤이은 다마신 공정 동안 에칭 저지의 역할도 수행할 수 있다. In embodiments where the interfacial film comprises a separate insulating film diffusion barrier layer, the insulating film diffusion barrier layer is typically deposited on top of the passivation layer by PECVD. In one embodiment, deposition of a passivation layer, formation of a protective cap 108, and deposition of an insulating film diffusion barrier layer are performed in one PECVD device without dissolving the vacuum state. The interface film 109 may also serve as an etch stop during the subsequent damascene process.

도 1b를 다시 참조하면, 듀얼 다마신 절연막 구조의 제 1 절연층(111)이 필름(109) 위에 증착된다. 상기 증착에 뒤이어, 선택 사항으로서, PECVD 법에 의해 제 1 절연층(111) 위에 에칭 저지 필름(113)이 증착된다. 절연층(111)은 통상적으로 절연층(103)에 대해 나열된 것과 같은 로우-k 절연 물질로 구성된다. 층들(111 및 103)이 필연적으로 동일한 조성을 가질 필요가 있는 것은 아니다.Referring again to FIG. 1B, a first insulating layer 111 of a dual damascene insulator structure is deposited over the film 109. Following this deposition, an etch stop film 113 is deposited over the first dielectric layer 111, optionally by PECVD. The insulating layer 111 is typically comprised of a low-k insulating material such as those listed for the insulating layer 103. The layers 111 and 103 do not necessarily have to have the same composition.

도 1c에 도시된 바와 같이, 듀얼 다마신 절연막 구조물의 제 1 절연층(1115)이 상기 제 1 절연층(111)과 유사한 방식으로 에칭-저지 필름(113) 위에 증착되는 공정이 뒤따른다. 반사 방지층(도시되지 않은)과 CMP 저지 필름(117)의 증착이 뒤따른다. 제 2 절연층(115)은 층(103 및 111)에 대해 전술된 것과 같은 로우-k 절연 물질을 통상적으로 함유한다. CMP 저지 필름(117)은 뒤이은 CMP 공정 동안 금속간 절연막(IMD) 층(115)의 연약한 절연 물질을 보호하는 역할을 한다. 통상적으로, CMP 저지층은 확산 장벽 및 에칭 저지 필름(109 및 113)과 유사한 통합 요구사항(integration repuirement)의 영향을 받고, 실리콘 탄화물 또는 실리콘 질화물에 기반을 둔 물질들을 포함할 수 있다. Followed by a process in which a first insulating layer 1115 of a dual damascene insulating film structure is deposited on the etch-stop film 113 in a manner similar to the first insulating layer 111, as shown in Fig. 1C. Followed by deposition of an anti-reflection layer (not shown) and a CMP blocking film 117. The second insulating layer 115 typically contains a low-k insulating material as described above for layers 103 and 111. The CMP blocking film 117 serves to protect the soft insulating material of the intermetal dielectric layer (IMD) layer 115 during a subsequent CMP process. Typically, the CMP blocking layer is subject to integration repuirement similar to diffusion barrier and etch stop films 109 and 113, and may include materials based on silicon carbide or silicon nitride.

도 1d 및 도 1e에 도시된 바와 같이, 제 1 및 제 2 절연층 내의 비아(119)와 트렌치(121)의 에칭으로 듀얼 다마신 공정이 계속된다. 표준 리소그래피 기법을 사용하여 도 1d에 도시된 패턴을 에칭할 수 있다. 해당업계 종사자에게 잘 알려져 있는 트렌치-퍼스트(trench-first) 또는 비아-퍼스트(via-first) 법이 이용될 수 있다.As shown in FIGS. 1D and 1E, the dual damascene process continues with the etching of the via 119 and the trenches 121 in the first and second insulating layers. The pattern shown in FIG. 1D can be etched using standard lithographic techniques. A trench-first or via-first method, well known to those skilled in the art, may be used.

그 다음, 도 1e에 도시된 바와 같이, 이렇게 새롭게 형성된 비아와 트렌치가 전술된 바와 같이 금속 확산 장벽(123)으로 코팅되고, 상기 금속 확산 장벽은 탄탈, 탄탈 질화물, 티타늄 질화물, 또는 구리 원자가 절연층으로 확산되는 것을 효과적으로 차단하는 그 밖의 다른 물질과 같은 장벽 물질을 함유할 수 있다.1E, the newly formed vias and trenches are coated with a metal diffusion barrier 123 as described above, and the metal diffusion barrier is formed by depositing tantalum, tantalum nitride, titanium nitride, Lt; RTI ID = 0.0 > and / or < / RTI >

확산 장벽(123)이 증착된 후, 뒤이어구리 상감(inlay)을 이용해 피쳐를 전기-충전(electrofilling)할 수 있도록 (통상적으로 PVD 공정에 의해) 구리의 시드 층이 도포된다. 구리 층이 예를 들어 전기-충전에 의해 증착되고, CMP 저지 필름(117)에서 CMP를 저지하도록 수행되는 CMP 공정에서, 필드에 증착된 금속의 잉여 부분이 제거된다. 도 1e는 완료된 듀얼 다마신 공정을 보여주며, 여기서 구리 전도성 루트(124 및 125)가 장벽(123) 위의 비아와 트렌치 내에 상감된다(도시되지 않은 시드 층). 도 1e는 구리 배선이 조절 가능 방식으로 도핑된 세 개의 인터커넥트를 도시한다.After the diffusion barrier 123 has been deposited, a seed layer of copper is applied (typically by a PVD process) so that the feature can be electrofilled with a copper inlay. In a CMP process in which the copper layer is deposited, for example, by electro-charging and is performed to inhibit CMP in the CMP blocking film 117, an excess of the deposited metal in the field is removed. Fig. 1e shows a completed dual damascene process where copper conductive roots 124 and 125 are inlaid in vias and trenches over barrier 123 (seed layer not shown). Figure 1e shows three interconnects in which the copper wiring is doped in an adjustable manner.

추가적 처리가 요구되는 경우, 필름(109)과 유사한 계면 필름 및 캡(108)과 유사한 보호 캡이 도 2e에 도시된 구조물의 상부에 형성되고, 새로운 금속배선 층이 뒤따른다.If additional processing is required, an interface film similar to the film 109 and a protective cap similar to the cap 108 is formed on top of the structure shown in Fig. 2e, followed by a new metallization layer.

보호 캡(108)과 계면 층(109)의 구조와 조성이 도 2a 내지 도 2c와 관련해 자세히 기술될 것이다.The structure and composition of the protective cap 108 and the interface layer 109 will be described in detail with reference to Figures 2A-2C.

소자 구조Device structure

도 2a를 참조하면, 부분적 IC 구조물의 예시적 횡단면도가 도시된다. 이러한 소자에서, 층간 절연막(201)에 형성된 비아와 트렌치가 확산 장벽 물질(203)로 라이닝(lining)되고 구리 또는 구리 합금(205)으로 충전된다. 구리 배선(205)의 상부 부분이, 구리 배선(205)과 패시베이션 층(209) 사이의 계면에 존재하는 얇은 보호 캡(207)을 포함한다. 패시베이션 층(209)이 ILD 층(201)과 보호 캡(207) 둘 모두의 위에 존재하고, 이들 층 모두와 접촉하고 있다. 절연막 확산 장벽 또는 에칭 저지층(211)이 패시베이션 층(211)의 상부에 존재한다. 명확성을 위해 도시되지는 않았으나, 또 다른 ILD 층이 절연막 확산 장벽 또는 에칭 저지층(211)의 상부에 존재한다. 패시베이션 층(209)과 확산 장벽(또는 에칭 저지) 층(211)이 함께, 금속/ILD 경계에 존재하는 계면 필름(도 1b와 관련해 층(109)에 의해 도시된 것과 같은 계면 필름)을 구성한다. Referring to Figure 2a, an exemplary cross-sectional view of a partial IC structure is shown. In these devices, vias and trenches formed in the interlayer insulating film 201 are lined with diffusion barrier material 203 and filled with copper or copper alloy 205. The upper portion of the copper wiring 205 includes a thin protective cap 207 present at the interface between the copper wiring 205 and the passivation layer 209. A passivation layer 209 is present on both the ILD layer 201 and the protective cap 207 and is in contact with both of these layers. An insulating film diffusion barrier or etch stop layer 211 is present on top of the passivation layer 211. Although not shown for the sake of clarity, another ILD layer is present on top of the insulating film diffusion barrier or etch stop layer 211. The passivation layer 209 and the diffusion barrier (or etch stop) layer 211 together form an interfacial film (such as the interfacial film as shown by layer 109 in relation to Figure IB) present at the metal / ILD boundary .

일 실시예에서, 층간 절연층(201)이 약 1,000 내지 10,000Å의 두께를 가진다. 층(210)은 해당업계 종사자에게 알려져 있는 로우-k 및 울트라 로우-k 절연막과 같은 다양한 ILD 물질을 포함할 수 있다. 예를 들어, 탄소가 도핑된 실리콘 산화물 또는 약 2.8보다 작은 k를 갖는 유기 절연 물질이 이용될 수 있다. 구리 배선(205)이 약 500 내지 10,000Å의 두께를 가질 수 있고, 층 두께에 의해 측정되는 바와 같이, 바람직하게는 상기 두께의 약 10% 이하, 좀 더 바람직하게는 약 2%이하를 보호 캡이 차지한다. 많은 실시예에서, 보호 캡이 단계적인 조성을 가질 것이고 패시베이션 층 계면에서 가장 큰 도펀트 농도를 가질 것이라는 것이 이해된다. 보호 캡에 허용 가능한 두께는 도펀트의 저항성에 따라 달라질 것이다. 일반적으로, 전술된 방법을 따라, 비아의 저항성 시프트(shift)가 약 10% 이하, 바람직하게는 약 5% 이하, 그리고 좀 더 바람직하게는 약 3% 이하가 되도록 보호 캡이 형성된다. 이러한 저항성 시프트는 캡이 없는 인터커넥트의 저항성 대 캡핑된 인터커넥트의 저항성의 차이로서 측정된다. 일부 실시예에서, 허용 가능한 저항성 시프트는 500Å을 초과하지 않는 두께, 바람직하게는 100Å을 초과하지 않는 두께를 갖도록 보호 캡을 형성함으로써 획득된다. In one embodiment, the interlayer dielectric layer 201 has a thickness of about 1,000 to 10,000 ANGSTROM. Layer 210 may comprise a variety of ILD materials such as low-k and ultra low-k insulating films known to those skilled in the art. For example, carbon-doped silicon oxide or an organic insulating material having a k less than about 2.8 may be used. The copper wire 205 may have a thickness of about 500 to 10,000 ANGSTROM and preferably about 10% or less, more preferably about 2% or less of the thickness, as measured by the layer thickness, Respectively. In many embodiments, it is understood that the protective cap will have a stepped composition and will have the largest dopant concentration at the passivation layer interface. The acceptable thickness of the protective cap will depend on the resistivity of the dopant. Generally, according to the above-described method, a protective cap is formed such that the resistive shift of the via is less than or equal to about 10%, preferably less than or equal to about 5%, and more preferably less than or equal to about 3%. This resistive shift is measured as the difference in resistance of the capless interconnect versus the resistance of the capped interconnect. In some embodiments, an acceptable resistive shift is obtained by forming the protective cap to have a thickness that does not exceed 500 ANGSTROM, preferably a thickness that does not exceed 100 ANGSTROM.

서로 다른 도펀트는 구리 인터커넥트로 서로 다르게 확산될 수 있고, 서로 다른 정도로 인터커넥트 저항성에 영향을 줄 수 있음이 이해된다. 따라서, 위에서 제공된 수치 값은 하나의 예시의 역할을 하는 것이므로 언급된 두께 파라미터에 본 발명 구조가 한정되는 것으로 의도한 것은 아니다. 예를 들어, 특정 도펀트가 구리 인터커넥트로 확산되어, 별개의 캡을 형성하지 않고 전체 구리 배선 위에 증착될 수 있고, 또는 결정 경계에서 축적될 수 있고 및/또는 그 밖의 다른 계면에서(가령, 확산 장벽(203)과 구리 층(205)의 계면에서) 축적될 수 있다. 유리하게, 제공된 발명은 이러한 도펀트를 조절된 양으로 주입할 수 있도록 하여, 이러한 경우에서 층 두께가 정확하게 정의될 수 없다 하더라도 인터커넥트 저항성이 조절될 수 있도록 한다.It is understood that different dopants can diffuse differently in the copper interconnect and can affect the interconnect resistance to different degrees. Accordingly, the numerical values provided above serve only as an illustration and are not intended to limit the structure of the present invention to the thickness parameters mentioned. For example, a particular dopant can be diffused into the copper interconnect, deposited over the entire copper interconnect without forming a separate cap, or deposited at the crystal boundary and / or at other interfaces (e.g., (At the interface between the copper layer 203 and the copper layer 205). Advantageously, the provided invention allows this dopant to be injected in a controlled amount, so that in this case the interconnect resistance can be adjusted even if the layer thickness can not be precisely defined.

다수의 도핑 원소가 보호 캡에 사용될 수 있다. 고용체, 합금, 또는 구리와의 화합물을 형성하는 도펀트들과, 구리 결정 경계에서 그리고 구리와 그 밖의 다른 층들과의 계면에서 축적될 수 있는 도펀트들에 우선순위가 정해진다. 상대적으로 낮은 저항성을 갖는 물질(가령, 금속)이 종종 선호된다. 더욱이, 저온에서(가령, 약 100℃ 이하의 온도에서) 구리로 쉽게 확산되지 않는 물질이 종종 선호된다. 적합한 도펀트의 예시에는 B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, 및 Sb이 포함되나 이에 한정되는 것은 아니다. 일반적으로, 선택된 도펀트가 휘발성 전구체를 가져서 CVD 법에 의해 증착이 수행될 수 있는 것이 바람직하다. 따라서, 휘발성 수소화물, 카르보닐, 할로겐화물, 및 유기금속 전구체를 갖는 금속 도펀트가 통상적으로 선호된다. 최대 450℃의 온도에서 가스상(gas phase)으로 주입될 수 있는 화합물과 약 1 Torr 이상의 전구체가 적합한 전구체이다. A number of doping elements may be used in the protective cap. Dopants that form compounds with solid solution, alloys, or copper, and dopants that can accumulate at the interface of the copper crystal boundary and copper and other layers are prioritized. Materials with relatively low resistance (e.g., metals) are often preferred. Moreover, materials that are not easily diffused into copper at low temperatures (e.g., at temperatures below about 100 DEG C) are often preferred. Examples of suitable dopants include but are not limited to B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, and Sb. In general, it is preferred that the selected dopant has a volatile precursor so that deposition can be performed by CVD. Thus, volatile hydrides, carbonyls, halides, and metal dopants with organometallic precursors are typically preferred. Compounds that can be injected into the gas phase at temperatures up to 450 ° C and precursors of at least about 1 Torr are suitable precursors.

구체적 실시예에서, 보호 캡(207)이 구리와 붕소, 또는 구리와 알루미늄, 또는 구리와 티타늄을 포함한다. 일부 실시예에서, 도펀트들은 서로가 함께 사용된다. 예를 들어, 보호 캡(207)이 구리, 알루미늄, 및 티타늄, 또는 구리와 도펀트들의 배합물을 포함할 수 있다. 일부 실시예에서, 전술된 도펀트가 자체-정렬 보호 버퍼(protective self-aligned buffer, PSAB) 층을 형성하는데 사용되는 물질(가령, CuSix, CuGex, SiNx, 및 SiCx 등과 같은 물질)과 함께 사용된다. 이러한 층이, naming Yu 등이 발명자이고 2007년 3월 20일에 출원되어 공동 소유된 미국 특허 출원 제11/726,363호(발명의 명칭: Protective Self-aligned Buffer Layers for Damascene Interconnects), Chattopadhyay 등이 발명자이고 2007년 2월 20일에 출원된 미국 특허 출원 제11/709,293호(발명의 명칭: Protective Self-aligned Buffer Layers for Damascene Interconnects), 그리고 van Schravendijk 등이 발명자이고 2004년 11월 3일에 출원된 미국 특허 출원 제10/980,076호(발명의 명칭: Protection of Cu Damascene Interconnects by Formation of a Self-aligned Buffer Layer)에 자세히 기술되어 있으며, 위 미국 특허 출원은 본원에서 참조로서 포함된다.In a specific embodiment, the protective cap 207 comprises copper and boron, or copper and aluminum, or copper and titanium. In some embodiments, the dopants are used together. For example, the protective cap 207 may comprise a combination of copper, aluminum, and titanium, or copper and dopants. In some embodiments, the dopants described above may be doped with a material (such as CuSi x , CuGe x , SiN x , and SiC x ) used to form a self-aligned buffer (PSAB) Used together. U.S. Patent Application No. 11 / 726,363 entitled " Protective Self-aligned Buffer Layers for Damascene Interconnects ", Chattopadhyay et al., Filed March 20, 2007, U.S. Patent Application No. 11 / 709,293, entitled " Protective Self-aligned Buffer Layers for Damascene Interconnects " filed on February 20, 2007, and van Schravendijk, are inventors and filed on November 3, 2004 U.S. Patent Application No. 10 / 980,076, entitled " Protection of Cu Damascene Interconnects by Formation of a Self-aligned Buffer Layer ", which is incorporated herein by reference.

일 실시예에서, ILD 층(201)과 보호 캡(207) 둘 모두의 위에 존재하는 패시베이션 층(209)이 약 50 내지 500Å 사이의 두께를 가진다. 상기 패시베이션 층은 인접한 인터커넥트들 간의 단락을 방지하는 비-전도성 물질을 통상적으로 함유한다. 패시베이션 층은 변화된 도펀트를 통상적으로 함유하는데, 예를 들어, 도펀트(붕소 또는 금속)의 질화물, 산화물, 탄화물, 황화물, 셀렌화물, 인화물, 또는 비화물을 함유할 수 있다. 더욱이, 패시베이션 층은 탄화수소(CxH)를 함유할 수도 있다. 일 실시예에서, 패시베이션 층이 BNx를 함유한다. 일부 실시예에서, 그 밖의 다른 실시예에서, BNx 층은 또한, 수소를 포함할 수 있다. 또 다른 예시에서, 패시베이션 층이 AlOx, HfOx, TiOx, CoOx, TaOx, MoOx, RuOx, SnOx, 및 SbOx와 같은 금속 산화물을 함유한다.In one embodiment, the passivation layer 209 on top of both the ILD layer 201 and the protection cap 207 has a thickness of between about 50 and 500 ANGSTROM. The passivation layer typically contains a non-conductive material that prevents shorting between adjacent interconnects. The passivation layer typically contains a modified dopant, which may contain, for example, a nitride, oxide, carbide, sulfide, selenide, phosphide, or bicomponent of a dopant (boron or metal). Moreover, the passivation layer may contain hydrocarbons (C x H). In one embodiment, the passivation layer contains BN x . In some embodiments, in other alternative embodiments, the BN x layer may also comprise hydrogen. In another example, the passivation layer contains metal oxides such as AlO x , HfO x , TiO x , CoO x , TaO x , MoO x , RuO x , SnO x , and SbO x .

도 2a에 도시된 바와 같이, 절연막 확산 장벽 또는 에칭 저지층(211)이 패시베이션 층의 상부에 존재하고 있다. 일 실시예에서, 층(211)이 약 50 내지 500Å 사이의 두께를 가진다. 전통적으로, 실리콘 질화물 및 질소-도핑된 실리콘 탄화물(NDC)이 이러한 응용예에서 이용되었다. 현재에는, 실리콘 질화물보다 낮은 유전 상수를 갖는 물질이 절연막 확산 장벽으로서 종종 이용된다. 이러한 물질에는, Yu 등이 발명자이고 2004년 6월 15일에 출원되어 공동 소유된 미국 특허 출원 제10/869,474호에 기술된 것과 같은 탄소가 풍부한 실리콘 탄화물; Yu 등이 발명자이고 2004년 8월 9일에 출원된 미국 특허 출원 제10/915,117호와 Yu 등이 발명자이고 2006년 3월 8월에 출원된 미국 특허 출원 제11/373,847호에 기술되어 있는 붕소-도핑된 실리콘 탄화물; 그리고 Tang 등이 발명자이고 2005년 2월 15일에 특허된 미국 특허 제6,855,645호에 기술된 것과 같은 산소-도핑된 실리콘 탄화물이 포함된다. 이러한 모든 미국 특허 출원과 미국 특허가 참조로서 본원에 포함된다. 일부 실시예에서, 층(211)은 몇몇 서브-층(예를 들어, 개선된 확산 장벽과 에칭 저지 속성을 위해 맞춤화된 서로 다른 조성을 갖는, 도핑되었거나 도핑되지 않은 실리콘 탄화물을 함유한 서브-층)을 가질 수 있다. 예를 들어, 장벽이, 도핑되지 않은 탄화물의 서브-층, 질소-도핑된 탄화물의 서브-층, 및 산소-도핑된 탄화물의 서브-층의 임의의 조합을 포함할 수 있다. 장벽이 두 개의 서브-층, 세 개의 서브-층, 또는 그 이상의 서브-층을 가질 수도 있다. 조합 장벽 층의 예시가 2004년 6월 15일에 출원된 미국 특허 출원 제10/869,474호(2007년 10월 16일에 특허되어 현재 특허 번호 제7,282,438호)에 제시되어 있으며, 위 미국 특허 출원은 본원에 참조로서 포함된다. 예를 들어, 절연막 확산 장벽층은 도핑되었거나 도핑되지 않은 실리콘 탄화물, 실리콘 질화물, 또는 실리콘 탄질화물(carbonitride)을 포함할 수 있다.As shown in FIG. 2A, an insulating film diffusion barrier or etch stop layer 211 is present on top of the passivation layer. In one embodiment, layer 211 has a thickness between about 50 and 500 ANGSTROM. Traditionally, silicon nitride and nitrogen-doped silicon carbide (NDC) have been used in these applications. At present, materials with lower dielectric constants than silicon nitride are often used as insulating film diffusion barriers. Such materials include carbon-rich silicon carbides such as those described in U.S. Patent Application No. 10 / 869,474, to Yu et al., Filed on June 15, 2004 and co-owned; U.S. Patent Application No. 10 / 915,117, filed on August 9, 2004, and Yu et al., Inventors, and U.S. Patent Application No. 11 / 373,847, filed March 8, 2006, - doped silicon carbide; And Tang et al., Inventors and oxygen-doped silicon carbide as described in U.S. Patent No. 6,855,645, issued February 15, 2005. All such United States patent applications and US patents are incorporated herein by reference. In some embodiments, layer 211 may be formed of several sub-layers (e. G., A sub-layer containing doped or undoped silicon carbide, having different compositions tailored for improved diffusion barrier and etch stop properties) Lt; / RTI > For example, the barrier may comprise a sub-layer of undoped carbide, a sub-layer of nitrogen-doped carbide, and any combination of sub-layers of oxygen-doped carbide. The barrier may have two sub-layers, three sub-layers, or more sub-layers. An example of a combination barrier layer is shown in U.S. Patent Application No. 10 / 869,474, filed on October 16, 2007, now U.S. Patent No. 7,282,438, filed June 15, 2004, Incorporated herein by reference. For example, the insulating film diffusion barrier layer may comprise doped or undoped silicon carbide, silicon nitride, or silicon carbonitride.

도 2a에 도시된 실시예에서, 층들(209 및 211)이 함께 두 개의 ILD 층(가장 위에 있는 ILD 층은 도시되지 않음) 사이에 존재하는 계면 층을 형성한다. In the embodiment shown in FIG. 2A, layers 209 and 211 together form an interfacial layer present between two ILD layers (the top ILD layer is not shown).

특정 실시예에서, 패시베이션 층(209)이 별개의 실리콘 탄화물 또는 실리콘 질화물 층(211)을 필요로 하지 않고 확산 장벽 또는 에칭 저지층의 역할을 할 수 있다. 도 2b에 도시된 이러한 실시예에서, 두 ILD 층 사이에 존재하는 계면 층이 오직 패시베이션 층(209)으로 구성된다. 예를 들어, 특정 금속 산화물과 금속 질화물이 에칭 저지층 또는 확산 장벽층의 역할을 할 수 있다.In certain embodiments, the passivation layer 209 may serve as a diffusion barrier or an etch stop layer without the need for a separate silicon carbide or silicon nitride layer 211. In this embodiment shown in Figure 2B, the interfacial layer present between the two ILD layers consists solely of the passivation layer 209. For example, certain metal oxides and metal nitrides can act as etch stop layers or diffusion barrier layers.

도 2c는 도펀트 또는 도펀트-생성 화합물(208)의 층이 보호 캡(207)과 패시베이션 층(209) 사이에 존재하고, 이러한 두 층들과 접촉하고 있는 실시예를 도시한다. 층(208)이 구리 배선(205) 위에 정렬되며, 절연막(201)의 층 위로 뻗어 있지 않다. 층(208)은 순수한 도펀트 또는 도펀트-생성 화합물을 포함할 수 있다. 예를 들어, 층(208)이 BHx, Al, Ti, Ta, Hf, Ru 등을 포함할 수 있다. 이러한 층 내의 금속은 H, C, N 등과 같은 그 밖의 다른 원소들을 갖지 않을 수도 있고, 이러한 그 밖의 다른 원소들과 결합될 수도 있다. 일부 실시예에서, 구리가 층(208)을 향해 위쪽으로 확산되어 층(208) 내의 도펀트와 합금, 혼합물, 또는 고용체를 형성할 수 있다. 이러한 실시예에서, 이중층(207/208)이 보호 캡의 역할을 할 것이다. 일반적으로, 본원에 기술된 것과 같은 보호 캡은 주변 절연막(210)와 동일한 높이(level)로 구리 라인 내에 전체적으로 존재할 수 있고, 또는 주변 절연막(201)의 높이보다 위에 존재하는 부분을 포함할 수 있다. Figure 2c shows an embodiment in which a layer of dopant or dopant-generating compound 208 is present between the protective cap 207 and the passivation layer 209 and is in contact with these two layers. The layer 208 is aligned on the copper wiring 205 and does not extend over the layer of the insulating film 201. [ Layer 208 may comprise a pure dopant or dopant-generating compound. For example, layer 208 may include BH x , Al, Ti, Ta, Hf, Ru, and the like. The metal in this layer may not have other elements such as H, C, N, etc., and may be combined with other such elements. In some embodiments, copper may diffuse upward toward layer 208 to form an alloy, a mixture, or a solid solution with a dopant in layer 208. In this embodiment, the bilayer 207/208 will serve as a protective cap. In general, the protective cap as described herein may be entirely in the copper line at the same level as the peripheral insulating film 210, or may include a portion that exists above the height of the peripheral insulating film 201 .

하나의 구체적 예시에서, 소자가, 붕소-도핑된 보호 캡(207)과 BNx를 함유한 패시베이션 층(209)을 갖는, 도 2a에 도시된 것과 같은 구조를 가진다. 구리 배선(205)은 약 3,500Å의 두께를 갖는 ULK 절연막(약 2.5의 k)의 층에 존재한다. 보호 캡(207)은 구리와 붕소를 포함하고, 약 100Å의 두께를 가진다. 보호 캡은 패시베이션 층과의 계면에서 구리 층의 상부에 존재한다. 패시베이션 층은 약 150Å의 두께를 가지고 BNx를 포함한다. 패시베이션 층은 또한, 수소를 포함할 수 있고, (BNH)x 층으로서 실험예 부분에서 언급될 것이다. 확산 장벽(211)의 층이 질소-도핑된 실리콘 탄화물, 산소-도핑된 실리콘 탄화물, 또는 도핑되지 않은 실리콘 탄화물을 포함할 수 있다. 층(211)은 100Å 내지 500Å의 두께를 가진다. In one specific example, the device has a structure as shown in Fig. 2A, with a boron-doped protective cap 207 and a passivation layer 209 containing BN x . The copper wiring 205 is present in a layer of an ULK insulating film (k of about 2.5) having a thickness of about 3,500 angstroms. The protective cap 207 includes copper and boron, and has a thickness of about 100 angstroms. The protective cap is present at the top of the copper layer at the interface with the passivation layer. The passivation layer has a thickness of about 150 A and includes BN x . The passivation layer may also contain hydrogen and will be mentioned in the experimental part as (BNH) x layer. The layer of diffusion barrier 211 may comprise nitrogen-doped silicon carbide, oxygen-doped silicon carbide, or undoped silicon carbide. The layer 211 has a thickness of 100 ANGSTROM to 500 ANGSTROM.

또 다른 구체적 예시에서, 소자가, 도 2a에 도시된 것과 같은 구조를 갖고, 티타늄 보호 캡(207)과 TiNx를 함유한 패시베이션 층(209)을 가진다. 약 3,500Å의 두께를 갖는 ULK 절연막(약 2.5의 k)의 층에 구리 배선(205)이 존재한다. 보호 캡(207)은 구리와 티타늄을 포함하고, 약 100Å의 두께를 가진다. 보호 캡은 패시베이션 층과의 계면에서 구리 배선의 상부에 존재한다. 패시베이션 층은 약 150Å의 두께를 가지고 TiNx를 포함한다. 패시베이션 층은 또한, 수소를 포함할 수도 있다. 확산 장벽(211)의 층이 질소-도핑된 실리콘 탄화물, 산소-도핑된 실리콘 탄화물, 또는 도핑되지 않은 실리콘 탄화물을 포함할 수 있다. 층(211)이 100Å 내지 500Å의 두께를 가진다. In another specific example, the device has a structure as shown in Fig. 2A and has a titanium protective cap 207 and a passivation layer 209 containing TiN x . The copper wiring 205 is present in the layer of the ULK insulating film (k of about 2.5) having a thickness of about 3,500 ANGSTROM. The protective cap 207 includes copper and titanium and has a thickness of about 100 angstroms. The protective cap is present at the top of the copper wiring at the interface with the passivation layer. The passivation layer has a thickness of about 150 ANGSTROM and contains TiN x . The passivation layer may also comprise hydrogen. The layer of diffusion barrier 211 may comprise nitrogen-doped silicon carbide, oxygen-doped silicon carbide, or undoped silicon carbide. The layer 211 has a thickness of 100 ANGSTROM to 500 ANGSTROM.

또 다른 구체적 예시에서, 소자가, 도 2a에 도시된 것과 같은 구조를 갖고, 알루미늄-도핑된 보호 캡(207)을 가진다. 구리 배선(205)이 약 3,500Å의 두께를 갖는 ULK 절연막(약 2.5의 k)의 층에 존재한다. 보호 캡(207)은 구리와 알루미늄을 포함하고 약 100Å의 두께를 가진다. 보호 캡은 패시베이션 층과의 계면에서 구리 배선의 상부에 존재한다. 패시베이션 층은 약 100Å 이하의 두께를 갖고, 본질적으로 AlOx로 구성된다. 약 100Å 내지 500Å의 두께를 갖는 확산 장벽의 층(211)이 AlOx와 접촉하여 존재하고, 질소-도핑된 실리콘 탄화물, 산소-도핑된 실리콘 탄화물, 또는 도핑되지 않은 실리콘 탄화물을 포함할 수 있다. In another specific example, the device has a structure such as that shown in Figure 2A and has an aluminum-doped protective cap 207. The copper wiring 205 is present in the layer of the ULK insulating film (k of about 2.5) having a thickness of about 3,500 angstroms. The protective cap 207 includes copper and aluminum and has a thickness of about 100 angstroms. The protective cap is present at the top of the copper wiring at the interface with the passivation layer. The passivation layer has a thickness of about 100 ANGSTROM or less and consists essentially of AlO x . A layer 211 of diffusion barrier having a thickness of about 100 A to 500 A is present in contact with AlO x and may include nitrogen-doped silicon carbide, oxygen-doped silicon carbide, or undoped silicon carbide.

보호 protect 캡핑Capping 층 형성 방법 Layer formation method

보호 캡핑 층을 형성하는 예시적 방법이 도 3a에 도시된 공정 흐름도에 나타난다. 상기 공정의 다양한 스테이지에서 획득된 소자 구조의 횡단면도가 도 4a 내지 도 4e에 도시된다. 본원에 기술된 방법이 여러 유형의 장치에서 실시될 수 있으나, 일부 실시예에서, 플라스마 화학적 기상 증착(PECVD) 장치가 선호된다. 일부 실시예에서, PECVD 장치는 고주파(HF)와 저주파(LF) 플라스마 생성 소스를 제공할 수 있다. An exemplary method of forming the protective capping layer is shown in the process flow chart shown in FIG. 3A. A cross-sectional view of the device structure obtained at various stages of the process is shown in Figures 4A-4E. Although the methods described herein may be practiced in many types of devices, in some embodiments, a Plasma Chemical Vapor Deposition (PECVD) device is preferred. In some embodiments, the PECVD apparatus can provide high frequency (HF) and low frequency (LF) plasma generation sources.

도 3a를 참조하면, 공정(301)에 나타난 바와 같이, 구리 배선의 패턴을 갖는 부분 제작된 반도체 소자를 절연막에 제공함으로써 공정이 시작된다. 예를 들어, 도 4a에 도시된 것과 같은 소자가 이용될 수 있다. 상기 소자는 절연막의 층(401)에 내장된 구리 또는 구리 합금(405)의 층을 가진다. (예를 들어 Ta, TaNx, TiNx, Ru, W를 함유한) 얇은 확산 장벽 물질층이 구리와 절연막 사이의 계면에 존재하고 있다. 구리의 층과 절연막의 층이 기판 표면에 노출된다.Referring to FIG. 3A, as shown in step 301, a process is started by providing a partially fabricated semiconductor element having a pattern of copper wiring to an insulating film. For example, an element such as that shown in Fig. 4A can be used. The device has a layer of copper or copper alloy (405) embedded in a layer of insulating film (401). A thin diffusion barrier material layer (containing, for example, Ta, TaN x , TiN x , Ru, and W) is present at the interface between copper and the insulating film. A layer of copper and a layer of insulating film are exposed on the substrate surface.

선택 사항으로서, 공정(303)에서 기판이 사전-세척되어 기판의 표면으로부터 오염물을 제거할 수 있다. 예를 들어, 기판을 플라스마 내의 환원 가스(예를 들어, 플라스마 방전에서 H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스)에 노출시킴으로써 기판이 사전-세척되어 구리 표면으로부터 구리 산화물을 제거할 수 있다. 일부 실시예에서, H2 플라스마를 이용한 사전-세척으로 인해 소자들이 특히 개선된 특성을 갖게 된다. 사전-세척 동안의 공정 가스에는 또한, N2, He, Ar과 같은 운반 가스(carrier gas)가 포함될 수 있다. 일 실시예에서, 사전-세척은 약 200 내지 400℃의 온도에서, 약 1.5 내지 4 Torr에서, 그리고 약 4,000 내지 10,000 sccm의 H2 유량(flow rate)에서 PECVD 챔버에서 수행된다. HF와 LF 성분을 가질 수 있는 플라스마가 고도로 가열되고, 하나의 300mm 웨이퍼 당 200 내지 1000W의 총 전력이 지속된다. 일부 실시예에서, 사전-세척 공정 동안 0.1 내지 1.5 W/cm2의 HF 전력과 0 내지 0.8 W/cm2의 LF 전력을 이용하는 것이 바람직하다. 또 다른 예시에서, 환원 가스로서 H2 대신에 NH3가 이용되어, 약 6,000 내지 8,000 sccm 범위의 유량으로 공정 챔버 내로 흘러 들어간다. 약 2,000 내지 4,000 sccm의 유량으로 N2 운반 가스가 챔버 내로 흘러 들어간다. 사전-세척 처리가 몇 초간(예를 들어, 약 6 내지 20초 사이) 지속될 수 있다.Optionally, the substrate may be pre-cleaned in step 303 to remove contaminants from the surface of the substrate. For example, the substrate may be pre-cleaned by exposing the substrate to a reducing gas in the plasma (e.g., a gas selected from the group consisting of H 2 , N 2 , NH 3, and mixtures thereof in plasma discharge) The oxide can be removed. In some embodiments, pre-cleaning with H 2 plasma results in particularly improved properties of the devices. The process gas during pre-cleaning may also include carrier gas such as N 2 , He, Ar. In one embodiment, pre-cleaning is performed in a PECVD chamber at a temperature of about 200 to 400 DEG C, at about 1.5 to 4 Torr, and at a H 2 flow rate of about 4,000 to 10,000 sccm. Plasma, which may have HF and LF components, is heated to a high degree and a total power of 200 to 1000 W per 300 mm wafer is maintained. In some embodiments, it is desirable to use HF power of 0.1 to 1.5 W / cm 2 and LF power of 0 to 0.8 W / cm 2 during the pre-clean process. In another example, NH 3 is used as a reducing gas instead of H 2 and flows into the process chamber at a flow rate ranging from about 6,000 to 8,000 sccm. N 2 carrier gas flows into the chamber at a flow rate of about 2,000 to 4,000 sccm. The pre-wash process can last for a few seconds (e.g., between about 6 and 20 seconds).

일부 실시예에서, 직접 플라스마 노출보다 좀 더 마일드(mild)한 방법을 이용하여 사전-세척을 수행하는 것이 바람직하다. 이러한 마일드한 방법은, 직접 플라스마 노출에 의해 쉽게 손상을 입을 수 있는 연약한 ULK 절연막에 구리 배선이 내장되어 있을 때 특히 유리하다. In some embodiments, it is desirable to perform pre-cleaning using a more milder method than direct plasma exposure. This mild method is particularly advantageous when copper wiring is embedded in a soft ULK insulating film that can be easily damaged by direct plasma exposure.

일부 실시예에서, H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스를 포함한 원거리 플라스마(remote plasma)를 이용함으로써 구리 산화물의 완전한 제거 또는 부분적 제거가 수행된다. 이러한 구현예에서, 이들 가스들 중 하나 이상(예를 들어, H2와 N2의 혼합물, 또는 NH3와 N2의 혼합물)을 이용하여 챔버 내에 플라스마를 형성할 수 있고, 상기 플라스마는 웨이퍼 기판을 지지하는 챔버와 물리적으로 이격되어 있다. 그 후, 형성된 플라스마가 전달 라인을 통해 이온 필터로 향하며, 상기 이온 필터는 라디칼(redical)을 남기면서 이온 플라스마를 감소시킨다. 결과로서 생성된 라디칼이 풍부한 공정 가스가 주입구(가령, 샤워헤드)를 통해, 기판을 하우징하고 있는 챔버로 전달된다. 라디칼이 풍부한 공정 가스(일부 실시예에서, 이온 종을 거의 함유하지 않거나 이온 종을 실질적으로 함유하지 않음)가 기판 표면과 접촉하고, 원하는대로 부분적으로 또는 전체적으로 구리 산화물을 제거한다. 직접 플라스마에 함유된 고에너지 이온이 절연막 손상에 관계가 있었기 때문에, 이온이 부족한 원거리 플라스마의 이용으로 인해 마일드하고 효과적인 사전-세척 수행 방법을 제공할 수 있다. 적합한 원거리 플라스마 시스템의 예시는 캘리포니아, 산 호세의 노벨러스 시스템즈에 의해 제공되는 GammaTM 제품 라인에서 찾을 수 있다. In some embodiments, H 2 , N 2 , NH 3 And a mixture thereof, a complete removal or partial removal of the copper oxide is carried out by using a remote plasma containing gas. In this embodiment, a plasma can be formed in the chamber using one or more of these gases (e.g., a mixture of H 2 and N 2 , or a mixture of NH 3 and N 2 ) Lt; RTI ID = 0.0 > a < / RTI > The formed plasma is then directed through the delivery line to the ion filter, which reduces the ion plasma while leaving a radical. The resulting radical rich process gas is delivered to the chamber housing the substrate through an inlet (e.g., showerhead). In some embodiments, the radical-rich process gas (in some embodiments, substantially free of ionic species or substantially free of ionic species) contacts the substrate surface and partially or wholly removes the copper oxide. Because high energy ions in the plasma are directly related to the dielectric breakdown, the use of ion-poor remote plasmas can provide a mild and effective method of pre-cleaning. An example of a suitable remote plasma system can be found in the Gamma TM product line provided by Novellus Systems, San Jose, Calif.

그 밖의 다른 실시예에서, H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스와 같은 환원 가스의 존재 하에서 자외선(UV) 방사선 처리를 이용함으로써 전체적인 또는 부분적인 구리 산화물 제거가 수행된다. 이러한 구현예에서, 기판에 UV가 조사되고 있는 동안 이들 가스 중 하나 이상(예를 들어, H2와 N2의 혼합물, 또는 NH3와 N2의 혼합물)이 기판과 접촉한다. 예를 들어, B. Varadarajan 등이 발명자이고 2009년 11월 12일에 출원되어 공동 소유된 가특허 출원 제61/260,789호(발명의 명칭: UV and Reducing Treatment for K Recovery and surface Clean in Semiconductor Processing)에 기술된 것과 같은 장치와 공정 조건이 이용되며, 상기 가특허 출원은, 본원에 기술된 실시예에서 이용되기에 적합한 UV 처리 장치 및 방법에 대한 세부 사항을 제공하기 위한 목적으로 본원에 참조로서 포함된다. 기술된 UV 처리를 이용하여 구리 산화물의 제거를 조절할 수 있고, 여기서, 제거된 산화물의 두께가 UV 노출의 지속 시간, 공정 가스 조성, 기판 온도, 및 그 밖의 다른 조건들에 의해 조절될 수 있다.In yet another embodiment, H 2 , N 2 , NH 3 And ultraviolet (UV) radiation treatment in the presence of a reducing gas such as a gas selected from the group consisting of a mixture of these gases. In this embodiment, one or more of these gases (e.g., a mixture of H 2 and N 2 , or a mixture of NH 3 and N 2 ) are in contact with the substrate while UV is being irradiated to the substrate. For example, B. Varadarajan et al., Inventor, filed on November 12, 2009 and co-owned patent application No. 61 / 260,789 entitled UV and Reducing Treatment for K Recovery and Surface Clean in Semiconductor Processing, , Which is incorporated herein by reference for the purpose of providing details of a UV treatment apparatus and method suitable for use in the embodiments described herein. do. The described UV treatment can be used to control the removal of copper oxide where the thickness of the removed oxide can be controlled by the duration of UV exposure, process gas composition, substrate temperature, and other conditions.

일부 실시예에서, 플라스마가 없는 환경에서 열 처리에 의해 사전-세척이 달성된다. 예를 들어, H2, N2, NH3, 또는 이들의 혼합물을 포함하는 대기에서 약 15 내지 60초 동안 약 200℃ 이상의 온도까지 웨이퍼가 가열될 수 있다. 이러한 열 처리는 부분적인 구리 산화물 제거에 이용될 수 있고, 연약한 ULK 절연막을 함유한 기판 처리에 특히 유리하다. In some embodiments, pre-cleaning is achieved by heat treatment in a plasma free environment. For example, H 2, N 2, NH 3, or a wafer to at least about 200 ℃ temperature can be heated in an atmosphere comprising a mixture thereof for about 15 to 60 seconds. This thermal treatment can be used for partial copper oxide removal and is particularly advantageous for substrate processing that contains a soft ULK dielectric.

사전-세척이 완료된 후, 공정(305)에서 도펀트-함유 물질의 소스 층이 기판 표면 위에 증착된다. 유리하게, 도펀트-함유 물질은 금속 표면 위에 선택적으로 증착될 필요가 없고, 절연막의 표면과 금속 둘 모두의 위에 증착될 수 있다. 도편트-함유(예를 들어, 붕소-함유 또는 금속-함유) 소스 층의 증착을 초래하는 조건 하에서, 부분 제작된 소자를 도펀트-함유 반응물(가령, 붕소-함유 반응물 또는 금속-함유 반응물)과 접촉시킴으로써 소스 층이 증착된다. After pre-cleaning is complete, in 305 a source layer of dopant-containing material is deposited over the substrate surface. Advantageously, the dopant-containing material does not need to be selectively deposited over the metal surface and can be deposited on both the surface of the insulating film and the metal. (E.g., a boron-containing or metal-containing reactant) with a partially fabricated device under conditions that result in the deposition of a dopant-containing (e.g., boron-containing or metal- A source layer is deposited by contact.

일 실시예에서, 플라스마 방전 없이 도펀트-함유 소스 층이 열적으로(thermally) 증착된다. 예를 들어, 휘발성 수소화물, 할로겐화물, 카르보닐, 또는 유기금속 화합물과 같은 휘발성 전구체가 고온에서 반응(예를 들어, 분해)하여 기판 표면 위에 도펀트-함유 물질의 층을 증착할 수 있다. 해당업계 종사자에 의해 이해될 수 있는 바와 같이, 온도 범위, 기판 노출 시간, 및 그 밖의 다른 증착 조건들이 각각의 특정 전구체에 맞게 조정된다.In one embodiment, the dopant-containing source layer is thermally deposited without plasma discharge. For example, a volatile precursor such as a volatile hydride, halide, carbonyl, or organometallic compound can be reacted (e.g., decomposed) at a high temperature to deposit a layer of dopant-containing material on the substrate surface. As will be understood by those skilled in the art, temperature ranges, substrate exposure times, and other deposition conditions are adjusted for each particular precursor.

일 실시예에서, B2H6가 전구체로서 이용되어 B-도핑된 보호 캡을 형성할 수 있다. 하나의 예시적 공정에서, N2, O2, CO2, He, NH3, Ar 등과 같은 하나 이상의 추가적 운반 가스와 함께 B2H6가 공정 챔버 내로 주입된다. 이번 예시에서, B2H6 농도는 약 0.5 내지 20%의 범위이고, 압력은 약 0.5Torr 내지 약 10Torr 범위이다. B2H6는 플라스마 방전의 부재 하에서 약 200 내지 400℃ 사이의 챔버 온도에서 기판과 접촉하여, 기판 위에 붕소-함유 층이 증착된다. 상기 층이 B-H 결합을 갖는 것으로 판단되었으므로 BHx 층이라고 언급될 것이다. BHx 층은 구리 배선으로 확산되어 보호 캡을 형성하는 B 도펀트 소스의 역할을 한다. In one embodiment, B 2 H 6 can be used as a precursor to form a B-doped protective cap. In one exemplary process, B 2 H 6 is injected into the process chamber with one or more additional carrier gases such as N 2 , O 2 , CO 2 , He, NH 3 , Ar, and the like. In this example, the B 2 H 6 concentration is in the range of about 0.5 to 20% and the pressure is in the range of about 0.5 Torr to about 10 Torr. B 2 H 6 is contacted with the substrate at a chamber temperature between about 200 and 400 ° C in the absence of a plasma discharge to deposit a boron-containing layer on the substrate. This layer will be referred to as a BH x layer since it has been determined to have BH bonds. The BH x layer diffuses into the copper wiring and serves as a B dopant source to form a protective cap.

또 다른 예시에서, 휘발성 금속-함유 전구체가 챔버 내로 주입된다. 유기금속 화합물, 금속 수소화물, 금속 할로겐화물, 및 금속 카르보닐이 적합한 전구체의 역할을 할 수 있다. 예를 들어, 알킬-치환된 금속 유도체와 시클로펜타디에닐-치환된 금속 유도체가 이용될 수 있다. 이러한 전구체가 고온에서 반응하여 기판 위에 금속-함유 소스 층을 형성할 수 있다. 일부 실시예에서, B-함유 캡의 증착에서 이용된 것과 유사한 압력과 온도 범위가 이용될 수 있다. 일반적으로, 전구체의 성질에 따라, 최적의 품질을 갖는 금속-함유 소스 층을 증착하기 위하여 증착 조건이 최적화된다. 예를 들어, 온도 범위는 전구체에 대한 특정 분해 과정에 알맞도록 최적화될 수 있고, 따라서 원하는 바에 따라 금속-함유 소스 층의 조성을 조정할 수 있다. 해당업계 종사자라면 증착 조건을 어떻게 최적화하는지, 최적화된 조성을 이용해 어떻게 금속-함유 소스 층을 얻는지 이해할 것이다. In another example, a volatile metal-containing precursor is injected into the chamber. Organometallic compounds, metal hydrides, metal halides, and metal carbonyls can serve as suitable precursors. For example, alkyl-substituted metal derivatives and cyclopentadienyl-substituted metal derivatives can be used. These precursors can react at high temperatures to form a metal-containing source layer on the substrate. In some embodiments, similar pressure and temperature ranges as those used in the deposition of the B-containing cap may be used. Generally, depending on the nature of the precursor, the deposition conditions are optimized to deposit the metal-containing source layer with optimal quality. For example, the temperature range can be optimized to suit the particular decomposition process for the precursor, and thus the composition of the metal-containing source layer can be adjusted as desired. Those in the field will understand how to optimize deposition conditions and how to obtain metal-containing source layers using optimized compositions.

언급된 바와 같이, 다양한 금속이 도펀트의 역할을 할 수 있다. 예를 들어, 예시적 구리 배선이 Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, 및 Sb로 도핑될 수 있다. 휘발성 전구체에 대해 잘 알려진 그 밖의 다른 금속들이 이용될 수도 있다. 알루미늄-함유 소스 층 증착에 적합한 전구체의 예시에는 트리메틸알루미늄, 디메틸알루미늄 수소화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 및 트리스(디에틸아미노)알루미늄)이 포함되나 이에 한정되는 것은 아니다. 그 밖의 다른 금속을 함유한 소스 층 증착에 이용될 수 있는 전구체의 예시에는 비스(시클로펜타디에닐)코발트, 코발트(Ⅱ) 아세틸아세톤염(acetylacetonate), 테트라키스(디메틸아미도)하프늄(tetrakis(dimethylamido)hafnium), 테트라키스(디에틸아미도)하프늄(tetrakis(diethylamido)hafnium), 테트라키스(디메틸아미도)몰리브덴(tetrakis(dimethylamido)molybdenum), 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미도)티타늄(tetrakis(ethylmethylamido)titanium), 비스(디에틸아미노)비스(디이소프로필아미노)티타늄, 펜타키스(디메틸아미노)탄탈, 터트(부틸이미도트리스)(디에틸아미도)탄탈(tert(butylimidotris)(diethylamido)tantalum)(TBTDET), 펜타키스(디에틸아미도)탄탈(pentakis(diethylamido)tantalum), 비스(에틸시클로펜타디에닐)루테늄, 트리스(디메틸아미도)안티몬(tris(dimethylamido)antimony), 및 테트라메틸틴(tetramethyltin)이 포함되나 이에 한정되는 것은 아니다.As noted, a variety of metals can serve as dopants. For example, exemplary copper interconnects may be doped with Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, and Sb. Other metals well known for volatile precursors may be used. Examples of suitable precursors for aluminum-containing source layer deposition include, but are not limited to, trimethyl aluminum, dimethyl aluminum hydride, triethyl aluminum, triisobutyl aluminum, and tris (diethylamino) aluminum. Examples of precursors that can be used for the deposition of source layers containing other metals include bis (cyclopentadienyl) cobalt, cobalt (II) acetylacetonate, tetrakis (dimethylamido) hafnium dimethylamido hafnium), tetrakis (diethylamido) hafnium, tetrakis (dimethylamido) molybdenum, tetrakis (dimethylamino) titanium (TDMAT), tetra (Diethylamino) titanium (TDEAT), tetrakis (ethylmethylamido) titanium, bis (diethylamino) bis (diisopropylamino) titanium, pentakis (Butylimidotris) (diethylamido) tantalum (TBTDET), pentakis (diethylamido) tantalum, bis (ethylcyclopenta) Dienyl) ruthenium, tris (dimethyl Not shown) it is not antimony (tris (dimethylamido) antimony), and tetramethyl tin (tetramethyltin) include, but are limited thereto.

소스 층이 순수한 원소로 이루어진 도펀트를 필연적으로 함유할 필요는 없고, 그 밖의 다른 원소(가령, H, C, N 등)와 도펀트의 화합물을 포함할 수 있다. 그러나, 도펀트가 이러한 층으로부터 용이하게 생성될 수 있고, 일단 생성되면, 도펀트가 구리로 확산되거나 구리와 반응할 수 있다. 그러나, 그 밖의 다른 실시예에서, 소스 층이 실질적으로 순수한 금속 또는 붕소를 함유할 수 있다.The source layer does not necessarily contain a dopant consisting of a pure element but may include a dopant compound with other elements (for example, H, C, N, etc.). However, a dopant can easily be generated from this layer, and once formed, the dopant can diffuse into copper or react with copper. However, in other embodiments, the source layer may contain a substantially pure metal or boron.

소스 층이 오로지 구리 배선의 상부에만 선택적으로 증착될 필요는 없고, 절연층의 상부와 구리의 상부 둘 모두에 증착될 수 있다. 그러나, 많은 실시예에서, 구리와 절연막 간 일정한 정도의 선택성이 달성되고, (BHx 층 또는 금속-함유 층일 수 있는) 소스 층(408)이 절연막(401)의 층 위에서보다 구리 배선(408) 위에서 더 큰 두께를 갖는 도 4b에 도시된 바와 같이, 구리 배선 위에 더 두꺼운 소스 층이 형성된다. 특정 전구체와 증착 조건에 따라, 구리 배선 위에 소스 층이 완전히 선택적으로 증착하는 공정에서부터, 소스 층이 구리와 절연막 둘 모두의 위에서 동일한 두께로 증착되는 완전히 비-선택적인 공정까지의 범위를 갖는 광범위한 선택성이 달성될 수 있다. 본원에 기술된 방법들이 선택적으로 그리고 비-선택적으로 증착된 소스 층들로부터 도펀트의 조절-가능한 주입에 이용될 수 있지만, 상기 방법들은 예시로서 부분적 선택성을 가지고 증착된 층들을 이용하여 본원에서 설명된다. 절연막 위에 증착된 소스 층의 두께와 비교할 때 구리 배선 위에 증착된 더 두꺼운 소스 층 두께로 특징지어지는 부분적 선택성이 붕소-함유 및 많은 금속-함유 소스 층들에 있어서 관측될 수 있다. 일부 실시예에서, 구리 위에 존재하는 소스 층의 두께가 절연막 위에 존재하는 소스 층의 두께보다 약 10 내지 500% 더 두껍다. The source layer need not be selectively deposited only on the top of the copper wiring, but may be deposited on both the top of the insulating layer and the top of the copper. However, in many embodiments, a certain degree of selectivity between the copper and the insulating film is achieved and the source layer 408 (which may be a BH x layer or a metal-containing layer) is deposited over the copper wiring 408, As shown in Fig. 4B with a larger thickness above, a thicker source layer is formed over the copper wiring. Depending on the particular precursor and deposition conditions, a wide range of selectivity can be achieved, ranging from the process in which the source layer is completely selectively deposited on copper interconnects to the completely non-selective process in which the source layer is deposited to the same thickness on both copper and insulator films Can be achieved. Although the methods described herein can be used for the controllable implantation of dopants from selectively and non-selectively deposited source layers, the methods are described herein using layers deposited with partial selectivity as an example. Partial selectivity characterized by a thicker source layer thickness deposited over copper interconnects as compared to the thickness of the source layer deposited over the insulating layer can be observed in boron-containing and many metal-containing source layers. In some embodiments, the thickness of the source layer overlying the copper is about 10-500% greater than the thickness of the source layer overlying the insulating film.

도 3a에 도시된 공정 흐름도를 다시 참조하면, 공정(305)에서 소스 층이 형성된 이후, 뒤이은 공정(307)에서, 구리 위에 존재하는 소스 층의 상부 부분이 변화되어 패시베이션 층을 형성하고, 변화되지 않은 소스 층의 부분은 구리의 층과 접촉 상태로 유지된다. 이것이 도 4c에 도시된 구조물에 의해 나타나며, 여기서, 소스 층(408)의 적은 부분만이 변화되지 않고 구리 배선(405)과 접촉 상태로 있고, 구리 위에 존재하는 소스 층의 상부 부분은 변화되어 패시베이션 층(409)을 형성한다. 절연막 위에 존재하는 소스 층의 부분이 패시베이션 물질로 완전히 변화된다. 패시베이션 공정(309)은 두 가지 목적을 가진다. 첫째, 소스 층의 부분적 패시베이션이 이용 가능한 도펀트의 양을 제한하기 때문에, 인터커넥트 저항성 조절에 도움을 준다. 바람직하게, 패시베이션 층은 패시베이션 물질로부터 구리 배선으로 쉽게 확산되지 않을 수 있는 물질을 함유한다. 예를 들어, 붕소가 붕소 질화물로 변화되고, 알루미늄이 알루미늄 산화물로 변화된다. 유리된 붕소와 알루미늄이 구리 배선으로 확산될 수 있지만, 질화물과 산화물로 변화된 때, 이러한 물질은 패시베이션 층 내에 트랩되고, 구리 배선으로 주입되어 구리 배선의 저항성을 증가시킬 수 없다. 소스 층의 상부 부분이 패시베이션 층으로 변화되기 때문에, 구리 배선으로 주입되는 도펀트의 양은 구리 배선과 접촉 상태로 남아 있는 소스 층의 변화되지 않은 부분의 두께에 의해 판단된다. 배선으로 주입될 필요가 있는 도펀트의 양에 따라, 더 많거나 더 적은 양의 소스 층이 패시베이션 층으로 변화될 수 있다. 예를 들어, 처음에 증착된 소스 층의 두께가 약 50 내지 500Å 사이의 범위일 수 있고, 이러한 두께 중 약 20 내지 60%가 패시베이션 층으로 변화될 수 있다. Referring again to the process flow diagram shown in Figure 3A, after the source layer is formed in process 305, in the subsequent process 307, the upper portion of the source layer overlying the copper is changed to form the passivation layer, A portion of the source layer that is not in contact remains in contact with the layer of copper. This is illustrated by the structure shown in Figure 4c where only a small portion of the source layer 408 remains unchanged and is in contact with the copper wiring 405 and the upper portion of the source layer overlying the copper is changed, Layer 409 is formed. The portion of the source layer present on the insulating film is completely changed to the passivation material. The passivation process 309 has two purposes. First, it helps to adjust the interconnect resistance because the partial passivation of the source layer limits the amount of available dopant. Preferably, the passivation layer contains a material that may not readily diffuse from the passivation material into the copper interconnect. For example, boron is changed to boron nitride and aluminum is changed to aluminum oxide. Although the liberated boron and aluminum can diffuse into the copper wiring, when it is converted to nitride and oxide, this material is trapped in the passivation layer and can not be injected into the copper wiring to increase the resistance of the copper wiring. Since the upper portion of the source layer is changed to the passivation layer, the amount of dopant injected into the copper wiring is determined by the thickness of the unchanged portion of the source layer remaining in contact with the copper wiring. Depending on the amount of dopant that needs to be implanted into the interconnects, more or less of the source layer can be converted into the passivation layer. For example, the thickness of the initially deposited source layer may range between about 50 and 500 ANGSTROM, and about 20 to 60 percent of this thickness may be changed to a passivation layer.

소스 층이, 구리와 절연막 둘 모두의 위에 증착되는 전도성 물질을 함유하는 실시예에서도 패시베이션이 요구된다. 이러한 실시예에서, 패시베이션이 전도성 물질(가령, 금속)을 거의 전도성이 없거나 전도성이 전혀 없는 물질로 변화시킴으로써 인접한 구리 배선들 간 단락을 방지할 수 있다. 예를 들어, 절연막 위에 존재하는 부분적으로 전도성인 BHx 소스 층이, 절연막 위에서, 본질적으로 비-전도성인 BNx를 함유한 패시베이션 층으로 완전히 변화될 수 있다. 이와 유사하게, 알루미늄을 함유한 소스 층이 비-전도성인 알루미늄 산화물로 변화될 수 있다. Passivation is also required in embodiments where the source layer contains a conductive material deposited over both copper and the insulating film. In such an embodiment, the passivation can prevent shorting between adjacent copper interconnects by changing the conductive material (e.g., metal) to a material that is substantially non-conductive or non-conductive. For example, the partially conductive BH x The source layer may be completely changed over the insulating layer to a passivation layer containing BN x which is essentially non-conductive. Similarly, the source layer containing aluminum may be changed to aluminum oxide which is non-conductive.

질화물, 산화물, 황화물, 셀렌화물, 텔루르화물(telluride), 인화물, 및 탄화물과 같은 다수의 화합물이 패시베이션 층에 적합한 물질이다. 물론, 질화물과 산화물이 많은 실시예에서 선호된다. Many compounds such as nitrides, oxides, sulfides, selenides, tellurides, phosphides, and carbides are materials suitable for the passivation layer. Of course, nitrides and oxides are preferred in many embodiments.

도펀트-함유 소스 층을 적절한 시약과 접촉시킴으로써 패시베이션 층이 형성될 수 있는데, 상기 적절한 시약은 소스 층 물질을 패시베이션 물질로 변화시킬 수 있다. 변화가 (플라스마를 이용하지 않고) 열적으로 수행될 수 있는 일부 실시예에서, 플라스마 방전에서 소스 층을 변화시키는 것이 종종 선호된다. 예를 들어, 기판을 플라스마에서 질소-함유 반응물(가령, N2, NH3, N2H4, 아민 등)과 접촉시킴으로써 질화 반응이 이루어질 수 있다. 이와 유사하게, 플라스마에서 산소-함유 반응물(가령, O2, CO2, N2O 등)과 접촉함으로써 산화물이 형성될 수 있다. 이와 유사하게, 기판을 원하는 원소를 함유한 반응물에 노출시킴으로써 황화물, 셀렌화물, 텔루르화물, 인화물, 및 탄화물(예를 들어, H2S, H2Se, H2Te, PH3, CxHy 각각)이 형성될 수 있다. The passivation layer can be formed by contacting the dopant-containing source layer with a suitable reagent, which can change the source layer material to a passivation material. In some embodiments in which a change can be performed thermally (without using a plasma), it is often preferable to change the source layer in the plasma discharge. For example, a nitridation reaction can be achieved by contacting the substrate with a nitrogen-containing reagent (e.g., N 2 , NH 3 , N 2 H 4 , amine, etc.) in a plasma. Similarly, an oxide can be formed by contacting an oxygen-containing reactant (e.g., O 2 , CO 2 , N 2 O, etc.) in the plasma. Similarly, sulfides, selenides, tellurides, phosphides, and carbides (e.g., H 2 S, H 2 Se, H 2 Te, PH 3 , C x H y Respectively) can be formed.

일부 실시예에서, 후-처리(post-treatment)가 직접 플라스마 처리를 수반한다. 예를 들어, 노출된 소스 층을 갖는 기판이, H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 공정 가스에 형성된 플라스마를 이용해 처리될 수 있다. 일부 실시예에서, 소스 층을 갖는 기판이 플라스마에서 H2를 이용해 처리된다. 수소 플라스마 처리는 전구체 층으로부터 잔여 유기 그룹을 제거하는 역할을 할 수 있고, 말단 금속-H 결합을 형성할 수 있다. 그 밖의 다른 예시에서, 기판이 플라스마에서 H2 및 N2의 혼합물을 이용해 후-처리되거나 플라스마 내에서 NH3를 이용해 후-처리되고, 이로써 유기 그룹이 제거되고 금속-N 결합이 형성된다. 그 밖의 다른 질화 제제(가령, N2H 및 아민)가 일부 실시예에서 이용될 수 있다. In some embodiments, post-treatment involves direct plasma treatment. For example, if the substrate with the exposed source layer is H 2 , N 2 , NH 3 And mixtures thereof. ≪ / RTI > In some embodiments, a substrate having a source layer is treated with H 2 in the plasma. Hydrogen plasma treatment can serve to remove residual organic groups from the precursor layer and can form terminal metal-H bonds. In another example, when the substrate is exposed to H 2 And N 2 , or post-treated with NH 3 in the plasma, whereby the organic groups are removed and metal-N bonds are formed. Other nitriding agents (e.g., N 2 H and amines) may be used in some embodiments.

사전-처리를 이용하는 경우라도, 때때로 직접 플라스마 처리보다 더 마일드한 처리 방법을 이용하는 것이 바람직하다. 예를 들어, 일부 실시예에서, H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 가스에서 형성된 원거리 플라스마를 이용하여 기판이 처리될 수 있다. 이전에 기술된 바와 같이, 기판을 하우징하고 있는 챔버와 물리적으로 이격된 챔버에서 원거리 플라스마가 생성되고, 이러한 원거리 플라스마가 기판으로 전달되기 전에 이온 종이 제거되어, 절연막 손상의 가능성이 더 적어지게 된다. 이는, 원거리 플라스마에 함유된 라디칼이 고에너지 이온보다 통상적으로 더 적게 손상시키기 때문이다. 금속-H 및 금속-N 형성뿐만 아니라 층으로부터 유기 그룹의 제거가 원거리 플라스마에 의해 달성될 수 있다. Even when pre-processing is used, it is sometimes preferable to use a milder processing method than direct plasma processing. For example, in some embodiments, H 2 , N 2 , NH 3 And mixtures thereof. The substrate may be processed using a remote plasma formed from a gas selected from the group consisting of, for example, < RTI ID = 0.0 > As previously described, a remote plasma is created in a chamber that is physically separate from the chamber housing the substrate, and the ion species is removed before such remote plasma is delivered to the substrate, thereby reducing the likelihood of damage to the insulation layer. This is because the radicals contained in the far-field plasma usually damage less than the high-energy ions. Removal of organic groups from the layers as well as metal-H and metal-N formation can be achieved by remote plasma.

더욱이, 이전에 참조되었던 미국 가특허 출원 제61/260,789호에 기술된 방법을 이용하여, H2, N2, NH3 및 이들의 혼합물로 구성된 그룹으로부터 선택된 공정 가스에서 UV 조사에 의해 마일드한 후-처리가 수행될 수 있다. 이러한 UV 처리를 이용하여 금속-H와 금속-N 결합을 형성할 수 있고, 또한 전구체 층으로부터 유기 치환기를 제거할 수 있다. Moreover, using the method described in the previously referenced U.S. Provisional Patent Application No. 61 / 260,789, H 2 , N 2 , NH 3 And a mixture thereof, may be carried out by mild post-treatment by UV irradiation. This UV treatment can be used to form metal-H and metal-N bonds and to remove organic substituents from the precursor layer.

일부 실시예에서, 플라스마가 없는 환경에서 열 처리에 의해 후-처리가 이루어진다. 예를 들어, H2, N2, NH3, 또는 이들의 혼합물을 포함한 대기에서 웨이퍼가 약 300 내지 350℃의 온도까지 가열될 수 있다. 이러한 열 처리는 연약한 ULK 절연막을 함유한 기판 처리에 특히 유리하다. In some embodiments, post-treatment is accomplished by heat treatment in a plasma free environment. For example, the wafer can be heated to a temperature of about 300 to 350 DEG C in an atmosphere containing H 2 , N 2 , NH 3 , or a mixture thereof. This heat treatment is particularly advantageous for processing substrates containing a weak ULK insulating film.

일부 실시예에서, 플라스마가 없는 환경에서 실온에서 또는 상승된 온도에서 반응물을 이용해 소스 층을 처리함으로써 후-처리가 수행된다. 예를 들어, 플라스마가 없는 환경에서 산소-함유 반응물(가령, O2, H2O, N2O)을 이용해 기판을 처리함으로써 일부 실시예에서 금속-산소 결합을 갖는 패시베이션 층이 형성된다. In some embodiments, post-processing is performed by treating the source layer with the reactants at room temperature or elevated temperature in a plasma free environment. For example, in some embodiments, a passivation layer having metal-oxygen bonds is formed by treating the substrate with an oxygen-containing reactant (e.g., O 2 , H 2 O, N 2 O) in a plasma free environment.

ULK 절연막, 특히 쉽게 손상되는 다공성 및 유기 절연막이 ILD 층에서 사용될 때 원거리 플라스마 후-처리, 열적 후-처리, 및 UV 후처리가 특히 유리하다. Remote plasma post-treatment, thermal post-treatment, and UV post-treatment are particularly advantageous when ULK insulating films, particularly easily damaged porous and organic insulating films, are used in the ILD layer.

많은 실시예에서 질화 후-처리가 선호되나, 일부 실시예에서 그 밖의 다른 유형의 후-처리도 이용될 수도 있다. Post-nitridation is preferred in many embodiments, although other types of post-treatment may also be used in some embodiments.

예를 들어, 산소-함유 가스(가령, O2, CO2, N2O 등)에 노출된 전구체 층을 갖는 기판을 플라스마와 접촉시키거나 플라스마와 접촉시키지 않음으로써 금속-O 결합을 형성하기 위한 후-처리가 구현될 수 있다. 그 밖의 다른 실시예에서, 예를 들어, 소스 층을 플라스마 내의 탄화수소로 처리함으로써 금속-C 결합이 후-처리 단계에서 형성된다. 플라스마가 존재할 때 또는 플라스마 없이, 원하는 원소를 함유한 반응물(가령, H2S, H2Se, H2Te, PH3) 각각에 기판을 노출시킴으로써 후-처리 단계에서 금속-S, 금속-Se, 금속-Te, 및 금속-P 결합이 형성될 수 있다. 이러한 유형의 후-처리를 위해 직접 플라스마와 원거리 플라스마 모두가 이용될 수 있다. For example, a substrate having a precursor layer exposed to an oxygen-containing gas (e.g., O 2 , CO 2 , N 2 O, etc.) is contacted with the plasma or not in contact with the plasma to form a metal- Post-processing may be implemented. In another alternative embodiment, the metal-C bond is formed in the post-treatment step, for example, by treating the source layer with hydrocarbons in the plasma. The metal -S, the metal-Se (H 2 S, H 2 Se, H 2 Te, PH 3 ), in the presence of the plasma or without the plasma, , Metal-Te, and Metal-P bonds may be formed. Both direct plasma and remote plasma can be used for this type of post-treatment.

도 4c를 다시 참조하면, (가령, BNx, AlOx, TiOx 등을 함유한) 패시베이션 층이 절연층(401)과 구리 층(405) 위에 존재하고 있음을 확인할 수 있다. 변화되지 않은 도펀트 소스를 함유한 얇은 층(408)이 구리 배선과 패시베이션 물질층 사이에 존재한다. Referring again to FIG. 4C, (for example, BN x , AlO x , TiO x A passivation layer is present on the insulating layer 401 and the copper layer 405. [ A thin layer 408 containing an undoped dopant source is present between the copper wiring and the passivation material layer.

패시베이션 층이 형성된 후에, 공정(309)에서, 변화되지 않은 소스 층으로부터의 활성 성분(도펀트)이 구리로 확산되거나 구리와 반응할 수 있고 구리 층 내에 보호 캡을 형성할 수 있다. 이것이 도 4c에 도시된 구조물에서 화살표에 의해 나타나 있다. 결과적 구조물이 도 4d에 도시되며, 여기서 보호 캡(407)이 구래 배선의 상부 내에 형성되어 있다. 이러한 예시에서, 소스 층(408)으로부터의 도펀트가 온전히 구리 배선으로 이동(migration)되었다. 그 밖의 다른 실시예에서, 도펀트의 일부가 소스 층 내에 남아있을 수 있다. 그 밖의 다른 실시예에서, 변화되지 않은 소스 층으로 구리가 확산됨과 동시에 도펀트가 구리 배선으로 확산될 수 있다. 후자의 두 경우에서, (도 2c에서 도시되었던 바와 같이) 처음에 제공된 구리 배선 내에 그리고 구리 배선 위에 보호 캡이 존재할 수 있다.After the passivation layer is formed, in step 309, the active component (dopant) from the unchanged source layer can diffuse into copper or react with copper and form a protective cap within the copper layer. This is illustrated by the arrows in the structure shown in Fig. 4c. The resulting structure is shown in Figure 4d, wherein a protective cap 407 is formed in the top of the spurious interconnect. In this example, the dopant from the source layer 408 has migrated to the copper wiring intact. In other embodiments, a portion of the dopant may remain in the source layer. In another alternative embodiment, the copper may diffuse into the unchanged source layer while the dopant may diffuse into the copper wiring. In the latter two cases, a protective cap may be present in the copper wiring initially provided (as shown in Figure 2C) and on the copper wiring.

보호 캡의 형성은 다양한 조건 하에서 발생할 수 있는데, 상기 조건은 변화되지 않은 소스 층에 존재하는 특정 도펀트 소스에 의해 좌우될 수 있다. 일부 실시예에서, 소스 층 내에 존재하는 도펀트-함유 물질이 구리로 즉시 확산되지 않거나 구리와 즉시 반응하지 않을 수 있다. 이러한 실시예에서, 예를 들어 기판을 고온에 노출시킴으로써 도펀트가 먼저 생성될 수 있다. 그 밖의 다른 실시예에서, 기판을 가열함으로써 도펀트의 확산 및/또는 도펀트의 반응 또한 촉진된다. 일부 실시예에서, 기판을 고온에 노출시키는 시간과 노출 온도 자체를 조절함으로써 보호 캡의 두께가 조절될 수 있다. 일부 실시예에서, 미리 정해진 시간 기간 동안(가령, 약 0.25 내지 60분 동안) 약 100℃ 이상의 온도까지 기판을 가열함으로써 보호 캡의 형성이 촉진된다. The formation of the protective cap can occur under a variety of conditions, which can be governed by the particular dopant source present in the unchanged source layer. In some embodiments, the dopant-containing material present in the source layer may not immediately diffuse into the copper or may not immediately react with the copper. In this embodiment, the dopant can be first produced, for example, by exposing the substrate to high temperatures. In other embodiments, the diffusion of the dopant and / or the reaction of the dopant is also promoted by heating the substrate. In some embodiments, the thickness of the protective cap can be adjusted by adjusting the time for exposing the substrate to high temperatures and the exposure temperature itself. In some embodiments, the formation of the protective cap is promoted by heating the substrate to a temperature of at least about 100 DEG C for a predetermined period of time (e.g., for about 0.25 to 60 minutes).

보호 캡 형성시, 공정(311)에서, 도핑되었거나 도핑되지 않은 실리콘 탄화물 층이 증착된다. 결과적 구조물이 도 4e에 도시된다. 구리 배선과 절연막 영역 위의 패시베이션 층(409)의 상부에 실리콘 탄화물 층(411)이 증착된다. 실리콘 탄화물 층이 에칭 저지층 또는 절연막 확산 장벽층의 역할을 하고, 통상적으로 약 100 내지 500Å의 두께로 증착된다. 예를 들어, 플라스마 방전에서 실리콘-함유 및 탄소-함유 전구체에 기판을 노출시킴으로써 CVD에 의해(바람직하게는 PECVD에 의해) 실리콘 탄화물 층이 증착된다. 예를 들어, 실란, 알킬실란, 및 탄화수소가 전구체로서 이용될 수 있다. 도핑된 실리콘 탄화물이 증착되는 때, 도펀트-함유 전구체가 공정 챔버 내로 추가로 주입된다. 예를 들어, 산소-함유 실리콘 탄화물의 증착 동안 CO2, O2 또는 N2O가 첨가될 수 있고, B2H6가 첨가되어 붕소-도핑된 실리콘 탄화물을 증착할 수 있으며, NH3 및 N2가 첨가되어 질소-도핑된 실리콘 탄화물을 증착할 수 있다. 그 밖의 다른 실시예에서, 도핑되었거나 도핑되지 않은 실리콘 질화물이 패시베이션 층의 상부에 증착되어 에칭 저지층 또는 확산 장벽층의 역할을 할 수 있다. 일부 실시예에서, 절연막 확산 장벽층의 증착이 (소스 층과 패시베이션의 형성을 포함한) 캡핑 층의 형성에 이용되는온도보다 높은 온도에서 수행된다. 예를 들어, 일부 실시예에서, 350℃ 이하의 온도에서(예를 들어, 약 200 내지 350℃에서) 보호 캡의 형성이 구현되고, 약 350℃ 이상의 온도에서(예를 들어, 375 내지 450℃에서) 확산 장벽 증착이 수행된다.Upon formation of the protective cap, in step 311, a doped or undoped silicon carbide layer is deposited. The resulting structure is shown in Figure 4e. A silicon carbide layer 411 is deposited on the upper portion of the passivation layer 409 over the copper wiring and the insulating film region. The silicon carbide layer serves as an etch stop layer or an insulating film diffusion barrier layer, and is typically deposited to a thickness of about 100 to 500 ANGSTROM. For example, a silicon carbide layer is deposited by CVD (preferably by PECVD) by exposing the substrate to a silicon-containing and carbon-containing precursor in a plasma discharge. For example, silanes, alkylsilanes, and hydrocarbons can be used as precursors. When doped silicon carbide is deposited, the dopant-containing precursor is further implanted into the process chamber. For example, CO 2 , O 2 or N 2 O may be added during the deposition of the oxygen-containing silicon carbide, B 2 H 6 may be added to deposit the boron-doped silicon carbide, NH 3 and N 2 can be added to deposit nitrogen-doped silicon carbide. In other embodiments, doped or undoped silicon nitride may be deposited on top of the passivation layer to serve as an etch stop layer or diffusion barrier layer. In some embodiments, the deposition of an insulating film diffusion barrier layer is performed at a temperature higher than the temperature used to form the capping layer (including formation of the source layer and the passivation). For example, in some embodiments, the formation of a protective cap is implemented at a temperature below 350 占 폚 (e.g., at about 200-350 占 폚) and at a temperature above about 350 占 폚 (e.g., A diffusion barrier deposition is performed.

일부 경우에서, 패시베이션 층 자체가 확산 장벽 또는 에칭 저지의 역할을 하기에 적합한 속성을 가질 수 있기 때문에, 절연막 확산 장벽 또는 에칭 저지층은 선택 사항이다. 예를 들어, 특정 금속 산화물을 함유한 패시베이션 층이 확산 장벽층의 역할을 할 수 있고, 이로 인해 별개의 실리콘 탄화물 층의 증착에 대한 필요를 제거할 수 있다.In some cases, the insulating film diffusion barrier or etch stop layer is optional because the passivation layer itself may have properties suitable to serve as a diffusion barrier or etch barrier. For example, a passivation layer containing a specific metal oxide may serve as a diffusion barrier layer, thereby eliminating the need for deposition of a separate silicon carbide layer.

도 3에 도시된 공정이 후속 공정(313)으로 나아가며, 상기 공정(313)에서, 층간 절연막(가령, 실리콘 이산화물, 유기실리콘 유리, 다공성 유기 절연막 등)가 증착된다. 확산 장벽 또는 에칭 저지층 위에(가령, 실리콘 탄화물 층 위에) 절연막이 증착되고, 또는 패시베이션 물질이 확산 장벽의 역할을 하기에 적절한 속성을 가진 경우 패시베이션 층 위에 절연막이 바로 증착된다. 절연막은 PECVD에 의해 또는 스핀-온(spin-on) 법에 의해 증착될 수 있고, 통상적으로 약 3,000 내지 10,000Å 사이의 두께로 증착된다. 그 후, 도 1c 내지 도 1e에 도시된 바와 같이 다마신 공정이 추가로 뒤따를 수 있다.The process shown in FIG. 3 proceeds to the subsequent process 313, and an interlayer insulating film (for example, silicon dioxide, organic silicon glass, porous organic insulating film, etc.) is deposited in the process 313. An insulating film is deposited over the diffusion barrier or etch stop layer (e.g., over the silicon carbide layer), or the insulating film is deposited directly over the passivation layer if the passivation material has properties appropriate to serve as diffusion barriers. The insulating film can be deposited by PECVD or by a spin-on method, and is typically deposited to a thickness between about 3,000 and 10,000 ANGSTROM. Thereafter, a damascene process can be followed, as shown in Figures 1C-1E.

도 3에 도시된 흐름도에 의해 나타나는 공정은 오직 예시적인 것이고 상기 공정의 다양한 변형 형태가 구현될 수 있음이 이해된다. 예를 들어, 도 3에 도시된 다양한 공정들이 서로 다른 순서로 수행될 수 있다. 구체적으로, 구리 층으로의 활성 성분(도펀트)의 주입이 공정 중 서로 다른 시간에 수행될 수 있다. 일부 실시예에서, 에칭 저지층 또는 확산 장벽층이 증착된 후에 도펀트의 생성 및 확산이 시작될 수 있다. 일부 실시예에서, ILD 층이 형성된 이후, 후-처리에서 도펀트의 확산이 촉진된다. 종종 이러한 공정은 약 100℃ 이상의 온도로 기판을 가열시킴으로써 수행된다. 그 밖의 다른 실시예에서, 소스 층이 패시베이션되기 전에 활성 성분(도펀트)이 구리로 확산되거나 구리와 반응할 수 있다. 이러한 실시예에서, 변화되지 않은 소스 층과 구리의 접촉 시간을 조절함으로써 및/또는 공정 온도를 조절함으로써, 주입된 도펀트의 양이 조절될 수 있다.It is understood that the process depicted by the flowchart shown in FIG. 3 is exemplary only and that various variations of the process may be implemented. For example, the various processes shown in FIG. 3 may be performed in different orders. Specifically, implantation of the active component (dopant) into the copper layer can be performed at different times during the process. In some embodiments, the generation and diffusion of the dopant may begin after the etch stop layer or diffusion barrier layer is deposited. In some embodiments, after the ILD layer is formed, diffusion of the dopant in the post-treatment is facilitated. Often this process is performed by heating the substrate to a temperature of about 100 캜 or more. In other embodiments, the active component (dopant) may diffuse into the copper or react with the copper before the source layer is passivated. In this embodiment, the amount of implanted dopant can be controlled by adjusting the contact time of the unchanged source layer with copper and / or by controlling the process temperature.

일부 실시예에서, 구리 배선 위에 존재하는 소스 층을 부분적으로가 아니라 완전하게 패시베이션하여 구리 배선으로 도펀트 원소가 확산되는 것을 실질적으로 방지함으로써, 도 3a에 도시된 공정이 수정된다. 이러한 수정 형태는, 개선된 전자이동 성능을 달성할 수 있으면서도 도펀트 확산으로 인한 인터커넥트 저항성의 증가가 최소화될 수 있기 때문에 일부 경우에서 유리하다. In some embodiments, the process shown in FIG. 3A is modified by partially passively bypassing, rather than partially, the source layer present on the copper interconnect, thereby substantially preventing the dopant element from diffusing into the copper interconnect. This modification is advantageous in some cases because it is capable of achieving improved electron mobility performance while minimizing the increase in interconnect resistance due to dopant diffusion.

공정의 또 다른 실시예가 도 3b에 도시된 공정 흐름도에 의해 도시된다. 상기 공정은 산화물이 없는 구리 표면 위에 알루미늄-함유 소스 층의 고온 증착을 이용한다. 상기 공정은, 구리 배선의 패턴을 갖는 부분 제작된 반도체 소자를 절연막에 제공함으로써 공정(301)에서 시작된다. 예를 들어, 기판(가령, 도 4a에 도시된 기판)이 사용될 수 있다. 일부 실시예에서, ULK 절연막(가령, 2.8 이하의 유전 상수를 갖는 다공성 및 유기 절연막)의 층에 구리 배선이 내장된다. 도 3b에 기술된 실시예에서, 산화물이 없는 구리 표면을 제공하여 구리 산화물과 유기알루미늄 전구체 사이의 반응을 방지하는 것이 매우 중요하다. 구리 산화물의 얇은 층이 알루미늄 증착 수단을 변경할 것이라 하더라도, 알루미늄 산화물이 형성될 수 있다. 도 3b에 도시된 실시예에서, 구리 표면 위에 이러한 즉각적인 알루미늄 산화물 형성은 요구되지 않는다. Another embodiment of the process is illustrated by the process flow diagram shown in FIG. 3B. This process utilizes a high temperature deposition of an aluminum-containing source layer over an oxide-free copper surface. The above process starts in step 301 by providing a partially fabricated semiconductor element having a pattern of copper wiring to the insulating film. For example, a substrate (e.g., the substrate shown in FIG. 4A) may be used. In some embodiments, copper wiring is embedded in a layer of a ULK dielectric (e.g., a porous and organic dielectric film having a dielectric constant of 2.8 or less). In the embodiment described in FIG. 3B, it is very important to provide an oxide-free copper surface to prevent reaction between the copper oxide and the organoaluminum precursor. Even if a thin layer of copper oxide is to change the aluminum deposition means, aluminum oxide may be formed. In the embodiment shown in FIG. 3B, such immediate aluminum oxide formation is not required on the copper surface.

구리 산화물을 제거하기 위하여, 공정(303)에서 기판이 사전-세척된다. 이러한 사전-세척은 구리 산화물이 구리 표면으로부터 완전히 제거되는 방식으로 제어된다. 이러한 제어는 적절한 사전-세척 지속 시간과 공정 조건을 선택함으로써 달성될 수 있다. 이는 도 3a와 관련해 이전에 기술되었던 바와 같이, 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 또는 열 처리에 의해 사전-세척이 수행될 수 있다. 연약한 ULK 절연막이 사용될 때, 일부 실시예에서 직접 플라스마의 부재 하에서 사전-처리가 이용된다. To remove the copper oxide, the substrate is pre-cleaned in step 303. This pre-cleaning is controlled in such a way that the copper oxide is completely removed from the copper surface. This control can be achieved by selecting an appropriate pre-wash duration and process conditions. This can be pre-cleaned by direct plasma treatment, remote plasma treatment, UV treatment, or heat treatment, as previously described with respect to Figure 3a. When a soft ULK insulating film is used, pre-treatment is used in some embodiments in the absence of direct plasma.

산화물-프리 구리 층이 획득된 이후, 공정(305)에 도시된 바와 같이, 부분 제작된 소자가 약 350℃ 이상(가령, 약 400℃ 이상)의 기판 온도에서 유기알루미늄 반응물과 접촉하여 알루미늄-함유 층을 형성할 수 있다. 유의하게, 더 낮은 온도에서는, 산화물-프리 구리 표면 위에 알루미늄-함유 층의 증착이 적절한 속도로 발생하지 않을 것이다. 다양한 유기알루미늄 반응물이 이용될 수 있는데, 일부 실시예에서는, 그 중에서도 트리알킬알루미늄, 그리고 특히 트리메틸알루미늄이 선호된다. 적합한 반응물의 예시에는 트리메틸알루미늄, 디메틸알루미늄 수소화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 및 트리스(디에틸아미노)알루미늄(tris(diethylamino)aluminum)으로 구성된 그룹으로부터 선택된 전구체가 포함된다. 플라스마의 부재 하에서 CVD 챔버에서 반응물이 기판과 접촉하여, 노출된 절연막과 구리 표면 둘 모두의 위에 통상적으로 알루미늄-함유 층을 형성한다. 예를 들어, 반응물 유량(flow rate)과 기판 온도를 조절함으로써 층의 두께가 조절될 수 있다. 통상적으로 절연막 위에 증착된 층은 (절연막에 존재하는 산화 종(oxidizing species)으로 인해) 증착시 자발적으로 산화되어 Al-O 결합을 갖는 비-전도성 층을 형성할 수 있다. 알루미늄-함유 층이 절연막 위에서 완전히 산화되지 않은 경우에서, 절연막 위의 모든 전도성 물질을 비-전도성 형태로 변화시켜 인터커넥트 간 단락을 방지하는 후-처리 단계에서 상기 알루미늄-함유 층이 변화된다. 절연막 위에 증착된 알루미늄-함유 층이 증착시 즉시 자발적으로 산화되는지 여부와 무관하게, 구리 위에 존재하는 알루미늄-함유 층의 일부분 또는 전부를 부동성(immobile) 화합물(일부 실시예에서 비-전도성일 수 있는 화합물)로 변화시키기 위하여 후-처리 단계가 이용될 수 있다. After the oxide-free copper layer is obtained, the partially fabricated device is contacted with the organoaluminum reactant at a substrate temperature of at least about 350 < 0 > C (e.g., above about 400 & Layer can be formed. Significantly, at lower temperatures, deposition of the aluminum-containing layer on the oxide-free copper surface will not occur at the proper rate. A variety of organoaluminum reactants may be used, in some embodiments trialkylaluminum, and especially trimethylaluminum, is preferred. Examples of suitable reactants include precursors selected from the group consisting of trimethylaluminum, dimethylaluminum hydride, triethylaluminum, triisobutylaluminum, and tris (diethylamino) aluminum. In the absence of a plasma, the reactants in the CVD chamber contact the substrate, typically forming an aluminum-containing layer on top of both the exposed insulating film and the copper surface. For example, the thickness of the layer can be controlled by adjusting the reactant flow rate and substrate temperature. Typically, a layer deposited over an insulating layer may be spontaneously oxidized upon deposition (due to oxidizing species present in the insulating layer) to form a non-conductive layer having Al-O bonds. In the case where the aluminum-containing layer is not completely oxidized on the insulating film, the aluminum-containing layer is changed in a post-treatment step in which all of the conductive material on the insulating film is changed to a non-conductive form so as to prevent a short circuit between the interconnects. Regardless of whether the aluminum-containing layer deposited over the insulating film is immediately spontaneously oxidized upon deposition, some or all of the aluminum-containing layer present on the copper may be immobilized (in some embodiments, Compound) can be used.

공정(307)은 두 개의 후-처리 옵션을 제공한다. 제 1 실시예에서, 구리 위에 존재하는 알루미늄-함유 층의 상부 부분만이 변화되어 패시베이션 층을 형성하고, 여기서 변화되지 않은 층의 부분은 구리의 층과 접촉 상태로 남아 있으며, 공정(309)에서, 변화되지 않은 부분으로부터의 알루미늄이 구리로 확산된다. 대안적 실시예에서, 구리 위에 존재하는 알루미늄-함유 층 전부가 변화되어 부동성 화합물을 형성하고, 이로써 구리 배선으로의 알루미늄의 확산이 실질적으로 방지된다. 구리로 알루미늄의 과도한 확산으로 인해 인터커넥트 저항성이 원치 않게 증가되기 때문에, 그리고 구리 위에 얇은 부동성 캡(가령, Al-O 또는 Al-N 결합)의 형성이 절연막에 대한 부착력을 개선하기 때문에, 일부 실시예에서, 알루미늄 확산을 최소화하거나 완전히 회피하는 것이 바람직하다 Process 307 provides two post-processing options. In the first embodiment, only the upper portion of the aluminum-containing layer present on the copper is changed to form the passivation layer, where the portion of the unaltered layer remains in contact with the layer of copper, and in step 309 , And aluminum from unchanged portions diffuses into copper. In an alternative embodiment, all of the aluminum-bearing layer present on the copper is changed to form a free-flowing compound, thereby substantially preventing the diffusion of aluminum into the copper wiring. As the interconnect resistance is undesirably increased due to excessive diffusion of aluminum to copper and the formation of a thin, immovable cap (such as an Al-O or Al-N bond) over the copper improves the adhesion to the insulating film, , It is desirable to minimize or completely avoid aluminum diffusion

도 3a와 관련해 기술되었던 바와 같이, 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 및 상승된 온도 또는 실온에서의 열(플라스마-프리) 처리를 포함한 다양한 후-처리가 이용될 수 있다. As described in connection with Figure 3a, a variety of post-treatments can be used, including direct plasma treatment, remote plasma treatment, UV treatment, and thermal (plasma-free) treatment at elevated temperature or room temperature.

일 실시예에서, (실온 또는 상승된 온도에서) 플라스마-프리 산화 처리를 이용하여 구리 표면 위에 Al-O 결합을 갖는 층을 형성할 수 있다. 예를 들어, (유기알루미늄 반응물 처리 후에) 플라스마의 부재 하에서 알루미늄-함유 층을 갖는 기판이 산소-함유 반응물(가령, O2, O3, N2O, H2O 또는 CO2)과 접촉하여 부동성 Al-O 함유 물질을 형성할 수 있다. In one embodiment, a plasma-free oxidation process (at room or elevated temperature) can be used to form a layer having Al-O bonds on the copper surface. For example, a substrate having an aluminum-containing layer in contact with an oxygen-containing reactant (e.g., O 2 , O 3 , N 2 O, H 2 O or CO 2 ) in the absence of a plasma A floating Al-O-containing material can be formed.

또 다른 실시예에서, (실온 또는 상승된 온도에서) 플라스마-프리 질화 처리를 이용하여 구리 표면 위에 Al-N 결합을 갖는 층을 형성할 수 있다. 예를 들어, (유기알루미늄 반응물 처리 후에) 플라스마의 부재 하에서 알루미늄-함유 층이 산소-함유 반응물(가령, 암모니아)와 접촉할 수 있다. In yet another embodiment, a plasma-free nitridation process (at room temperature or elevated temperature) may be used to form a layer having Al-N bonds on the copper surface. For example, in the absence of a plasma (after treatment of the organoaluminum reactant), the aluminum-containing layer may contact the oxygen-containing reactant (e.g., ammonia).

(UV 및 열 처리를 포함한) 플라스마-프리 후-처리는, 기판이 기계적으로 약한 ULK 절연막을 포함할 때 특히 선호되며, 이로 인해 절연막 손상을 최소화할 수 있다.Plasma-free post-treatment (including UV and heat treatment) is particularly preferred when the substrate comprises a mechanically weak ULK dielectric film, which can minimize dielectric film damage.

후-처리 공정이 완료된 후, 공정(311 및 313)에서, 도 3a와 관련해 기술된 바와 같이 절연막 확산 장벽 층 증착 및 층간 절연막 증착이 수행된다. After the post-treatment process is completed, in processes 311 and 313, an insulation film diffusion barrier layer deposition and an interlayer insulation film deposition are performed as described in connection with FIG. 3A.

위에 기술된 방법들은, 인터커넥트에, 조절 가능한 저항성과 개선된 전자이동 특성을 제공할 수 있다. 이러한 방법들에 의해 형성된 보호 캡핑 층의 두께는 약 10Å 내지 10,000Å 범위를 가질 수 있다. 상기 방법들이 약 10 내지 100Å의 범위, 특히 10 내지 60Å 범위의 캡핑 층의 두께에 대한 조절 가능성을 제공하는 점이 특히 유리하다. 약 10 내지 60Å 두께 범위의 캡핑 필름은, 인터커넥트에, 1% 이하 및 3% 이하의 특히 작은 저항성 시프트를 제공하며, 이러한 작은 저항성 시프트가 현재 IC 산업에서 요구되는 것이다.The methods described above can provide adjustable resistivity and improved electron transfer properties to the interconnect. The thickness of the protective capping layer formed by these methods can range from about 10 A to about 10,000 A. It is particularly advantageous that the methods provide controllability over the thickness of the capping layer in the range of about 10 to 100 angstroms, in particular in the range of 10 to 60 angstroms. Capping films ranging in thickness from about 10 to 60 angstroms provide an especially small resistive shift of less than 1% and less than 3% in the interconnect, and such small resistive shifts are presently required in the IC industry.

장치Device

일반적으로, 임의의 유형의 장치에서 보호 캡 형성이 수행될 수 있는데, 이러한 임의의 유형의 장치는 휘발성 전구체의 주입을 가능하게 하고 반응 조건(가령, 챔버 온도, 전구체 유량, 노출 시간 등)에 대한 조절 가능성을 제공하도록 구성된다. 부주의에 의한 기판의 산화와 오염을 방지하기 위하여, 기판을 대기 환경에 노출시키지 않고 공정(301 내지 311)을 수행하는 것이 종종 선호된다. 일 실시예에서, 공정(301 내지 311)은 진공 상태를 해소하지 않고 하나의 모듈에서 연속하여 수행된다. 일부 실시예에서, 공정(301 내지 311)은 하나의 챔버 내에 다수의 스테이션을 갖거나 다수의 챔버를 갖는 하나의 CVD(바람직하게는 PECVD) 장치에서 수행된다. 캘리포니아, 산 호세의 노벨러스 시스템즈로부터 구입 가능한 VECTORTM PECVD 장치가 적합한 장치의 예시이다. Generally, protective cap formation can be performed in any type of apparatus, which allows for the injection of volatile precursors, and can be used to control the reaction conditions (e.g., chamber temperature, precursor flow rate, exposure time, etc.) ≪ / RTI > In order to prevent oxidation and contamination of the substrate due to carelessness, it is often preferable to perform the steps 301 to 311 without exposing the substrate to the atmospheric environment. In one embodiment, steps 301 to 311 are performed successively in one module without releasing the vacuum state. In some embodiments, steps 301-311 are performed in a single CVD (preferably PECVD) apparatus having multiple stations in one chamber or multiple chambers. A VECTOR TM PECVD device available from Novellus Systems, San Jose, CA is an example of a suitable device.

예시적 장치는 하나 이상의 웨이퍼를 하우징하고 웨이퍼 처리에 적합한 하나 이상의 챔버 또는 "반응기"를 포함할 것이다. 각각의 챔버가 처리를 위한 하나 이상의 웨이퍼를 하우징할 수 있다. 하나 이상의 챔버가 웨이퍼를 지정 위치에 유지시킨다(상기 위치에서 가령 회전, 진동, 또는 교반(agitation)과 같은 움직임이 있거나 없을 수 있다). 일 실시예에서, 소스 층과 에칭 저지층 증착을 겪는 웨이퍼가 공정 동안 반응기 내의 하나의 스테이션에서 또 다른 스테이션으로 운반된다. 공정 중에, 지지부, 웨이퍼 척, 및/또는 그 밖의 웨이퍼 고정 장치에 의해 각각의 웨이퍼가 제 위치에 고정된다. 웨이퍼가 가열되는 특정한 공정들에 있어서, 장치가 히팅 플레이트(heating plate)와 같은 히터를 포함할 수 있다. 본 발명의 바람직한 실시예에서, PECVD 시스템이 이용될 수 있다. 좀 더 바람직한 실시예에서, PECVD 시스템이 LF RF 전원을 포함한다. An exemplary apparatus will include one or more chambers or "reactors" housing one or more wafers and suitable for wafer processing. Each chamber can house one or more wafers for processing. One or more chambers hold the wafer in the designated position (with or without movement, such as rotation, vibration, or agitation, at that location). In one embodiment, the wafer undergoing the source layer and etch stop layer deposition is transported from one station to another station in the reactor during the process. During the process, each wafer is held in place by a support, wafer chuck, and / or other wafer holding device. In certain processes in which the wafer is heated, the apparatus may include a heater, such as a heating plate. In a preferred embodiment of the present invention, a PECVD system may be used. In a more preferred embodiment, the PECVD system comprises an LF RF power supply.

도 5는 본 발명을 구현하기 위해 배열된 다양한 반응기 컴포넌트를 도시하는 간단한 블록도이다. 도시된 바와 같이, 반응기(500)가 공정 챔버(524)를 포함하고, 상기 공정 챔버는, 반응기의 그 밖의 다른 컴포넌트들을 둘러싸고, 지면에 놓인 히터 블록(520)과 함께 작동하는 샤워헤드(514)를 포함하는 커패시터 유형 시스템에 의해 생성되는 플라스마를 보유하는 기능을 한다. 고주파 RF 발생기(502)와 저주파 RF 발생기(504)가 정합 네트워크(506)에 연결되고, 차례로 상기 정합 네트워크가 샤워헤드(514)에 연결된다.5 is a simplified block diagram illustrating various reactor components arranged to implement the present invention. As shown, the reactor 500 includes a process chamber 524 that surrounds the other components of the reactor and includes a showerhead 514 that cooperates with a heater block 520 on the ground, Lt; RTI ID = 0.0 > a < / RTI > A high frequency RF generator 502 and a low frequency RF generator 504 are connected to a matching network 506 and in turn the matching network is connected to a showerhead 514.

반응기 내에서, 웨이퍼 지지부(518)가 기판(516)을 지지한다. 상기 지지부는 통상적으로 척(chuck), 포크(fork), 또는 리프트 핀을 포함하여 증착 반응 동안 또는 증착 반응들 사이에서 기판을 고정 및 운반한다. 척은 정전 척(electrostatic chuck), 기계 척(mechanical chuck), 또는 산업 및/또는 연구에서 이용 가능한 그 밖의 다른 유형의 척일 수 있다. Within the reactor, the wafer support 518 supports the substrate 516. The support typically includes a chuck, a fork, or a lift pin to fix and transport the substrate during deposition reactions or during deposition reactions. The chuck can be an electrostatic chuck, a mechanical chuck, or any other type of chuck available in industry and / or research.

주입구(512)를 통해 공정 가스들이 주입된다. 다수의 소스 가스 라인(510)이 다기관(manifold)(508)에 연결된다. 가스들은 미리 혼합될 수도 있고 아닐 수도 있다. 사전-세척 동안, 소스 층의 형성 동안, 패시베이션 층의 형성 동안, 그리고 공정의 도핑 과정 동안 정확한 가스를 보장하기 위하여 적절한 밸브 및 유량 조절 수단이 이용된다. 화학 전구체가 액체 형태로 운송되는 경우에서, 액체 흐름 제어 수단이 이용된다. 그 후, 상기 액체는, 증착 챔버에 도달하기 전 상기 액체의 기화점 이상으로 가열된 다기관 안에서 액체의 운송 중 기화되고 그 밖의 다른 공정 가스들과 혼합된다. Process gases are injected through inlet 512. A plurality of source gas lines 510 are connected to the manifold 508. The gases may or may not be premixed. Appropriate valve and flow control means are used during pre-cleaning, during formation of the source layer, during formation of the passivation layer, and to ensure correct gas during the doping process of the process. In the case where the chemical precursor is transported in liquid form, liquid flow control means is used. The liquid is then vaporized during transport of the liquid and mixed with other process gases in a manifold heated above the vaporization point of the liquid prior to reaching the deposition chamber.

공정 가스들이 주입구(522)를 통해 챔버(500)를 빠져나간다. 진공 펌프(526)(가령, 하나 또는 두 개 스테이지의 기계적 건식 펌프 및/또는 터보분자 펌프(turbomolecular pump))가 통상적으로 공정 가스를 배기하고, 스로틀 밸브 또는 진자 밸브와 같은 흐름 제어 장치에 의해 조절되는 폐쇄 루프에 의해 반응기 내부를 적절하게 저압으로 유지시킨다. The process gases exit chamber 500 through inlet 522. A vacuum pump 526 (e.g., one or two stage mechanical dry pump and / or turbomolecular pump) typically evacuates the process gas and is controlled by a flow control device such as a throttle or pendulum valve Thereby maintaining the inside of the reactor at a proper low pressure.

실시예들 중 하나에서, 멀티-스테이션 장치를 이용하여 캡핑 층과 확산 장벽을 형성할 수 있다. 멀티-스테이션 반응기는 하나의 챔버 환경에서 서로 다른 공정들을 동시에 구동할 수 있도록 함으로써 웨이퍼 처리의 효율성을 증가시킬 수 있다. 이러한 장치의 일 예시가 도 6에 도시된다. 평면도의 도식적 표현이 나타나 있다. 장치 챔버(601)가 네 개의 스테이션(603 내지 609)과 두 개의 로드락(loadlock), 입구 로드락(619)과 출구 로드락(617)을 포함한다. 그 밖의 다른 실시예에서, 웨에퍼의 입구와 출구 모두에 있어서 단일 로드락이 이용될 수 있다. 일반적으로, 멀티-스테이션 장치의 단일 챔버 내에 임의의 개수의 스테이션이 가능하다. 기판 웨이퍼를 로드 및 언로드하는데 스테이션(603)이 이용된다. 스테이션(603 내지 609)은 동일하거나 서로 다른 기능들을 가질 수 있다. 예를 들어, 스테이션들 중 일부가 캡핑 층의 형성에 전용으로 이용될 수 있고, 그 밖의 다른 스테이션들이 절연막 확산 장벽 필름을 증착하는데 이용될 수 있다. 더욱이, 일부 스테이션은 구리 산화물 환원에 전용으로 이용될 수 있다.In one of the embodiments, a multi-station device may be used to form the capping layer and the diffusion barrier. The multi-station reactor can increase the efficiency of wafer processing by allowing different processes to be driven simultaneously in one chamber environment. One example of such a device is shown in Fig. A schematic representation of the plan view is shown. The apparatus chamber 601 includes four stations 603 to 609 and two load locks, an inlet load lock 619 and an outlet load lock 617. In other embodiments, a single load lock may be used for both the inlet and the outlet of the wiper. Generally, any number of stations are possible within a single chamber of a multi-station device. Station 603 is used to load and unload substrate wafers. The stations 603 to 609 may have the same or different functions. For example, some of the stations may be used exclusively to form the capping layer, and other stations may be used to deposit the insulating film diffusion barrier film. Moreover, some stations may be used exclusively for copper oxide reduction.

실시예들 중 하나에서, 개개의 스테이션이 별개의 공정 조건 하에서 동작할 수 있고, 서로 실질적으로 고립될 수 있다. 예를 들어, 하나의 스테이션이 하나의 온도 체제 하에서 동작할 수 있고 또 다른 챔버가 이와는 다른 온도 체제 하에서 동작할 수 있다. In one of the embodiments, the individual stations may operate under separate process conditions and may be substantially isolated from each other. For example, one station may operate under one temperature regime and another chamber may operate under a different temperature regime.

일 실시예에서, 사전-세척 공정, 소스 층의 증착, 및 패시베이션 층의 형성이 하나의 바람직한 온도 체제에서 수행되고, 멀티-스테이션 장치의 하나의 스테이션에서 실행된다. 일부 실시예에서 절연막 확산 장벽의 증착은 서로 다른 온도 체제를 요구할 수 있고 서로 다른 스테이션에서 실행될 수 있다. 일부 실시예에서, 사전-처리, 소스 층의 형성, 패시베이션, 및 도펀트-함유 캡의 형성을 포함한 전체 캡핑 공정이 단일 스테이션 또는 멀티-스테이션 장치의 하나의 스테이션에서 수행된다. 일부 실시예에서, 절연막 확산 장벽의 증착, 캡핑 공정과 동일한 스테이션에서 수행될 수도 있다. 일부 경우에서, 입구 로드락(619)을 이용하여 웨이퍼를 사전-세척 또는 사전-처리할 수 있다. 이는, 예를 들어 화학적 환원에 의한 산화물 제거를 수반할 수 있다. In one embodiment, the pre-cleaning process, the deposition of the source layer, and the formation of the passivation layer are performed in one preferred temperature regime and are performed in one station of the multi-station device. In some embodiments, deposition of the insulating film diffusion barrier may require different temperature regimes and may be performed at different stations. In some embodiments, the entire capping process, including pre-processing, formation of the source layer, passivation, and formation of the dopant-containing cap, is performed in a single station or in one station of the multi-station device. In some embodiments, the deposition of the insulating film diffusion barrier may be performed in the same station as the capping process. In some cases, the inlet load lock 619 may be used to pre-clean or pre-treat the wafer. This may involve, for example, oxide removal by chemical reduction.

일 예시에서, 스테이션(603)이 사전-세척과, (전구체 층과 패시베이션 층으로부터) 캡핑 층의 형성에 전용으로 이용될 수 있다. 스테이션(603)이 약 200 내지 400℃의 온도 범위에서 동작할 수 있고, 상기 온도 범위가 캡핑 공정과 사전-세척 공정 모두에 대한 일부 실시예에서 선호된다. 스테이션(605, 607 및 609)에서 실리콘 탄화물과 같은 절연막 확산 장벽 물질의 증착이 약 350 내지 400℃에서 수행될 수 있고, 이러한 온도 범위가 일부 실리콘 탄화물 증착 공정에 따른 바람직한 공정 온도이다. In one example, the station 603 may be used exclusively for pre-cleaning and for forming the capping layer (from the precursor layer and the passivation layer). The station 603 may operate in a temperature range of about 200 to 400 degrees Celsius and this temperature range is preferred in some embodiments for both the capping and pre-cleaning processes. Deposition of insulating barrier barrier material such as silicon carbide at the stations 605, 607 and 609 can be performed at about 350 to 400 캜, which is the preferred process temperature for some silicon carbide deposition processes.

유리하게, 사전-세척, 소스 층의 증착, 패시베이션, 및 도펀트의 주입이 일부 실시예에서 유사한 조건을 필요로 할 수 있고, 하나의 스테이션(603)에서 수행될 수 있다. Advantageously, pre-cleaning, deposition of the source layer, passivation, and implantation of the dopant may require similar conditions in some embodiments and may be performed in one station 603.

위에서 기술된 실시예에 따라, 스테이션(603)이 사전-세척 스테이션 및 보호 캡 형성 스테이션이다. 스테이션(605, 607 및 609) 모두가 절연막 확산 장벽층의 증착을 위해 동작할 수 있다. 인덱스 판(611)을 이용하여 지지부로부터 기판을 들어올려 그 다음 처리 스테이션에서 상기 기판을 정확하게 위치시킬 수 있다. 웨이퍼 기판이 스테이션(603)에 로드되어 그곳에서 임의의 처리(가령, 전구체 층 증착과 패시베이션을 포함한 사전-세척 및 캡핑)를 받은 이후, 상기 웨이퍼 기판이 스테이션(605)으로 안내되어 (소스 층 증착과 패시베이션을 포함한) 캡핑 및/또는 절연막 증착이 수행된다. 그 후, 상기 웨이퍼가 스테이션(607)으로 운반되어 확산 장벽 절연막의 증착이 시작되거나 계속된다. 더 나아가 상기 기판이 스테이션(609)으로 안내되어 장벽 절연막의 추가 증착이 수행되고, 그 후 상기 기판이 스테이션(603)으로 안내되어, 상기 기판이 언로드되고 모듈이 새로운 웨이퍼로 변경된다. 정상 동작 동안, 별개의 기판이 각각의 스테이션을 차지하고, 공정이 반복되는 각각의 시간에 기판이 새로운 스테이션으로 운반된다. 따라서, 네 개의 스테이션(603, 605, 607, 및 609)을 갖는 장치가 네 개 웨이퍼의 동시 처리가 가능하게 하며, 여기서 하나 이상의 스테이션이 그 밖의 다른 스테이션에서 수행되는 공정들과 다른 공정을 수행한다. 대안적으로, 특정 스테이션을 특정한 층의 증착에 전용하여 이용하지 않고 네 개의 웨이퍼가 네 개 스테이션 모두에서 동일한 공정의 대상이 될 수 있다. According to the embodiment described above, the station 603 is a pre-clean station and a protective cap forming station. Both stations 605, 607 and 609 may operate for deposition of an insulating film diffusion barrier layer. The index plate 611 can be used to lift the substrate from the support and then accurately position the substrate at the processing station. After the wafer substrate is loaded into the station 603 and there is subjected to any processing (e.g., pre-cleaning and capping, including precursor layer deposition and passivation), the wafer substrate is guided to the station 605 And passivation) and / or insulation film deposition are performed. Thereafter, the wafer is transferred to the station 607 to start or continue deposition of the diffusion barrier insulating film. Further, the substrate is guided to the station 609 to perform additional deposition of the barrier insulating film, and then the substrate is guided to the station 603, the substrate is unloaded and the module is changed to a new wafer. During normal operation, a separate substrate occupies each station and the substrate is transported to the new station each time the process is repeated. Thus, an apparatus with four stations 603, 605, 607, and 609 enables simultaneous processing of four wafers, where one or more stations perform processes different from those performed at other stations . Alternatively, four wafers may be subjected to the same process at all four stations without dedicated use of a particular station for deposition of a particular layer.

스테이션-대-스테이션의 몇몇 구체적 예시가 지금부터 제공될 것이다. 제 1 예시에서, 입구 로드락이 사전-처리(가령, 구리 산화물의 환원)를 수행한다. 그 후, 장치의 제 1 스테이션(가령, 스테이션(603) 또는 연속하여 배열된 복수의 제 1 스테이션)이 (예를 들어, TMA와 같은 전구체에 노출시킴으로써) 캡핑 층을 형성한다. 그 후, 제 2 스테이션(가령, 도 6의 스테이션)이 패시베이션과 같은 후-처리(가령, 본원에 기술된 바와 같이 질소, 암모니마, 및/또는 수소에의 노출)를 수행한다. 그 후, 장치 내의 나머지 스테이션들(가령, 스테이션(607 및 609))에서 확산 장벽 형성을 수행한다. Some specific examples of station-to-station will now be provided. In a first example, the inlet load lock performs pre-treatment (e. G., Reduction of copper oxide). A first station (e.g., station 603 or a plurality of consecutively arranged first stations) of the apparatus then forms a capping layer (e.g., by exposing it to a precursor such as TMA). The second station (e.g., the station of FIG. 6) then performs post-processing such as passivation (e.g., exposure to nitrogen, ammonia, and / or hydrogen as described herein). The remaining stations in the device (e.g., stations 607 and 609) then perform diffusion barrier formation.

또 다른 예시에서, 제 1 스테이션(가령, 스테이션(603))이 사전 처리를 수행하고, 제 2 스테이션(가령, 스테이션(605) 또는 일련의 연속적 스테이션들)이 캡핑 층 형성과 후-처리(가령, 패시베이션) 둘 모두를 수행하며, 나머지 스테이션들이 절연막 확산 장벽 층 증착을 수행한다. 또 다른 예시에서, 제 1 스테이션이 사전-처리, 캡핑 층 증착, 및 후-처리를 수행한다. 나머지 스테이션들이 확산 장벽 형성을 수행한다. In another example, a first station (e.g., station 603) performs preprocessing and a second station (e.g., station 605 or a series of consecutive stations) performs capping layer formation and post- , Passivation) and the remaining stations perform the insulation film diffusion barrier layer deposition. In yet another example, the first station performs pre-processing, capping layer deposition, and post-processing. The remaining stations perform diffusion barrier formation.

공정 조건과 공정 흐름 자체가 조절 유닛(613)에 의해 조절될 수 있고, 상기 조절 유닛은 특정 공정 변수(가령, HF 및 LF 전력, 가스 유량과 시간, 온도, 압력, 등)를 모니터링, 유지, 및/또는 조절하기 위한 프로그램 명령어들을 포함한다. 예를 들어, 소스 층 증착과 패시베이션을 위한 보란 및 암모니아의 유량을 특정하는 명령어들이 포함될 수 있다. 명령어는, 위에 기술된 방법들에 따라, 공정들을 수행하기 위한 파라미터들 전부를 특정할 수 있다. 예를 들어, 명령어가, 사전-세척, 소스 층 증착, 패시베이션 층의 형성, 구리 배선으로의 도펀트 주입, 및 절연막 확산 장벽 증착을 위한 파라미터들을 포함할 수 있다. 컨트롤러가 서로 다른 장치 스테이션에 대하여 서로 다르거나 동일한 명령어를 포함할 수 있고, 이로 인해 상기 장치 스테이션이 독립적으로 또는 동기적으로 동작할 수 있다. The process conditions and the process flow itself can be controlled by the control unit 613 and the control unit can monitor, maintain, and control specific process variables (e.g., HF and LF power, gas flow rate and time, temperature, pressure, And / or < / RTI > For example, instructions may be included that specify the source layer deposition and the flow rate of borane and ammonia for passivation. The instructions may specify all of the parameters for performing the processes in accordance with the methods described above. For example, the instructions may include parameters for pre-cleaning, source layer deposition, formation of a passivation layer, dopant implantation into copper interconnects, and dielectric barrier diffusion barrier deposition. The controller may contain different or the same instructions for different device stations, whereby the device stations may operate independently or synchronously.

멀티-스테이션 장치의 또 다른 예시가 도 7에 도시된다. 멀티-스테이션 장치(701)가, 세 개의 별개의 공정 챔버(717, 719, 및 721)에 존재하는 여섯 개의 스테이션(703, 705, 707, 709, 711, 및 713)과 각각의 챔버에 존재하는 두 개의 스테이션을 포함한다. 챔버(717, 719, 및 721)에 인접한 로봇-포함 챔버(715)가 웨이퍼를 스테이션에 로드 및 언로드하기 위한 수단을 제공한다. 컨트롤러(723)가 멀티-스테이션 장치(701)의 동작을 위한 명령어들을 제공한다. 하나의 챔버 내의 개개의 스테이션이 서로 고립되고, 동일하거나 서로 다른 공정들을 수행할 수 있다. 일 실시예에서, 두 개의 웨이퍼가 하나의 챔버(721)에 존재하는 스테이션(703 및 705)으로 동시에 운반되어, 사전-세척, 소스 층 증착, 패시베이션 층 형성, 및 구리 도핑을 포함한 동일한 공정들을 동시에 경험한다. 이러한 공정이 완료된 후, 상기 두 개의 웨이퍼가 챔버(721)에서 제거되고, 챔버(709)에 존재하는 스테이션(707 및 709)으로 동시에 삽입된다. 이러한 챔버에서, 확산 장벽 물질층이 동시에 증착된다. 그 후, 웨이퍼가 챔버(719)에서 제거되어, 챔버(717)에 존재하는 스테이션(711 및 713)으로 삽입되어 추가적 처리가 뒤따른다. 일부 실시예에서, 보호 캡핑 층의 형성이 멀티-챔버 장치에서 수행될 수 있고, 서로 다른 서브-공정(가령, 소스 층 증착, 패시베이션, 도펀트 확산)이 서로 다른 챔버에서 수행된다. Another example of a multi-station device is shown in Fig. The multi-station device 701 includes six stations 703, 705, 707, 709, 711, and 713 residing in three separate process chambers 717, 719, and 721, It includes two stations. A robot-containing chamber 715 adjacent to the chambers 717, 719, and 721 provides a means for loading and unloading wafers into the station. A controller 723 provides instructions for operation of the multi-station device 701. The individual stations in one chamber can be isolated from each other and perform the same or different processes. In one embodiment, two wafers are simultaneously transported to stations 703 and 705, which are in one chamber 721, so that the same processes, including pre-cleaning, source layer deposition, passivation layer formation, and copper doping, Experience. After this process is completed, the two wafers are removed from the chamber 721 and simultaneously inserted into the stations 707 and 709 present in the chamber 709. In such a chamber, a layer of diffusion barrier material is deposited at the same time. Thereafter, the wafer is removed from the chamber 719 and inserted into the stations 711 and 713 present in the chamber 717, followed by further processing. In some embodiments, the formation of a protective capping layer can be performed in a multi-chamber device, and different sub-processes (e.g., source layer deposition, passivation, dopant diffusion) are performed in different chambers.

도 6 및 도 7에 도시된 것과 같은 멀티-스테이션 도구에서 캡핑 공정이 구현될 수 있는 다수의 방법이 존재한다. 일반적으로, 기술된 공정은 실질적인 소스-소비 기판 처리를 필요로 하지 않는 다마신 흐름으로 쉽게 통합되고, 절연막 확산 장벽 증착과 동일한 장치에서 수행될 수 있다. 더욱이, 조절 가능한 도펀트의 방출에 의한 저항성 조절이 특히 유리하다. 기술된 방법은 또한, 구리와 절연막 확산 장벽 사이의 개선된 부착력을 갖는 인터커넥트를 형성하는데에도 유용하다. There are a number of ways in which a capping process can be implemented in a multi-station tool such as that shown in Figures 6 and 7. In general, the processes described are easily integrated into a damascene flow that does not require substantial source-consuming substrate processing, and can be performed in the same device as the insulating film diffusion barrier deposition. Moreover, the resistivity control by the emission of the adjustable dopant is particularly advantageous. The described method is also useful for forming an interconnect with improved adhesion between copper and an insulating film diffusion barrier.

기술된 방법들의 몇몇 실시예가 구체적 예시로서 지금부터 기술될 것이다. Some embodiments of the described methods will now be described as a specific example.

실험예Experimental Example

붕소-도핑된 보호 캡을 갖는 구리 인터커넥트와 붕소 및 질소를 함유한 패시베이션 층의 제작이 실험예와 관련해 예시될 것이다. The fabrication of a copper interconnect with a boron-doped protective cap and a passivation layer containing boron and nitrogen will be illustrated in connection with the experimental example.

기술된 예시에서, 플라스마 사전-세척 동작에 의해 공정이 시작된다. 울트라 로우-k 절연막(k = 2.5; 5,000Å 두께)에서 구리 배선의 노출 패턴을 갖는 부분 제작된 반도체 소자가 CMP 공정 이후에 획득되었고, PECVD VECTORTM 장치의 공정 챔버에 배치되었다. 전체 캡핑 공정이 네 개-스테이션 장치 중 하나의 스테이션에서 수행되었다. 첫째, 기판을 350℃로 사전-가열하였고, 4,000sccm의 유량으로 H2를 공정 챔버 내에 주입하였다. H2는 4Torr의 압력에서 0초 내지 30초의 공정 시간 동안 흘러들어갔다. 30초의 공정 시간에서, HF RF 플라스마에 고도로 열을 가했고 45초의 공정 시간까지 1.23 W/cm2의 전력으로 유지하였다. 기판을 H2 플라스마를 이용해 사전-세척한 후, H2 흐름과 플라스마 전원을 껐고, B2H6를 공정 챔버 내에 주입하여 아르곤과 혼합하였다. 혼합물에서 B2H6의 농도가 약 5 부피%였고, 2400sccm 유량으로 주입되는 N2와 함께 상기 혼합물을 약 3600sccm의 유량으로 주입하였다. 이러한 가스들이 45초 내지 85초의 공정 시간 동안 흘러들어갔고, 상기 시간 동안 BHx를 함유한 소스 층이 기판 위에 증착되었다. 약 350℃의 온도 및 약 2.3Torr의 압력에서 증착을 수행했다. 구리의 상부에 증착되는 소스 층의 두께가 약 215Å으로 추정되고, 절연막의 상부에 증착되는 소스 층의 두께가 약 159Å으로 추정된다. 소스 BHx 층이 증착된 후, 보란 흐름을 중지하였고, 상기 층이 패시베이션되어 (BNH)x를 형성하였다. 패시베이션은 85초와 90초의 공정 시간 사이에 수행되었고, 유량 2800sccm의 N2와 함께 약 7000sccm 유량의 NH3 주입이 수반되었다. 0.80 W/cm2의 전력 레벨의 HF 컴포넌트와 0.37 W/cm2의 전력 레벨의 LF 컴포넌트를 갖는 플라스마에 고도의 열을 가했고, 90초에서 96초까지 유지하였다. 약 350℃의 온도와 약 2.3Torr의 압력에서 패시베이션을 수행하였다. 절연막 위에 존재하는 BHx 층의 전체 두께가 (BNH)x로 변화되었고, 구리 위에 존재하는 소스 층 두께의 약 25%가 (BNH)x로 변화되었다. (BNH)x 층은 나중에 FT IR 분광계를 이용해 분석하였다. 3430 cm-1N-H), 2560 cm-1B-H), and 1375 cm-1B-N)에서의 피크가 IR 스펙트럼에서 관측되었다. In the described example, the process is initiated by a plasma pre-clean operation. A partially fabricated semiconductor device with an exposed pattern of copper interconnects in an ultra low-k insulator (k = 2.5; 5,000 A thick) was obtained after the CMP process and a PECVD VECTOR TM Was placed in the process chamber of the device. The entire capping process was performed at one of the four-station devices. First, the substrate was pre-heated to 350 ° C. and H 2 was injected into the process chamber at a flow rate of 4,000 sccm. H 2 flowed at a pressure of 4 Torr for a process time of 0 seconds to 30 seconds. At a process time of 30 seconds, the HF RF plasma was subjected to high heat and maintained at a power of 1.23 W / cm < 2 > The substrate was washed with H 2 After pre-washing with plasma, H 2 flow and plasma power were turned off, B 2 H 6 was injected into the process chamber and mixed with argon. The mixture had a concentration of B 2 H 6 of about 5% by volume and was injected at a flow rate of about 3600 sccm with N 2 injected at a flow rate of 2400 sccm. These gases flowed for a process time of 45 seconds to 85 seconds, during which time a source layer containing BH x was deposited on the substrate. The deposition was performed at a temperature of about 350 DEG C and a pressure of about 2.3 Torr. The thickness of the source layer deposited on top of copper is estimated to be about 215 ANGSTROM and the thickness of the source layer deposited on top of the insulating layer is estimated to be about 159 ANGSTROM. Source BH x After the layer was deposited, the boron flow was stopped and the layer was passivated (BNH) to form x . The passivation was carried out between 85 seconds and 90 seconds process time of about 7000sccm flow rate with the N 2 flow rate of NH 3 2800sccm Infusion was accompanied. High heat was applied to the plasma with an HF component at a power level of 0.80 W / cm 2 and an LF component at a power level of 0.37 W / cm 2 and maintained for 90 to 96 seconds. Passivation was performed at a temperature of about 350 DEG C and a pressure of about 2.3 Torr. BH x on the insulating film The total thickness of the layer was changed to (BNH) x and about 25% of the thickness of the source layer present on the copper was changed to (BNH) x . (BNH) x layer was later analyzed using an FT IR spectrometer. Peaks at 3430 cm -1NH ), 2560 cm -1BH ), and 1375 cm -1BN ) were observed in the IR spectrum.

붕소가 구리 배선으로 확산되어 붕소-도핑된 구리 층을 형성하였다. 붕소 확산은 소스 층의 상부 부분이 질화(패시베이션)되기 전에 그리고 후에 발생할 수 있다. 구리 배선 내에 존재하는 붕소-도핑된 캡의 두께가 약 25 내지 75Å로 추정되었다. Boron diffused into the copper wiring to form a boron-doped copper layer. Boron diffusion may occur before and after the upper portion of the source layer is nitrided (passivated). The thickness of the boron-doped cap present in the copper wiring was estimated to be about 25 to 75 angstroms.

350℃의 온도에서 전체 캡핑 공정이 단일 스테이션에서 수행되었다. 그 이후에, 플라스마 상태의 공정 가스로서 테트라메틸실란, 암모니아, 및 질소를 이용하여 350℃에서 PECVD 장치의 세 개의 서로 다른 스테이션에서 SixCyNz 확산 장벽 층(약 500Å)이 기판 위에 증착되었다. 이러한 세 개의 스테이션 중 각각에서, 탄화물 층 두께의 3분의 1이 증착되었다. At a temperature of 350 캜, the entire capping process was performed in a single station. Thereafter, at three different stations of the PECVD apparatus at 350 DEG C using tetramethylsilane, ammonia, and nitrogen as process gases in the plasma state, Si x C y N z A diffusion barrier layer (about 500 ANGSTROM) was deposited on the substrate. In each of these three stations, one-third of the carbide layer thickness was deposited.

4접점 굽힘 부착력 시험법(four-point bending adhesion test)을 이용하여 붕소-함유 캡을 갖거나 갖지 않는 Cu(5,000Å)-SixCyNz(500Å) 샌드위치에 대한 부착 에너지를 측정하였다. B-도핑된 캡을 갖지 않는 종래의 샌드위치 구조에 대해 획득된 오직 15.3 J/m2의 부착 에너지와 비교할 때, 28.4 J/m2의 더 높은 부착 에너지가 전술된 방법에 의해 획득된 샌드위치 구조에서 관측되었다. 개선된 부착력이 통상적으로 개선된 전자이동 성능과 상관된다는 점이 알려져 있다. The adhesion energy for a Cu (5,000 Å) -Si x C y N z (500 Å) sandwich with or without a boron-containing cap was measured using a four-point bending adhesion test. The higher adhesion energy of 28.4 J / m < 2 >, compared to the bond energy of only 15.3 J / m 2 obtained for a conventional sandwich structure without a B-doped cap, Was observed. It is known that improved adhesion forces are typically correlated with improved electron mobility performance.

B-도핑된 보호 캡과 (BNH)x 패시베이션 층을 가진 구조에 대하여 누설 전류와 포화된 커패시턴스가 측정되었다. 이러한 파라미터는 기술된 캡핑 절차에 의해 실질적으로 영향을 받지 않음이 관찰되었다. Leakage current and saturated capacitance were measured for a structure with a B-doped protective cap and (BNH) x passivation layer. It has been observed that these parameters are substantially unaffected by the described capping procedure.

다양한 세부 사항이 명확성을 위해 생략되었으나, 다양한 설계상 대안예가 구현될 수 있다. 따라서, 본 예시들은 예시적인 것으로 고려되어야 하고 제한적인 것으로 고려되어서는 안되며, 본 발명은 본원에 제시된 세부 사항에 한정되지 않고 청구항의 범위 내에서 수정될 수 있다.While various details have been omitted for clarity, various design alternatives may be implemented. Accordingly, these examples are to be considered illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the claims.

Claims (23)

반도체 소자 구조물 형성 방법에 있어서, 상기 방법은,
(a) 구리 또는 구리 합금의 층과 절연막 둘 모두의 위에 알루미늄을 포함한 제 1 층을 형성하도록 350℃ 이상의 기판 온도에서, 산화물-프리(oxide-free) 구리 또는 구리 합금의 층의 노출 영역과 절연막의 노출 영역을 갖는 기판을 알루미늄을 포함한 화합물과 접촉시키는 단계;
(b) 알루미늄을 포함한 패시베이션 층을 형성하도록 상기 제 1 층의 일부분 또는 전부를 화학적으로 변화시키는 단계; 및
(c) 상기 패시베이션 층 위에 절연층을 증착하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
A method of forming a semiconductor device structure,
(a) exposing an exposed region of an oxide-free copper or copper alloy layer to an insulator film at a substrate temperature of 350 DEG C or higher to form a first layer comprising aluminum on top of both the copper or copper alloy layer and the insulator film, Contacting the substrate having an exposed area of the substrate with an aluminum-containing compound;
(b) chemically changing a portion or all of the first layer to form a passivation layer comprising aluminum; And
(c) depositing an insulating layer over the passivation layer.
제 1 항에 있어서,
구리 또는 구리 합금의 층의 표면으로부터 구리 산화물을 완전히 제거하도록 상기 (a) 단계 전에, 기판 표면을 세척하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the surface of the substrate is cleaned before the step (a) so as to completely remove the copper oxide from the surface of the layer of copper or copper alloy.
제 2 항에 있어서,
상기 세척은, N2, NH3, 및 H2 중 하나 이상을 포함하는 가스에서 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 및 열 처리로 구성된 그룹으로부터 선택되는, 반도체 소자 구조물 형성 방법.
3. The method of claim 2,
Wherein said cleaning is selected from the group consisting of direct plasma processing, far-field plasma processing, UV processing, and thermal processing in a gas comprising at least one of N 2 , NH 3 , and H 2 .
제 1 항에 있어서,
상기 (a) 단계는, 플라스마의 부재 하에서 상기 기판을 유기알루미늄(organoaluminum) 화합물과 접촉시키는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the step (a) comprises contacting the substrate with an organoaluminum compound in the absence of a plasma.
제 4 항에 있어서,
상기 (a) 단계는, 400℃ 이상의 기판 온도에서 상기 기판을 유기알루미늄 화합물과 접촉시키는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
5. The method of claim 4,
Wherein said step (a) comprises contacting said substrate with an organoaluminum compound at a substrate temperature of at least 400 < 0 > C.
제 4 항에 있어서,
유기알루미늄 화합물이 트리메틸알루미늄(trimethylaluminum)인, 반도체 소자 구조물 형성 방법.
5. The method of claim 4,
Wherein the organoaluminum compound is trimethylaluminum.
제 1 항에 있어서,
상기 (b) 단계는, 알루미늄이 상기 구리의 층으로 확산되지 않고, 상기 구리 또는 구리 합금의 층 위에 존재하는 상기 제 1 층을 완전히 패시베이션하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the step (b) comprises completely passivating the first layer present on the layer of copper or copper alloy without aluminum diffusing into the layer of copper.
제 1 항에 있어서,
상기 (b) 단계는, 알루미늄이 상기 구리의 층으로 부분적으로 확산되도록 하면서, 상기 구리 또는 구리 합금의 층 위에 존재하는 상기 제 1 층을 부분적으로 패시베이션하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the step (b) comprises partially passivating the first layer present on the layer of copper or copper alloy while allowing aluminum to diffuse partially into the layer of copper.
제 1 항에 있어서,
상기 (b) 단계에서 상기 패시베이션 층을 형성하는 단계는, Al-N 결합들을 포함하는 부동성(immobile) 화합물을 형성하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
The forming of the passivation layer in the step (b) includes forming an immobile compound including Al-N bonds.
제 9 항에 있어서,
상기 (b) 단계는, 질소-함유 제제를 이용해 상기 기판을 처리하는 단계를 포함하고, 여기서 상기 처리는 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 및 열 처리로 구성된 그룹으로부터 선택되는, 반도체 소자 구조물 형성 방법.
10. The method of claim 9,
Wherein said step (b) comprises treating said substrate with a nitrogen-containing agent, wherein said treatment is selected from the group consisting of direct plasma treatment, remote plasma treatment, UV treatment, Method of forming structures.
제 10 항에 있어서,
상기 (b) 단계는, 플라스마의 부재 하에서 질소-함유 제제를 이용해 상기 기판을 처리하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
11. The method of claim 10,
Wherein the step (b) comprises treating the substrate with a nitrogen-containing agent in the absence of plasma.
제 11 항에 있어서,
상기 절연막은 ULK 절연막인, 반도체 소자 구조물 형성 방법.
12. The method of claim 11,
Wherein the insulating film is an ULK insulating film.
제 1 항에 있어서,
상기 단계 (b)에서 상기 패시베이션 층을 형성하는 단계는, Al-O 결합들을 포함하는 부동성(immobile) 화합물을 형성하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein forming the passivation layer in step (b) comprises forming an immobile compound comprising Al-O bonds.
제 13 항에 있어서,
상기 (b) 단계는, 산소-함유 제제를 이용해 상기 기판을 처리하는 단계를 포함하며, 여기서 상기 처리는 직접 플라스마 처리, 원거리 플라스마 처리, UV 처리, 및 열 처리로 구성된 그룹으로부터 선택되는, 반도체 소자 구조물 형성 방법.
14. The method of claim 13,
Wherein said step (b) comprises treating said substrate with an oxygen-containing agent, wherein said treatment is selected from the group consisting of direct plasma treatment, far-field plasma treatment, UV treatment, Method of forming structures.
제 13 항에 있어서,
상기 (b) 단계는, 플라스마의 부재 하에서 상기 기판을 산소-함유 제제와 접촉시키는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
14. The method of claim 13,
Wherein the step (b) comprises contacting the substrate with an oxygen-containing agent in the absence of plasma.
제 15 항에 있어서,
상기 절연막은 ULK 절연막인, 반도체 소자 구조물 형성 방법.
16. The method of claim 15,
Wherein the insulating film is an ULK insulating film.
제 13 항에 있어서,
상기 (b) 단계는, O2, N2O, CO2, 및 O3로 구성된 그룹으로부터 선택된 산소-함유 제제를 이용하여 상기 기판을 처리하는 단계를 포함하는, 반도체 소자 구조물 형성 방법.
14. The method of claim 13,
Wherein the step (b) comprises treating the substrate with an oxygen-containing agent selected from the group consisting of O 2 , N 2 O, CO 2 , and O 3 .
제 1 항에 있어서,
상기 (a), (b), 및 (c) 단계는 화학적 기상 증착(CVD) 장치에서 수행되는, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the steps (a), (b), and (c) are performed in a chemical vapor deposition (CVD) apparatus.
제 1 항에 있어서,
상기 (c) 단계에서 증착된 상기 절연층은 에칭 저지 절연층인, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the insulating layer deposited in step (c) is an etch stop insulating layer.
제 19 항에 있어서,
상기 에칭 저지 절연층은 실리콘 질화물 및 실리콘 탄화물로 구성된 그룹으로부터 선택된, 도핑되거나 도핑되지 않은 물질을 포함하는, 반도체 소자 구조물 형성 방법.
20. The method of claim 19,
Wherein the etch stop insulating layer comprises a doped or undoped material selected from the group consisting of silicon nitride and silicon carbide.
제 1 항에 있어서,
상기 (c) 단계에서 증착된 상기 절연층은, 상기 패시베이션 층 위에 바로 증착되는 층간 절연막(ILD)층인, 반도체 소자 구조물 형성 방법.
The method according to claim 1,
Wherein the insulating layer deposited in step (c) is an interlayer dielectric (ILD) layer deposited directly on the passivation layer.
반도체 소자 구조물 형성 장치에 있어서, 상기 장치는,
(a) 가스 또는 휘발성 금속-함유 반응물들의 주입을 위한 주입구를 갖는 공정 챔버;
(b) 공정 챔버에서 웨이퍼 기판 위에 금속-함유 층을 증착하는 동안 상기 웨이퍼를 제 위치에 고정하기 위한 웨이퍼 지지부; 그리고
(c) 컨트롤러를 포함하고,
상기 컨트롤러는,
(ⅰ) 노출된 구리 또는 구리 합금으로부터 산화막을 제거하도록, 노출된 구리 또는 구리 합금과 노출된 절연막을 갖는 기판을 처리하기 위한 프로그램 명령어;
(ⅱ) 절연막과 상기 구리 또는 구리 합금 둘 모두의 위에 알루미늄을 포함하는 제 1 층을 형성하도록 350℃ 이상의 기판 온도에서, 산화물-프리(oxide-free) 구리 또는 구리 합금의 노출 영역과 절연막의 노출 영역을 갖는 기판을 알루미늄-함유 반응물과 접촉시키기 위한 프로그램 명령어; 및
(ⅲ) 알루미늄을 포함하는 패시베이션 층을 형성하도록 상기 제 1 층의 일부분 또는 전부를 화학적으로 변화시키기 위한 프로그램 명령어를 포함하는, 반도체 소자 구조물 형성 장치.
An apparatus for forming a semiconductor device structure,
(a) a process chamber having an inlet for the injection of gases or volatile metal-containing reactants;
(b) a wafer support for securing the wafer in place while depositing a metal-containing layer on the wafer substrate in the process chamber; And
(c) a controller,
The controller comprising:
(I) program instructions for processing a substrate having an exposed insulating film with exposed copper or copper alloy to remove the oxide film from the exposed copper or copper alloy;
(Ii) exposing the exposed areas of the oxide-free copper or copper alloy and the insulating film at a substrate temperature of 350 占 폚 or higher to form a first layer comprising aluminum on top of both the insulating film and the copper or copper alloy; Program instructions for contacting a substrate having a region with an aluminum-containing reactant; And
(Iii) a program instruction for chemically changing a portion or all of the first layer to form a passivation layer comprising aluminum.
제 22 항에 있어서,
상기 컨트롤러 프로그램 명령어 (ⅱ) 는, 플라스마의 부재 하에서 알루미늄-함유 반응물과 상기 기판을 접촉시키는 공정을 구현하는, 반도체 소자 구조물 형성 장치.
23. The method of claim 22,
Wherein the controller program instruction (ii) implements a process for contacting the substrate with an aluminum-containing reactant in the absence of plasma.
KR1020110004334A 2010-01-15 2011-01-17 Interfacial layers for electromigration resistance improvement in damascene interconnects KR101742825B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/688,154 2010-01-15
US12/688,154 US8268722B2 (en) 2009-06-03 2010-01-15 Interfacial capping layers for interconnects
US12/689,803 2010-01-19
US12/689,803 US7858510B1 (en) 2008-02-28 2010-01-19 Interfacial layers for electromigration resistance improvement in damascene interconnects

Publications (2)

Publication Number Publication Date
KR20110084130A KR20110084130A (en) 2011-07-21
KR101742825B1 true KR101742825B1 (en) 2017-06-01

Family

ID=44268066

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110004334A KR101742825B1 (en) 2010-01-15 2011-01-17 Interfacial layers for electromigration resistance improvement in damascene interconnects

Country Status (4)

Country Link
JP (1) JP5773306B2 (en)
KR (1) KR101742825B1 (en)
CN (1) CN102130046B (en)
TW (2) TW201709418A (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
KR20190077619A (en) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 Metal and silicon containing capping layers for interconnects
CN104008995B (en) * 2013-02-22 2017-09-01 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof
KR102138400B1 (en) * 2013-03-05 2020-07-27 엔테그리스, 아이엔씨. Ion implantation compositions, systems, and methods
US20150030771A1 (en) * 2013-07-24 2015-01-29 Applied Materials, Inc. Cobalt substrate processing systems, apparatus, and methods
CN104576514B (en) * 2013-10-29 2017-11-24 中芯国际集成电路制造(上海)有限公司 The preparation method of semiconductor devices
CN104637864B (en) * 2013-11-14 2017-11-24 中芯国际集成电路制造(上海)有限公司 The method for improving data holding ability
US9368448B2 (en) * 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
US9465071B2 (en) 2014-03-04 2016-10-11 Mediatek Inc. Method and apparatus for generating featured scan pattern
US10319908B2 (en) * 2014-05-01 2019-06-11 Crossbar, Inc. Integrative resistive memory in backend metal layers
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
CN109844930B (en) 2016-10-02 2024-03-08 应用材料公司 Doped selective metal capping with ruthenium liner to improve copper electromigration
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
CN107256845A (en) * 2017-05-25 2017-10-17 上海集成电路研发中心有限公司 A kind of copper interconnection structure and its manufacture method
US20190127212A1 (en) * 2017-10-31 2019-05-02 Texas Instruments Incorporated Forming a passivation coating for mems devices
US10707119B1 (en) * 2019-01-14 2020-07-07 Globalfoundries Inc. Interconnect structures with airgaps and dielectric-capped interconnects
CN111769074A (en) * 2019-04-02 2020-10-13 长鑫存储技术有限公司 Semiconductor interconnection structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524754A (en) 1997-11-26 2001-12-04 アプライド マテリアルズ インコーポレイテッド New hole filling technology using CVD aluminum and PVD aluminum integration
JP2002164351A (en) 2000-09-14 2002-06-07 Texas Instruments Inc Method of forming self-aligned copper cap diffusion barrier
US20050142833A1 (en) 2003-12-30 2005-06-30 Dongbuanam Semiconductor Inc. Method of fabricating semiconductor device
US20070145600A1 (en) 2005-12-28 2007-06-28 Hisashi Yano Semiconductor device and manufacturing method thereof

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0765179B2 (en) * 1987-05-15 1995-07-12 日本電信電話株式会社 Chemical vapor deposition method
US6664182B2 (en) * 2001-04-25 2003-12-16 Macronix International Co. Ltd. Method of improving the interlayer adhesion property of low-k layers in a dual damascene process
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
JP2006505127A (en) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. Oxygen cross-linking structure and method
US7102232B2 (en) * 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7704873B1 (en) * 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
TW200802703A (en) * 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
DE102007004867B4 (en) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US7754588B2 (en) * 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001524754A (en) 1997-11-26 2001-12-04 アプライド マテリアルズ インコーポレイテッド New hole filling technology using CVD aluminum and PVD aluminum integration
JP2002164351A (en) 2000-09-14 2002-06-07 Texas Instruments Inc Method of forming self-aligned copper cap diffusion barrier
US20050142833A1 (en) 2003-12-30 2005-06-30 Dongbuanam Semiconductor Inc. Method of fabricating semiconductor device
US20070145600A1 (en) 2005-12-28 2007-06-28 Hisashi Yano Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
TWI612618B (en) 2018-01-21
CN102130046B (en) 2015-01-14
JP2011146711A (en) 2011-07-28
TW201709418A (en) 2017-03-01
KR20110084130A (en) 2011-07-21
TW201138024A (en) 2011-11-01
CN102130046A (en) 2011-07-20
JP5773306B2 (en) 2015-09-02

Similar Documents

Publication Publication Date Title
KR101742825B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US7858510B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US7648899B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US8268722B2 (en) Interfacial capping layers for interconnects
TWI541938B (en) Metal and silicon containing capping layers for interconnects
US11587829B2 (en) Doping control of metal nitride films
US7704873B1 (en) Protective self-aligned buffer layers for damascene interconnects
US8865594B2 (en) Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US7135403B2 (en) Method for forming metal interconnection line in semiconductor device
US7915166B1 (en) Diffusion barrier and etch stop films
US6955983B2 (en) Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
KR20140099311A (en) Doped tantalum nitride for copper barrier applications
US20020132469A1 (en) Method for forming metal wiring layer
US20080157375A1 (en) Semiconductor device having a metal interconnection and method of fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant