KR101736722B1 - Printing semiconductor elements by shear-assisted elastomeric stamp transfer - Google Patents

Printing semiconductor elements by shear-assisted elastomeric stamp transfer Download PDF

Info

Publication number
KR101736722B1
KR101736722B1 KR1020117014025A KR20117014025A KR101736722B1 KR 101736722 B1 KR101736722 B1 KR 101736722B1 KR 1020117014025 A KR1020117014025 A KR 1020117014025A KR 20117014025 A KR20117014025 A KR 20117014025A KR 101736722 B1 KR101736722 B1 KR 101736722B1
Authority
KR
South Korea
Prior art keywords
stamp
transfer
receiving surface
printing
elastomeric
Prior art date
Application number
KR1020117014025A
Other languages
Korean (ko)
Other versions
KR20110118616A (en
Inventor
에띠앙 메날드
Original Assignee
셈프리어스 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 셈프리어스 아이엔씨. filed Critical 셈프리어스 아이엔씨.
Publication of KR20110118616A publication Critical patent/KR20110118616A/en
Application granted granted Critical
Publication of KR101736722B1 publication Critical patent/KR101736722B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/75Apparatus for connecting with bump connectors or layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7525Means for applying energy, e.g. heating means
    • H01L2224/753Means for applying energy, e.g. heating means by means of pressure
    • H01L2224/75301Bonding head
    • H01L2224/75302Shape
    • H01L2224/75303Shape of the pressing surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7525Means for applying energy, e.g. heating means
    • H01L2224/753Means for applying energy, e.g. heating means by means of pressure
    • H01L2224/75301Bonding head
    • H01L2224/75302Shape
    • H01L2224/75303Shape of the pressing surface
    • H01L2224/75305Shape of the pressing surface comprising protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7525Means for applying energy, e.g. heating means
    • H01L2224/753Means for applying energy, e.g. heating means by means of pressure
    • H01L2224/75301Bonding head
    • H01L2224/75314Auxiliary members on the pressing surface
    • H01L2224/75315Elastomer inlay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/75Apparatus for connecting with bump connectors or layer connectors
    • H01L2224/7598Apparatus for connecting with bump connectors or layer connectors specially adapted for batch processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01011Sodium [Na]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10336Aluminium gallium arsenide [AlGaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10349Aluminium gallium indium phosphide [AlGaInP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides

Abstract

수신 기판에 반도체 소자의 전사 프린팅을 위한 방법 및 장치를 제공한다. 일 측면에서, 프린팅은 반도체 소자에서 잉크로 칠해진 탄성 중합체 스탬프와 수신 기판 사이에 등각 접촉으로 이루어지고, 스탬프 제거 동안, 전단 오프셋이 스탬프와 수신 기판 사이에 적용된다. 전단-오프셋 프린팅 처리는 좋은 배치 정밀도와 함께 높은 프린팅 전사 이득을 획득한다. 스탬프-백킹 압력 적용 및 수직 변위를 다양하게 하는 시간을 포함하는, 전사 프린팅 동안 처리 파라미터 선택은 수반하는 전사 프린팅 개선으로 충분히 일정한 박리(delamination) 비율을 생기게 한다.A method and apparatus for transfer printing of semiconductor devices on a receiving substrate are provided. In one aspect, the printing is in conformal contact between the elastomeric stamp painted with the ink in the semiconductor element and the receiving substrate, and during stamp removal, a shear offset is applied between the stamp and the receiving substrate. The shear-offset printing process achieves high printing transfer gain with good batch precision. Processing parameter selection during transfer printing, including stamp-backing pressure application and time varying vertical displacement, results in a sufficiently constant delamination rate with subsequent transfer printing improvements.

Description

전단-보조 탄성 스탬프 전사에 의한 프린팅 반도체 소자{PRINTING SEMICONDUCTOR ELEMENTS BY SHEAR-ASSISTED ELASTOMERIC STAMP TRANSFER}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a printing semiconductor device by a shear-assistant elastic stamp transfer,

본 출원은 2008년 11월 19일에 출원된 미국 가 출원 제 61/116,136호의 이점을 청구하며, 여기에 상반되지 않는 범위에 참조하여 명확히 구체화된다.
This application claims the benefit of U.S. Provisional Application No. 61 / 116,136, filed November 19, 2008, which is expressly incorporated by reference to the extent not inconsistent.

본 발명은, 적어도 일부분, 미국 국립과학재단 보조금 IIP-0712017에 의해 수여된 미국 정부 지원으로 만들어졌다. 이 발명에서 미국 정부는 일정한 권리를 갖는다.
The present invention is made, at least in part, by US government support awarded by the US National Science Foundation Grant IIP-0712017. In this invention, the US government has certain rights.

반도체 칩 또는 다이(die) 자동화 조립장비(automated assembly equipment)는 일반적으로 진공 그리퍼(vacuum gripper) 또는 픽 앤 플레이스(pick-and-place) 기구로서 종종 언급된 진공에서 동작되는 배치 헤드(placement head)의 사용에 의존한다. 간단한 실시예에서, 이들 배치 헤드는 일반적으로 물리적인 접착을 이루기 위한 다이에 날인하는 드릴된 노즐면을 가지는 오픈 엔드 실린더(open ended cylinder)로 구성된다. 극박(ultra thin), 무른(fragile) 또는 아주 작은 반도체 칩 또는 다이는 종래의 진공 그리퍼에 의해 경제적으로 처리될 수 없다. 그 결과, 자기조립(self-assembly) 또는 건조 전사 프린팅(dry transfer printing) 기술과 같은 대체 방안이 연구되고 있다.
Semiconductor chip or die automated assembly equipment typically includes a placement head operated in a vacuum, often referred to as a vacuum gripper or a pick-and-place mechanism, Lt; / RTI > In a simple embodiment, these placement heads generally consist of an open ended cylinder having a drilled nozzle face sealing the die to achieve a physical bond. Ultra thin, fragile or very small semiconductor chips or dies can not be economically processed by conventional vacuum grippers. As a result, alternatives such as self-assembly or dry transfer printing techniques have been studied.

전사 프린팅은, 유리, 플라스틱, 메탈 또는 다른 반도체를 포함하는 가상의 어느 기판 물질에 대량 병렬 조립(massively parallel assembly)을 가능하게 한다(예를 들면, 2005.6.2.자 제출된 U.S. Pat. App. No. 11/145.574 METHODS AND DEVICES FOR FABRICATING AND ASSEMBLING PRINTABLE SEMICONDUCTOR ELEMENTS 참조). 이 반도체 전사 프린팅 기술은 소스 웨이퍼로부터 장치를 선택적으로 픽업(pick-up)하기 위하여 미세구조 탄성 스탬프(microstructured elastomeric stamp)의 사용에 의존하고, 그 다음 타겟 기판상에서 이들 장치를 프린트한다.
Transcription printing enables massively parallel assembly to virtually any substrate material, including glass, plastic, metal or other semiconductors (see, for example, US Pat. App. App. No. 11 / 145,574 METHODS AND DEVICES FOR FABRICATING AND ASSEMBLING PRINTABLE SEMICONDUCTOR ELEMENTS). This semiconductor transfer printing technique relies on the use of a microstructured elastomeric stamp to selectively pick up the device from the source wafer and then prints these devices on the target substrate.

픽 앤 플레이스 기구가 흡입력(suction force)에 의존하는 반면, 건조 전사 프린팅 기구는 반도체 장치의 픽업 및 릴리즈를 제어하기 위해 면 접착력(surface adhesion force)에 의존한다. 건조 전사 프린팅을 용이하게 하기 위하여, 반도체 소자와 탄성 스탬프 사이의 접착력을 제어하는 방법이 요구된다. 이러한 방법 중 하나가 2006.6.9.자 제출된 U.S. Pat. App. No. 11/423,192 "PATTERN TRANSFER PRINTING BY KINETIC CONTROL OF ADHESION TO AN ELASTOMERIC STAMP"에 기술된다. 그 방법에서, 탄성 전사 스탬프의 박리 비율을 조정함으로써 탄성 스탬프 접착력이 제어된다. 분리 또는 박리 비율의 제어는 소스 웨이퍼로부터 반도체 소자를 픽업하기 위해 필요한 스탬프 접착력을 증가시키는 수단을 제공한다. 그러나, 이 기술에 대해 스탬프로부터 수신기판에 반도체 소자를 전사하는 것과 관련하여 문제점이 있다. 첫째, 느린 스탬프 박리 비율(< 1 ㎜/s)이 가리지 않은 타겟 기판(bare target substrate) 또는 저 점착성 면 접착물로 칠해진 기판상에 반도체 소자를 전사하도록 종종 요구된다. 이것은 처리 시간을 증가시키고, 전사 프린팅의 높은-처리량을 수행하는 능력에 나쁜 영향을 준다. 둘째, 일반적으로 높은 배치 정확도로 건조 전사 프린팅 반도체 소자를 위해 최적화된 스탬프는 딱딱한 백킹 레이어를 사용한다. 프린팅 또는 전사 단계 동안, 딱딱한 백킹 레이어가 굽힘력(bending force)을 받을 때 이들 스탬프의 박리 비율은 불안정할 수 있고 제어하는데 어려움이 있다. 셋째, 극도로 매끄럽거나 저 점착성면이 아닌 면에서 프린팅 이득은 매우 낮을 수 있다.
While the pick and place mechanism depends on the suction force, the dry transfer printing mechanism relies on the surface adhesion force to control the pickup and release of the semiconductor device. In order to facilitate dry transfer printing, a method for controlling the adhesive force between the semiconductor element and the elastic stamp is required. One such method is US Pat. App. No. 11 / 423,192 "PATTERN TRANSFER PRINTING BY KINETIC CONTROL OF ADHESION TO AN ELASTOMERIC STAMP &quot;. In this method, the elastic stamp adhesion force is controlled by adjusting the peeling ratio of the elastic transfer stamp. Control of the separation or peeling ratio provides a means for increasing the stamp adhesion force required to pick up a semiconductor element from the source wafer. However, there is a problem associated with transferring the semiconductor device from the stamp to the receiving substrate for this technique. First, a slow stamp peel ratio (< 1 mm / s) is often required to transfer semiconductor devices onto a substrate coated with a bare target substrate or a low tack adhesive. This increases processing time and adversely affects the ability to perform high throughput of transcription printing. Second, stamps optimized for dry transfer printing semiconductor devices with high placement accuracy typically use a rigid backing layer. During the printing or transfer step, when the stiff backing layer undergoes a bending force, the peel rate of these stamps can be unstable and difficult to control. Third, the printing gain may be very low in the case of an extremely smooth or non-sticky side.

따라서, 높은 이득 및 배치 정확도록 반도체 소자를 프린팅하는 전사를 위한 향상된 방법, 큰 사이즈의 탄성 스탬프로 확장하는 방법, 시스템 및 공정이 필요하다.
Thus, there is a need for an improved method for transferring a semiconductor device to print with high gain and placement accuracy, and a method, system, and process for expanding to a large size resilient stamp.

전단 오프셋(shear offset)에 의한 반도체 및 반도체 소자의 건조 전사 프린팅을 위한 방법 및 시스템을 제공한다. 전단 오프셋 프린팅 시스템은 전단 오프셋이 적용되지 않은 종래의 기술과 비교하여, 프린팅 이득 또는 정밀도의 손실없이 전사 프린팅 동안 이루어지는 높은 박리 비율을 가능하게 한다. 따라서, 여기에 제안된 방법 및 시스템은 더 빠르고 보다 신뢰성 있는 전사 프린팅을 제공하고, 그것에 의해 처리 시간의 감소 및 프린팅 효율을 증가시킨다.
A method and system for dry transfer printing of semiconductor and semiconductor devices by shear offset is provided. The shear offset printing system allows a high separation rate to be achieved during transcription printing without loss of printing gain or precision, as compared to the prior art without shear offset applied. Thus, the methods and systems proposed herein provide faster and more reliable transfer printing, thereby reducing processing time and increasing printing efficiency.

박리 처리 동안 전단 오프셋의 증가는 전사 이득을 증가시킨다. 전단 오프셋은 반도체 소자를 전사하기 위해 사용된 전사 스탬프에서 기계적 변형을 일으키고, 그것에 의해 반도체 소자로부터 전사 스탬프 면의 박리를 일으키도록 요구된 에너지를 낮춘다. 좋은 전사 이득(예를 들면, 95% 이상의 전사)을 위한 중요한 다른 파라미터는 일정한 박리 비율이다. 여기에 제공된 것은, 최소의 편차로 일정한 박리 비율을 확보하기 위한 다수의 파라미터를 최적화하는 기술이다. 예를 들어, 스탬프는 변형가능한 레이어, 변형가능한 레이어의 전사면에 릴리프 특징의 기학적인 형태 및 패턴, 영률(Young's modulus), 변형가능한 레이어에 접속된 리지 백킹 레이어(rigid backing layer)의 상대적인 두께의 하나 또는 그 이상의 조합을 최적화함으로써 적절한 박리 비율을 제공하기 위해 설계된다. 박리 비율에 영향을 주는 다른 파라미터는, 제한적이진 않지만, 수신 기판으로부터 스탬프가 제거되는 비율뿐만 아니라 등각 접촉을 확립하기 위해 사용된 힘(예를 들면, 압력)을 포함한다. 일 측면에서, 이들 파라미터의 각각은 프린팅 단계의 코스에 걸쳐 박리 비율의 편차를 최소화하기 위해 박리 사이클의 코스에 걸쳐 변화한다.
Increasing the shear offset during the peeling process increases the transfer gain. The shear offset causes a mechanical deformation in the transfer stamp used to transfer the semiconductor device, thereby lowering the energy required to cause the transfer stamp surface to peel off from the semiconductor device. Another important parameter for good transcriptional benefit (eg, greater than 95% transcription) is a constant rate of exfoliation. What is provided here is a technique for optimizing a plurality of parameters to secure a constant separation rate with a minimum deviation. For example, the stamp may be a deformable layer, a geometric shape and pattern of relief features on the transverse surfaces of the deformable layer, Young's modulus, a relative thickness of the rigid backing layer connected to the deformable layer And is designed to provide an appropriate release rate by optimizing one or more combinations. Other parameters affecting the peel rate include, but are not limited to, the force (e.g., pressure) used to establish conformal contact as well as the rate at which the stamp is removed from the receiving substrate. In one aspect, each of these parameters varies over the course of the peel cycle to minimize deviation of the peel rate over the course of the printing step.

일 측면에서, 본 발명은, 예를 들면 전사면을 가지는 탄성 스탬프를 제공함으로써 전사 가능한 반도체 소자를 프린팅하는 방법이다. 반도체 소자는 전사면에 의해 지지된다. 제어 및 프린팅 이득을 더 증가시키기 위해, 반도체 소자를 지지하는 전사면은 반도체 소자를 적어도 부분적으로 접촉하는 릴리프 특징의 3차원 패턴을 가질 수 있다. 반도체 소자에 "잉크로 칠해진" 스탬프가 수신면과 등각 접촉하게 되면, 반도체 소자의 적어도 일부분이 수신면과 접촉한다. 수신면은 접착 레이어로 적어도 일부에 임의로 도포된다. 대신에, 수신면은 접착물로 덮히지는 않는다. 대신에, 수신면은 접착 레진의 패턴으로 패턴된다. 탄성 스탬프는 수신면에 대하여 수평 거리를 오프셋하고, 그것에 의해 릴리프 특징의 패턴의 적어도 일부에 기계적 변형을 발생하며, 여기서 오프셋은 전사면 또는 수신면으로부터 반도체 소자를 분리하지는 않는다. 수신면과 전사면 사이에 오프셋이 있으면, 오프셋을 구동하는 영역은 중요하지 않다(예를 들면, 스탬프 및 수신면의 하나 또는 둘 다 오프셋될 수도 있다). "수평 오프셋(Horizontal offset)"은 잉크로 칠해진 접촉면과 수신면 사이의 접촉에 의해 정의된 평면(plane) 또는 면(surface)에 사실상 나란하게 하는 오프셋에 관계된다. 스탬프는 수신면으로부터 분리되고, 그것에 의해 수신면에 반도체 소자를 프린팅한다.
In one aspect, the present invention is a method of printing a transferable semiconductor element by providing an elastic stamp having, for example, a transfer surface. The semiconductor element is supported by the transfer surface. In order to further increase the control and printing gain, the transfer surface supporting the semiconductor element may have a three-dimensional pattern of relief features at least partially contacting the semiconductor element. When the "inked" stamp on the semiconductor element makes conformity with the receiving surface, at least a portion of the semiconductor element contacts the receiving surface. The receiving surface is optionally coated at least in part with an adhesive layer. Instead, the receiving surface is not covered with adhesive. Instead, the receiving surface is patterned with a pattern of adhesive resin. The elastic stamp offsets the horizontal distance with respect to the receiving surface, thereby creating a mechanical deformation in at least a portion of the pattern of the relief feature, wherein the offset does not separate the semiconductor element from the transfer surface or the receiving surface. If there is an offset between the receiving surface and the transfer surface, the area driving the offset is not critical (e.g., one or both of the stamp and the receiving surface may be offset). A "horizontal offset" relates to an offset that substantially aligns with a plane or surface defined by the contact between the inked contact surface and the receiving surface. The stamp is separated from the receiving surface, thereby printing the semiconductor element on the receiving surface.

일 측면에서, 등각 접촉 단계는, 적어도 일부에서, 탄성 스탬프의 상면에 공기력을 적용함으로써 확립된다. 예를 들면, 스탬프는 수신면에 매우 근접하게(예를 들면, 약 100㎛ 이하) 될 수도 있고, 공기력이 등각 접촉을 확립하기 위해 적용된다. 실시예에서 스탬프는 리지 백킹 레이어를 가지는 복합 스탬프이고, 스탬프의 상면은 상면(예를 들면, 노출된 면 또는 전사면에 마주하는 면)에 해당한다. "상(top)" 면은 시스템의 기학학적 구성에 따라, 면을 구분하기 위해 사용된 상대어로 이해되고, 사실 상면은 아래로 향하는 위치에 놓일 수도 있다.
In one aspect, the conformal contacting step is established, at least in part, by applying an air force to the top surface of the resilient stamp. For example, the stamp may be very close to the receiving surface (e.g., about 100 microns or less) and air force is applied to establish conformal contact. In an embodiment, the stamp is a composite stamp having a ridge backing layer, and the upper surface of the stamp corresponds to an upper surface (e.g., a surface facing the exposed surface or the transfer surface). The "top" side is understood to be a relative term used to distinguish the sides, depending on the geometrical configuration of the system, and in fact the upper side may be located in a downward position.

최종 결과가 수신면에 대해 전사면의 이동이 있다면, 오프셋팅(offsetting)은 종래에 알려진 어떠한 의미일 수 있다. 일 실시예에서, 오프셋팅은 탄성 스탬프에 면내 변위(in plane displacement)의 적용에 의한다. 일 실시예에서, 면내 변위는, 5㎛ 이상이고 100㎛ 이하인 수신면에 대한 스탬프 상면의 수평 변위에 의해 획득될 수 있다.
If the final result is a shift of the transfer surface relative to the receiving surface, offsetting may have any conventionally known meaning. In one embodiment, the offset is by application of in plane displacement to the elastic stamp. In one embodiment, the in-plane displacement can be obtained by horizontal displacement of the stamp top surface relative to the receiving surface of 5 占 퐉 or more and 100 占 퐉 or less.

일 측면에서, 분리 단계는 스탬프 상면에 적용된 공기력을 감소하는 단게를 포함한다. 대안적으로, 분리 단계는 수신면으로부터 수직 방향으로 스탬프를 물리적으로 이동하는 단계를 포함한다. 일 측면에서, 분리 단계는, 동시에 또는 순차적 방식으로, 공기력을 감소하는 단계와 수직방향으로 이동을 모두 포함한다.
In one aspect, the separating step includes a step of reducing the aerodynamic force applied to the upper surface of the stamp. Alternatively, the separating step comprises physically moving the stamp in a vertical direction from the receiving surface. In one aspect, the separating step includes both a step of reducing the air force and a movement in the vertical direction, either simultaneously or in a sequential manner.

일 실시예에서, 여기에 나타낸 어떠한 처리 또는 장치에 사용된 어떠한 스탬프는 복합 스탬프일 수 있다. 일 측면에서, 탄성 스탬프는 전사면과 저면(bottom surface)을 가지는 리지 백킹 레이어와 마주하는 상면과 함께 탄성 레이어를 포함하며, 저면은 탄성 레이어 상면에 인접하여 위치된다. 리지 백킹 레이어를 가지는 이러한 탄성 스탬프는 전사면과 잉크로 칠해진 반도체 소자 및/또는 수신 기판면 사이의 인터페이스에 적용된 압력 및 이동(예를 들면, 수직 및/또는 수평)을 전사하기 위해 유리하다. 예를 들면, 강성 백킹에 적용된 공기력은 능동적인 프린팅 영역에 균일하게 전달될 수 있다. 다른 양상에서, 탄성 스탬프도 리지 백킹 레이어에 동작 가능하게 접속된 강화(reinforcement) 레이어를 가지며, 강화 레이어는 전사면에 릴리프 특징의 적어도 일부에 수직으로 일치하는 개구(opening)를 갖는다.
In one embodiment, any stamp used in any process or apparatus shown herein may be a composite stamp. In one aspect, the elastic stamp includes an elastic layer with an upper surface facing the ridgebacking layer having a transfer surface and a bottom surface, and the bottom surface is positioned adjacent the upper surface of the elastic layer. This elastic stamp having a ridge backing layer is advantageous for transferring pressure and movement (e.g., vertical and / or horizontal) applied to the interface between the transfer surface and the semiconductor element painted with the ink and / or the receiving substrate surface. For example, the air force applied to the rigid backing can be uniformly transmitted to the active printing area. In another aspect, the elastic stamp also has a reinforcement layer operatively connected to the ridge backing layer, wherein the reinforcement layer has an opening in the transfer surface perpendicular to at least a portion of the relief feature.

일 측면에서, 여기에 제공된 어떠한 방법은 건조 전사 프린팅 기구에 탄성 스탬프를 장착하는 단계를 더 포함한다. 오프셋 단계는 건조 전사 프린팅 기구에 면내 변위를 적용함으로써 선택적으로 이루어지고, 그것에 의해 릴리프 특징의 적어도 일부에서 기계적 변위를 발생한다. "면내 변위"는 박리를 일으키는 인터페이스에 사실상 평행한 방향인 오프셋에 관련한다. 이 측면에서, "사실상 평행한(substantially parallel)"은 인터페이스에 의해 정의된 면 또는 평면의 2°이내인 방향에 관련된다.
In one aspect, any method provided herein further comprises the step of mounting an elastic stamp on a dry transfer printing mechanism. The offset step is performed selectively by applying an in-plane displacement to the dry transfer printing mechanism, thereby creating a mechanical displacement in at least a portion of the relief feature. "In-plane displacement" relates to the offset, which is a substantially parallel direction to the interface causing the exfoliation. In this respect, "substantially parallel" relates to a direction defined by the interface or within 2 [deg.] Of the plane.

발명의 일 실시예에서, 등각 접촉은 리지 백킹 레이어인 상면과 같은, 장착된 스탬프의 상면에 압력을 적용함으로써, 적어도 일부에서 확립된다.
In one embodiment of the invention, the conformal contact is established at least in part by applying pressure to the top surface of the mounted stamp, such as a top surface that is a ridge backing layer.

수신면에 대해 수직 방향으로 탄성 스탬프에 장착된 전사 프린팅 기구를 이동하는 것 같이, 스탬프가 수직 방향으로 면 중 하나를 이동시킴으로써 수신면으로부터 분리된다는 측면에서, 어떤 하나 또는 그 이상의 파라미터는 박리 사이클 동안 변화될 수 있다. 예를 들어, 스탬프에 적용된 압력은 수신면으로부터 스탬프를 분리하는 수직방향 이동 동안 변화될 수 있다. 일 실시예에서, 압력은, 4kPa와 10kPa 사이의 최대값 및 0kPa와 2kPa 사이의 최소값과 같이, 최대값으로부터 최소값으로 감소될 수 있다. 일 측면에서, 압력은 압력 감소 비율 및 수직방향 이동 비율은 사실상 일정한 수신 기판으로부터(또는 수신면에 의해 지지된 반도체 소자로부터) 스탬프 포스트의 박리 비율을 제공하기 위해 선택된다.
In the sense that the stamp is separated from the receiving surface by moving one of the surfaces in the vertical direction, such as by moving a transfer printing mechanism mounted on an elastic stamp in a direction perpendicular to the receiving surface, some or more of the parameters are changed during the peeling cycle . For example, the pressure applied to the stamp may be varied during vertical movement to separate the stamp from the receiving surface. In one embodiment, the pressure can be reduced from a maximum value to a minimum value, such as a maximum value between 4 kPa and 10 kPa and a minimum value between 0 kPa and 2 kPa. In one aspect, the pressure is selected such that the pressure drop ratio and the vertical travel rate are substantially proportional to the stripping rate of the stamp post from a constant receiving substrate (or from a semiconductor element supported by the receiving surface).

일 실시예에서, 릴리프 특징은 복수의 포스트를 포함한다. 포스트는 도너면(donor surface)으로부터 반도체 소자 리프트 오프(lift-off) 및/또는 스탬프로부터 수신면에 반도체 소자 전사(transfer)를 가능하게 하는 것을 도울 수 있다. 이 실시예의 일 측면에서, 복수의 포스트는 1% 이상이고 25% 이하인 범위로부터 선택된 전사면 상에 접촉 영역 부분(contacting area fraction)을 갖는다. "접촉 영역 부분(contacting area fraction)"은 프린트 가능한 면 영역에 걸쳐 포스트에 의해 면 영역 범위의 퍼센트에 관련된다. 릴리프 특징이 복수의 집단을 폼한다는 측면에서, 릴리프 특징은 포스트 사이에 산재된 복수의 고정 특징(stabilization feature)을 포함하고, 고정 특징은 포스트의 접촉 영역보다 작은 접촉 영역을 갖는다.
In one embodiment, the relief feature comprises a plurality of posts. The post may help lift the semiconductor device from the donor surface and / or enable transfer of the semiconductor device from the stamp to the receiving surface. In one aspect of this embodiment, the plurality of posts have a contacting area fraction on a transfer surface selected from the range of greater than or equal to 1% and less than or equal to 25%. The "contacting area fraction" relates to the percentage of the area coverage by the post over the printable area. In terms that the relief feature forms a plurality of groups, the relief feature includes a plurality of stabilization features interspersed between the posts and the fixation feature has a contact area that is smaller than the contact area of the post.

일 측면에서, 여기에 제공된 어떠한 방법은, 제한적이진 않지만, 전사 프린팅 이득을 포함하는 기능 파라미터에 관하여 기술된다. 예를 들어, 처리는 접착제의 얇은 레이어로 코팅된 수신면에 대해 99.5% 이상의 이득을 제공할 수 있다. 대안적으로, 이득은 1㎜/s/ 이상인 스탬프 박리 비율에 대해 99.5% 이상일 수 있다.
In one aspect, any of the methods provided herein are described with respect to functional parameters including, but not limited to, transcriptional printing gain. For example, the treatment can provide a gain of more than 99.5% over the receiving surface coated with a thin layer of adhesive. Alternatively, the gain may be at least 99.5% for a stamp peel ratio of 1 mm / s / or greater.

임의의 실시예에서, 어떠한 방법은 수신면으로 스탬프를 광학적으로 정렬하고, 수신면으로부터 100㎛ 이내의 수직 분리 거리에 반도체 소자를 위치시키고 스탬프와 수신면 사이에 등각 접촉을 확립하기 위해 스탬프의 상면에 압력을 적용하는 것을 포함한다. 압력은 종래에 알려진 수단에 의해 적용될 수 있다. 예를 들어, 리지 백킹 레이어에 대응하는 상면을 포함하는 스탬프 상면에 일정한 공기력의 적용에 의해 압력이 적용될 수 있다.
In certain embodiments, some methods include applying a pressure to the top surface of the stamp to optically align the stamp with the receiving surface, locate the semiconductor element at a vertical separation distance within 100 [mu] m from the receiving surface, and establish conformal contact between the stamp and the receiving surface . The pressure can be applied by means known in the art. For example, pressure can be applied by applying a constant air force to the top surface of the stamp, including the top surface corresponding to the ridge backing layer.

여기에 기술된 어떠한 방법은 단일 반도체 소자 또는 복수의 반도체 소자를 프린트하기 위해 사용될 수 있고, 릴리프 특징의 패턴은 단일 또는 복수의 반도체 소자를 지지한다.
Any of the methods described herein can be used to print a single semiconductor element or a plurality of semiconductor elements, and the pattern of relief features supports a single or multiple semiconductor elements.

일 측면에서, 여기에 제공된 전사 방법은, 저 점착성(low-tack)면인 수신면에 반도체 소자를 프린팅하는 전사를 위한 것이다.
In one aspect, the transfer method provided herein is for transferring a semiconductor element to a receiving surface that is a low-tack surface.

분리 단계는, 제한적이진 않지만, 스탬프 및/또는 수신면에 수직 오프셋(vertical offset)을 적용함으로써 수신면에 대해 스탬프를 제거하는 단계를 포함하는 종래에 알려진 어떠한 수단에 의해 수행된다. 면을 지지하는 구조에 어떠한 오프셋을 적용하는 것 같이, 이 적용은 직접 또는 간접적일 수 있다. 유사하게, 오프셋 하는 단계는 스탬프에 면내 변위를 적용하거나, 수신면에 면내 변위를 적용하거나 둘 다에 면내 변위를 적용함으로써 임의로 제공된다.
The separation step is performed by any means known in the art including, but not limited to, stamping the receiving surface by applying a vertical offset to the stamp and / or receiving surface. This application may be direct or indirect, such as applying any offset to the structure supporting the face. Similarly, the step of offsetting is optionally provided by applying in-plane displacement to the stamp, applying in-plane displacement to the receiving surface, or applying in-plane displacement to both.

공기력이 등각 접촉을 확립하기 위해 적어도 일부에 적용되고 스탬프가 수신면으로부터 수직으로 분리된다는 측면에서, 공기력 및 수직 분리 비율은 박리 사이클 동안 시간에 걸쳐 다양하게 될 수 있다. 예를 들어, 박리 사이클이, 제 1 시간 간격이 제 2 시간 간격 이전이고, 사실상 겹치지 않는 적어도 2개의 시간 간격으로 분리되는 실시예에서, 공기력은 수직 분리가 제 1 시간 간격에 걸쳐 일정하게 유지되는 동안 제 1 시간 간격에 걸쳐 다양하게 될 수 있다. 반면에,수직 분리가 제 2 시간 간격 동안 증가하는 반면 제 2 시간 간격 동안 공기력은 일정하게 유지될 수 있다. 이 실시예에서, 시간에 관한 압력 및 준리 거리의 선택은 박리 사이클에 걸쳐 일정한 박리 비율을 쉽게 도와주는 최적화 단계이고, 이러한 박리 비율은 제 1 및 제 2 시간 간격에 걸쳐 평균 박리 비율에서 5% 이하로 편향하는 사용자 지정 편향(user-specified deviation) 이하로 편향한다.
In terms of air force being applied to at least a portion in order to establish conformal contact and the stamp being vertically separated from the receiving surface, the air force and vertical separation ratio can vary over time during the stripping cycle. For example, in embodiments in which the peeling cycle is separated by at least two time intervals that are prior to the first time interval and do not substantially overlap, the airstream is maintained such that the vertical separation remains constant over the first time interval Lt; / RTI &gt; over a first time interval. On the other hand, while the vertical separation increases during the second time interval, the air force may remain constant during the second time interval. In this embodiment, the selection of the pressure and the draft distance in terms of time is an optimization step that easily facilitates a constant removal rate over the separation cycle, and this separation rate is less than or equal to 5% at the average separation rate over the first and second time intervals 0.0 &gt; user-specified &lt; / RTI &gt;

본 발명의 다른 측면은 수신기판에 반도체의 건조 전사 프린팅을 위한 장치에 관한 것으로, 이러한 장치는 여기에 기술된 어떠한 전단 오프셋(shear-offset) 처리를 이행하는 것이 가능하다.
Another aspect of the present invention relates to an apparatus for dry transfer printing of semiconductors on a receiving substrate, which apparatus is capable of implementing any shear-offset process as described herein.

일 실시예에서, 장치는 내부 면(internal surface) 및 내부 면에 마주하여 위치된 외부 면(external surface)으로 변형 가능한 레이어와 복수의 릴리프 특징을 가지는 변형가능한 레이어의 외부 면을 가지는 강화 복합 스탬프를 지지한다. 강성 지지 레이어는 변형 가능한 레이어의 내부 면에 접속되고, 여기서 강정 지지 레이어는 저면과 저면에 마주하여 위치된 상면을 가지고, 저면은 변형 가능한 내부 면에 근접하여 위치된다. 강화 레이어(reinforcement layer)는 강성 지지 레이어에 동작 가능하게 접속되고, 강화 레이어는 변형 가능한 레이어의 외부 면의 릴리플 특징의 적어도 일부에 수직으로 일치하는 개구(opening)를 갖는다. 일 실시예에서, 복합 스탬프는 변형 가능한 레이어 외부 면에 마운팅 플렌지(mounting flange)를 동작 가능하게 접속한 수직부(vertical section)에 의해 지지된다. 수신면을 가지는 전사 프린팅 기구 헤드는 마운팅 플랜지 상면을 접속한다. 액츄에이터(actuator)는 변형 가능한 레이어 내부 면과 수신면 사이에 수평 변위를 발생하는 마운팅 플랜지 또는 전사 프린팅 기구 헤드에 동작가능하게 접속된다. 따라서, 액츄에이터가 스탬프 또는 수신면을 제거하고, 그것에 의해 스탬프와 수신면 사이의 오프셋을 수행한다.
In one embodiment, the apparatus comprises a reinforced composite stamp having an inner surface and an outer surface positioned opposite the inner surface, and a deformable layer and an outer surface of the deformable layer having a plurality of relief features, . The rigid support layer is connected to the inner surface of the deformable layer, wherein the ramp support layer has an upper surface facing the bottom surface and the bottom surface, and the bottom surface is positioned proximate the deformable inner surface. A reinforcement layer is operatively connected to the rigid support layer and the enhancement layer has an opening perpendicular to at least a portion of the reel ripple feature of the outer surface of the deformable layer. In one embodiment, the composite stamp is supported by a vertical section operatively connecting a mounting flange to the deformable layer outer surface. A transfer printing mechanism head having a receiving surface connects the upper surface of the mounting flange. An actuator is operatively connected to a mounting flange or transfer printing mechanism head that generates a horizontal displacement between the deformable layer inner surface and the receiving surface. Thus, the actuator removes the stamp or receiving surface, thereby performing an offset between the stamp and the receiving surface.

제어가능한 오프셋이 수행된다면, 어떠한 액츄에이터가 사용될 수 있다. 변위 액츄에이터에 제한적이지는 않지만, 액츄에이터의 예는 모터, 스테퍼 모터(stepper motor), 마이크로포지셔너(micropositioner), 압력 발생기, 또는 압전 액츄에이터(piezoelectric actuator)를 포함한다. 오프셋 발생 액츄에이터의 다른 예는 직접 변위를 제어하지 않을 수 있고, 대신 압력 발생기, 온도 제어기 또는 전압 발생기와 같은 결국 오프셋을 일으키는 물리적인 파라미터에 영향을 준다.
If a controllable offset is performed, any actuator may be used. Examples of actuators include, but are not limited to, motors, stepper motors, micropositioners, pressure generators, or piezoelectric actuators. Other examples of offset generating actuators may not control the direct displacement and instead affect the physical parameters that cause the offset, such as the pressure generator, temperature controller, or voltage generator.

복수의 릴리프 외부 면에 분배된 복수의 포스트를 임의로 포함한다. 일 실시예에서, 복수의 고정 특징은 외부 면에 분배되고, 고정 특징의 높이는 포스트의 높이보다 낮다. 고정 특징은 제 1 및 제 2 포퓰레이션을 포함하고, 각 포퓰레이션은 기하학 풋프린트(geometric footprint)를 가지고 제 1 포퓰레이션 기하학 풋프린트는 상기 제 2 포퓰레이션 기하학 풋프린트 보다 크다. "기하학 풋프린트"는 수신면을 향하는 효과적인 면 영역에 관련한다.
And arbitrarily includes a plurality of posts distributed to a plurality of relief outer surfaces. In one embodiment, a plurality of stationary features are distributed to the outer surface, and the height of the stationary feature is lower than the height of the posts. The fixed feature includes first and second populations, each population having a geometric footprint and the first population geometry footprint being greater than the second population geometry footprint. The "geometric footprint" relates to the effective surface area facing the receiving surface.

일 측면에서, 장치는 강성 지지 레이어의 상면에 포지티브 압력을 제어가능하게 적용하기 위한 복합 스탬프의 강성 지지 레이어에 동작가능하게 접속된다. 적용된 압력은 면 사이의 등각 접촉을 확립하는데 유용하다. 일 실시예에서, 상면에 압력은 강성 지지 레이어의 상면에 걸쳐 사실상 균일하다. 일 실시예에서, 주어진 시간에서 압력이 사실상 일정하기는 하지만, 압력은 박리 사이클의 시간에서 시간에 대해 변화할 수 있다.
In one aspect, the apparatus is operatively connected to a rigid support layer of a composite stamp for controllably applying a positive pressure to an upper surface of the rigid support layer. The applied pressure is useful for establishing conformal contact between faces. In one embodiment, the pressure on the top surface is substantially uniform over the top surface of the rigid support layer. In one embodiment, the pressure may vary over time in the time of the peel cycle, although the pressure at a given time is substantially constant.

어떠한 특정한 논리에 의해 결합되는 것 없이, 발명의 실시예에 관련하는 기본 원칙 또는 메카니즘의 신뢰 또는 이해의 검토가 여기에 있을 수 있다. 어떠한 설명 또는 가설의 궁극적인 정확도에 관계없이, 발명의 실시예가 효과가 있고 유용하다는 것이 인지된다.
A review of the trust or understanding of the underlying principles or mechanisms in connection with an embodiment of the invention may be made without being bound by any particular theory. It will be appreciated that embodiments of the invention are effective and useful regardless of the ultimate accuracy of any explanation or hypothesis.

본 발명에 따른, 전단 오프셋 프린팅 시스템은 전단 오프셋이 적용되지 않은 종래의 기술과 비교하여, 프린팅 이득 또는 정밀도의 손실 없이 전사 프린팅 동안 이루어지는 높은 박리 비율을 가능하게 한다.
In accordance with the present invention, a shear offset printing system enables a high release rate to be achieved during transfer printing, without loss of printing gain or precision, as compared to the prior art where no shear offset is applied.

따라서, 제안된 본 발명의 방법 및 시스템은 더 빠르고 보다 신뢰성 있는 전사 프린팅을 제공하고, 그것에 의해 처리 시간의 감소 및 프린팅 효율을 증가시킨다.
Thus, the proposed method and system of the present invention provides faster and more reliable transfer printing, thereby reducing processing time and increasing printing efficiency.

도 1은 전단 오프셋 프린팅의 일 실시예를 실시하기 위한 단계를 나타내는 단면도로서 공정 흐름도이다. 도 1a는 전사 프린팅 스탬프의 배열, 도 1b는 스탬프 백킹에 공기력을 적용하여 전사 프린팅 스탬프를 적층하는 것이고, 도 1c는 주어진 X 및/또는 Y 위치에서 기구 헤드를 움직여 스탬프 포스트 상에 면내 전단을 적용하는 것이며, 도 1d는 Z 방향으로 기구 헤드를 위로 움직이고 동시에 스탬프 백킹 상에 적용된 공기력을 감소시켜 스탬프를 얇은 조각층으로 가르는 것을 나타낸다.
도 2a는 전단 오프셋 프린팅을 위해 사용되어지는 복합 스탬프(composite stamp)의 3차원 개략도의 일예를 나타내는 도면이다.
도 2b는 전단 오프셋 프린팅과 함께 사용되어지는 다양한 스탬프 포스트의 개략적인 단면을 나타내는 도면이다.
도 3은 안티-새그(anti-sag) 및 고정 특징(stabilization feature)을 포함하는 탄성 스탬프의 전사면에 릴리프 특징을 위한 레이아웃의 일예를 나타내는 도면이다.
도 4a는 전사 프린팅 기구 헤드 장치에 부착된 보강된 복합 스탬프를 나타내는 개략적인 단면도이다. 도 4a는 프린트 기구 헤드에 전단 오프셋을 위한 실시예를 나타내는 도면이고, 도 4b는 수신 기판에 전단 오프셋을 위한 실시예를 나타내는 도면이고, 도 4c는 전사 프린팅 기구의 일 실시예의 사진을 나타내는 도면이다.
도 5는 도 4a의 화살표에 나타낸 플랜지를 장착한 강화된 복합 스탬프에 적용된 전단 오프셋의 기능으로서 실리콘 칩렛 전사 프린팅 이득을 그림으로 표현한 도면이다. 삽입물은 전사 프린트된 실리콘 칩렛의 3×2 서브-어레이의 고해상도 광학 이미지를 나타낸다.
도 6a는 힘이 적용된 상태에서 기판으로부터 박리(delamination)를 하는 스탬프 포스트의 유한요소 시뮬레이션(finite element simulation)을 수행하기 위해 사용된 복합 스탬프 모델을 나타내는 개략도이다.
도 6b는 도 5a에 나타낸 기판으로부터 박리하는 스탬프 포스트의 유한요소 시뮬레이션 결과를 나타내는 도면이다. 스탬프 내부 메시(mesh) 요소의 수직 변위는 등고선 플롯(contour plot)을 이용하여 나타낸다.
도 7a는 스탬프 글래스 백킹 레이어에 적용된 전단 오프셋의 기능으로서 복합 스탬프 포스트를 박리하기 위해 필요한 계산된 최대 에너지에 일반화된 에너지의 결과로 인실리코(in silico)의 플롯이다.
도 7b는 스탬프 글래스 백킹 레이어에 적용된 전단 오프셋의 기능으로서 복합 스탬프 포스트의 박리 비율의 결과로 인실리코의 플롯이다.
도 8a는 기판으로부터 박리하는 플랫(비구성된 프린팅 면) 스탬프의 유한요소 시뮬레이션을 수행하기 위해 사용된 복합 스탬프 모델의 개략도이다.
도 8b는 기판으로부터 박리하는 도 7a의 복합 스탬프의 결과로 유한요소 시뮬레이션을 나타내는 도면이다. 스탬프 내부 메시 요소의 수직 변위가 표시된다. 도면 명료성을 향상하기 위해, 형상이 변형된 스탬프는 100이란 인자로 확대된다.
도 9a는 복합 스탬프 글래스 백킹에 적용된 공기력의 기능으로서 에지 길이가 박릭된 복합 스탬프의 결과로 유한요소 시뮬레이션을 나타내는 도면이다.
도 9b는 스탬프 외부 에지에 수직 변위의 기능으로서 에지-길이가 박리된 복합 스탬프의 결과로 유한요소 시뮬레이션을 나타내는 도면이다.
도 10a는 복합 스탬프의 정상화된 시간 유닛당 65㎜의 일정한 박리 비율을 수행하기 위해 시간에 걸쳐 압력 프로필의 모델 예보를 제공하는 도면이다.
도 10b는 복합 스탬프의 일정한 박리 비율을 수행하기 위해 수직 동작 시간 코스(예를 들면, 스탬프 에지와 기판 사이의 수직 분리의 비율)의 모델 예보를 제공하는 도면이다.
도 10c는 최적화된 압력 및 수직 동작 프로필이 이행될 때, 시간(정상화된)의 기능으로서 박리된 에지 길이를 플로팅함으로써 복합 스탬프 박리 비율의 결과로 유한요소 시뮬레이션을 제공하는 도면이다.
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a process flow diagram as a cross-sectional view illustrating steps for implementing one embodiment of shear offset printing. FIG. 1A is an illustration of an array of transfer printing stamps, FIG. 1B is a lamination of transfer printing stamps by applying air force to stamp backing, FIG. 1C illustrates moving the instrument head in a given X and / or Y position to apply in- 1d shows that the instrument head is moved up in the Z direction and at the same time the air force applied on the stamp backing is reduced to shrink the stamp into a thin slice layer.
2A is a diagram showing an example of a three-dimensional schematic view of a composite stamp to be used for shear-offset printing.
Figure 2b is a schematic cross-sectional view of various stamp posts used with shear offset printing.
Figure 3 is an illustration of an example of a layout for a relief feature on the transfer surface of an elastic stamp including an anti-sag and a stabilization feature.
4A is a schematic cross-sectional view illustrating a reinforced composite stamp attached to a transfer printing mechanism head device. FIG. 4A is a view showing an embodiment for a front end offset to a printing apparatus head, FIG. 4B is a view showing an embodiment for front end offset to a receiving substrate, and FIG. 4C is a photograph showing an embodiment of a transfer printing mechanism .
Figure 5 is a pictorial representation of the silicon chip transfer printing gain as a function of the shear offset applied to the reinforced composite stamp with the flange shown in Figure 4a. The insert represents a high resolution optical image of a 3x2 sub-array of transfer printed silicon chips.
6A is a schematic diagram illustrating a composite stamp model used to perform a finite element simulation of a stamp post with delamination from a substrate in a force applied state.
6B is a view showing a result of a finite element simulation of a stamp post to be peeled off from the substrate shown in FIG. 5A. The vertical displacement of the mesh element inside the stamp is represented using a contour plot.
7A is a plot of in silico as a result of the energy normalized to the calculated maximum energy required to peel the composite stamp post as a function of the shear offset applied to the stamped backing layer.
FIG. 7B is a plot of silylcoat as a result of the release rate of the composite stamp post as a function of the shear offset applied to the stamped backing layer.
8A is a schematic diagram of a composite stamp model used to perform a finite element simulation of a flat (unconfigured printing surface) stamp peeling off a substrate.
Fig. 8B is a view showing a finite element simulation as a result of the composite stamp of Fig. 7A to be peeled off the substrate. Fig. The vertical displacement of the mesh element inside the stamp is displayed. In order to improve drawing clarity, the shape-modified stamp is enlarged to a factor of 100.
9A is a view showing a finite element simulation as a result of a composite stamp with an edge length being a function of the aerodynamic force applied to the composite stamped glass backing.
9B is a view showing a finite element simulation as a result of an edge-length peeled composite stamp as a function of the vertical displacement at the stamp outer edge.
Figure 10A is a diagram that provides model predictions of the pressure profile over time to perform a constant release rate of 65 mm per normalized time unit of composite stamp.
10B is a view that provides model predictions of a vertical operating time course (e.g., a ratio of vertical separation between the stamp edge and the substrate) to perform a constant removal rate of the composite stamp.
Fig. 10C is a view that provides a finite element simulation as a result of composite stamp peel ratio by plotting the stripped edge length as a function of time (normalized) when an optimized pressure and vertical motion profile is implemented.

"프린팅"은 제 1 면으로부터 제 2 면에, 반도체 소자와 같은, 특징을 전사하는 처리에 관련된다. 일 측면에서, 제 1 면은 도너 면(donor surface)이고 제 2 면은 수신면이고, 전사는 전사면을 가지는 스탬프와 같이 중간면에 의해 개재된다. 일 측면에서, 제 1 면은 하나 이상의 반도체 소자가 지지된 스탬프 상의 전사면이고, 스탬프는 타겟 기판상의 수신면에 소자를 방출하고, 그것에 의해 반도체 소자를 전사한다. 일 측면에서, 프린팅은 프린트 가능한 반도체의 건조 전사 프린팅이고, 고체 대상(solid object) 및 스탬프 면 사이의 접착력은 비율-민감도(rate-sensitive)이다.
"Printing" relates to the process of transferring features, such as semiconductor elements, from a first side to a second side. In one aspect, the first surface is a donor surface, the second surface is a receiving surface, and the transfer is interposed by an intermediate surface, such as a stamp having a transfer surface. In one aspect, the first surface is a transfer surface on a stamp on which one or more semiconductor elements are supported, and the stamp emits the element to a receiving surface on the target substrate, thereby transferring the semiconductor element. In one aspect, the printing is dry transfer printing of a printable semiconductor, and the adhesion between the solid object and the stamp surface is rate-sensitive.

"스탬프"는 프린팅, 예를 들면 건조 전사 프린팅을 통한 구조 및 물질의 전사, 조립 및/또는 통합에 대한 구성에 관련된다. 복합 스탬프는, 참조로서 여기에 구체화된 2008년 8월 29일 제출된 12/177,963에 기술된 복합 스탬프로서, 픽업 및 릴리즈/프린트 시스템에 대해 각별히 유용하고, 여기서 스탬프는 그 도너 기판으로부터 마이크로 또는 나노구조를 픽업하기 위한 도너 기판과 첫번째로 적층 또는 접촉될 수 있고, 마이크로- 또는 나노구조를 전사하는 수신 기판과 접촉을 순차적으로 이룬다.
"Stamp" relates to the configuration for transfer, assembly and / or integration of structures and materials via printing, for example, dry transfer printing. The composite stamp is particularly useful for a pick-up and release / printing system, as described in 12 / 177,963, filed August 29, 2008, incorporated herein by reference, wherein the stamp is transferred from its donor substrate to a micro or nano And then contacted with a donor substrate for picking up the structure and then contacted with a receiving substrate to which the micro-or nano structure is transferred, which can be firstly laminated or contacted.

"복합 스탬프"는 하나 이상의 물질과 같이, 하나 이상의 성분을 가지는 스탬프에 관련한다. 일 측면에서, 복합 스탬프는 변형 가능한 레이어와 강성 지지 레이어로 구성되고, 변형 가능한 레이어와 지지 레이어는 다른 화학적 성분 및 기계적 성질을 갖는다. 변형 가능한 레이어는, 예를 들어 글래스 또는 탄성 섬유, 예를 들어 나노 미립자 또는 마이크로 미립자 또는 그것의 조합과 같은 미립자와 같은 하나 이상의 폴리머와 섬유의 조합을 가지는 강화 레이어와 같은 복합 폴리머 레이어를 임의로 포함한다.
A "composite stamp" relates to a stamp having one or more components, such as one or more materials. In one aspect, the composite stamp comprises a deformable layer and a rigid support layer, and the deformable layer and the support layer have different chemical composition and mechanical properties. The deformable layer optionally comprises a composite polymer layer, such as a reinforcing layer having a combination of fibers and one or more polymers such as, for example, glass or elastic fibers, for example, microparticles or microparticles or combinations thereof .

변형 가능한 레이어는 탄성 중합체 레이어(elastomer layer)일 수 있다. "탄성 중합체(Elastomer)" 또는 "탄성(elastomeric)"은 늘려지거나 변형될 수 있고 실질적인 불변의 변형이 아닌 원래 형태로 되돌아오는 중합체의 물질과 관련된다. 일반적으로 탄성 중합체는 사실상 탄력 있는 변형을 받는다. 본 발명에 유용한 모범적인 탄성 중합체는 폴리머, 코폴리머, 폴리머와 코폴리머의 복합 물질 또는 합성물을 포함할 수 있다. 탄성 레이어는 적어도 하나의 탄성 중합체를 포함하는 레이어에 관련된다. 또한 탄성 레이어는 도펀트(dopant) 및 다른 비-탄성 물질을 포함할 수 있다. 본 발명에 유용한 탄성 중합체는, 제한적이지는 않지만, 폴리(디메틸 실록세인(dimethyl siloxane))(예를 들어, PDMS 및 h-PDMS), 폴리(메틸 실록세인(methyl siloxane)), 부분적으로 알킬화된 폴리(메틸 실록세인), 폴리(알킬 메틸 실록세인(alkyl methyl siloxane)) 및 폴리(페닐 메틸 실록세인(phenyl methyl siloxane), 실리콘 변형 탄성 중합체(silicon modified elastomer),열가소성 탄성 중합체, 스티레닉 물질(styrenic material), 올레페닉 물질(olefenic material), 폴리올레핀(polyolefin), 폴리우레탄 열가소성 탄성 중합체, 폴리아미드(polyamide), 합성 고무, 폴리이소부틸렌(polyisobutylene), 폴리(스티렌-부타디엔-스티렌(styrene-butadiene-styrene)), 폴리우레탄, 폴리클로로프렌(polychloroprene) 및 실리콘을 포함하는 폴리실록세인과 같은 폴리머를 내포하는 실리콘을 포함할 수 있다.
The deformable layer may be an elastomer layer. "Elastomer" or "elastomeric" relates to a material of a polymer which can be stretched or deformed and returned to its original form, rather than a substantially constant deformation. In general, elastomers undergo substantially elastic deformation. Exemplary elastomers useful in the present invention may include polymers, copolymers, composite materials or composites of polymers and copolymers. The elastic layer is associated with a layer comprising at least one elastomer. The elastic layer may also include dopants and other non-elastic materials. Elastomers useful in the present invention include, but are not limited to, poly (dimethyl siloxane) (e.g. PDMS and h-PDMS), poly (methyl siloxane), partially alkylated Poly (methyl siloxane), poly (alkyl methyl siloxane), and poly (phenyl methyl siloxane), silicone modified elastomer, thermoplastic elastomer, styrenic material styrenic materials, olefinic materials, polyolefins, polyurethane thermoplastic elastomers, polyamides, synthetic rubbers, polyisobutylene, poly (styrene-butadiene-styrene- butadiene-styrene), polyurethane, polychloroprene, and silicon containing polysiloxane.

"지지된(Supported)"은 소자가 다른 면(예를 들면, 수신면)에 전사되어질 수 있도록 스탬프의 면(예를 들면, 전사면) 상에, 예를 들어 반도체를 형성하는 마이크로 또는 나노 구조와 같은 반도체 소자를 수신했던 스탬프에 관련된다. "잉크로 칠해진(Inking)"은 도너 기판으로부터 스탬프에 마이크로 또는 나노 구조의 픽업(pickup) 또는 전사(transfer)의 단계에 관련된다.
"Supported" refers to a micro or nanostructure that forms, for example, a semiconductor, on the surface (e.g., a transfer surface) of the stamp so that the device can be transferred to another surface Lt; RTI ID = 0.0 &gt; semiconductor device. &Lt; / RTI &gt;"Inking" relates to the step of picking up or transferring a micro or nano structure from a donor substrate to a stamp.

여기에 사용된 표현 "반도체 소자" 및 "반도체 구조"는 같은 뜻으로 사용되고 대체적으로 물질, 구조, 장치 및/또는 장치의 조합에 관련된다. 반도체 소자는 고품질의 단결정(single crystalline) 및 다결정(polycrystalline) 반도체, 고온 처리를 통해 제조된 반도체 물질, 도프된(doped) 반도체 물질, 유기 및 무기질 반도체와 반도체 물질 및 하나 이상의 추가적인 반도체 성분 및/또는 비-반도체 성분, 예를 들어 절연 레이어 또는 물질 및/또는 전도 레이어 또는 물질과 같은 구조의 합성물을 포함한다. 반도체 소자는 반도체 장치 및 제한적이지는 않지만, 트랜지스터, 태양전지를 포함하는 포토발테익스(photovoltaics), 다이오드, 발광 다이오드, 레이저, p-n 접합, 포토다이오드, 집적 회로 및 센서를 포함하는 장치 성분을 포함한다. 게다가, 반도체 소자는 기능적 반도체 단(end functional semiconductor)을 형성하는 부분 또는 일부에 관련된다.
As used herein, the expressions "semiconductor device" and "semiconductor structure" are used interchangeably and relate generally to a combination of materials, structures, devices, and / or devices. Semiconductor devices can be fabricated from high quality single crystalline and polycrystalline semiconductors, semiconductor materials made through high temperature processing, doped semiconductor materials, organic and inorganic semiconductor and semiconductor materials and one or more additional semiconductor components and / Non-semiconductive components, such as insulating layers or materials, and / or composites of structures such as conductive layers or materials. Semiconductor devices include semiconductor devices and device components including, but not limited to, transistors, photovoltaics including photovoltaic cells, diodes, light emitting diodes, lasers, pn junctions, photodiodes, integrated circuits and sensors . In addition, semiconductor devices relate to portions or portions that form an end functional semiconductor.

"반도체(Semiconductor)"는 물질이 매우 낮은 온도에서 절연체이나 약 300켈빈(Kelvin)의 온도에서 뚜렷한 전기 전도도를 가지는 어떠한 물질과 관련된다. 발명의 상세한 설명에서, 용어 반도체의 사용은 마이크로 전자 및 전자 장치의 종래의 용어 사용과 일치되는 것으로 해석된다. 본 발명에서 유용한 반도체는 예를 들면, 실리콘, 게르마늄 및 다이아몬드와 같은 소자 반도체와 예를 들면, SiC 및 SiGe와 같은 Ⅳ족 합성물 반도체(group Ⅳ compound semiconductors), AlSb, AlAs, Aln, AlP, BN, GaSb, GaAs, GaN, GaP, InSb, InAs, InN 및 InP와 같은 Ⅲ-Ⅴ족 반도체, AlxGa1 - xAs와 같은 Ⅲ-Ⅴ족 3원 반도체 합금(group Ⅲ-Ⅴ ternary semiconductors alloys), CsSe, CdS, CdTe, ZnO, ZnSe, ZnS 및 ZnTe와 같은 Ⅱ-Ⅵ족 반도체, CuCl과 같은 I-Ⅶ족 반도체, PbS, PbTe 및 SnS와 같은 Ⅳ-Ⅵ족 반도체, PbI2, MoS2 및 GaSe와 같은 레이어 반도체, CuO 및 Cu2O와 같은 산화물 반도체 등의 화합물 반도체를 포함한다. 용어 반도체는, 주어진 응용 또는 장치에 대해 유용한 전자적 성질을 제공하기 위해, p-타입 도핑 물질 및 n-타입 도핑 물질을 가지는 반도체를 포함하는 하나 이상 선택된 물질로 도핑된 진성 반도체 및 불순물 반도체를 포함한다. 용어 반도체는 반도체 및/또는 도펀트의 혼합물을 포함하는 복합 물질을 포함한다. 본 발명의 몇몇 응용에 유용한 특정한 반도체 물질은, 제한적이진 않지만, Si, Ge, SiC, AlP, AlAs, AlSb, GaN, GaP, GaAs, GaSb, InP, InAs, GaSb, InP, InAs, InSb, ZnO, ZnSe, ZnTe, CdS, CdSe, ZnSe, ZnTe, CdS, CdSe, CdTe, HgS, PbS, PbSe, PbTe, AlGaAs, AlInAs, AlInP, GaAsP, GnInAs, GaInP, AlGaAsSb, AlGaInP 및 GaInAsP를 포함한다. 다공성 실리콘 반도체 물질은 발광 다이오드(LEDs)와 고체 레이저와 같은 센서와 발광 물질의 분야에서 본 발명의 응용에 유용하다. 반도체 물질의 불순물은 반도체 물질에 제공된 반도체 물질 자신 또는 어떠한 도펀트 이외의 원자, 원소, 이온 및/또는 분자이다. 불순물은 반도체 물질의 전자 성질에 부정적으로 나쁜 영향을 줄 수 있는 반도체 물질에 존재하는 바람직하지 않은 물질이고, 제한적이진 않지만, 산소, 카본 및 중금속을 포함하는 금속을 포함한다. 중금속 불순물은, 제한적이진 않지만, 주기율표 상의 구리와 납(lead) 사이의 원소 그룹, 칼슘, 나트륨 및 모든 이온과 그들의 합성물 및/또는 복합체를 포함한다.
"Semiconductor" refers to any material whose material has an electrical conductivity at a temperature of about 300 Kelvin or an insulator at a very low temperature. In the description of the invention, the use of the term semiconductor is interpreted to be consistent with conventional usage of microelectronics and electronic devices. Semiconductors useful in the present invention include, for example, device semiconductors such as silicon, germanium and diamond and Group IV compound semiconductors such as SiC and SiGe, AlSb, AlAs, Aln, AlP, BN, GaSb, GaAs, GaN, GaP, InSb, InAs, InN and ⅲ-ⅴ as InP group semiconductor, Al x Ga 1 - x as ⅲ-ⅴ Group source semiconductor alloy (group ⅲ-ⅴ ternary semiconductors alloys ) 3 , such as, II-VI semiconductors such as CsSe, CdS, CdTe, ZnO, ZnSe, ZnS and ZnTe, I-VII semiconductors such as CuCl, IV-VI semiconductors such as PbS, PbTe and SnS, PbI 2 , MoS 2 and GaSe semiconductor layer, an oxide such as CuO and Cu 2 O and the like include a compound semiconductor such as a semiconductor. The term semiconductor includes intrinsic and doped semiconductors doped with one or more selected materials, including semiconductors having p-type doping materials and n-type doping materials, to provide useful electronic properties for a given application or device . The term semiconductor includes a composite material comprising a semiconductor and / or a mixture of dopants. Specific semiconductor materials useful in some applications of the present invention include but are not limited to Si, Ge, SiC, AlP, AlAs, AlSb, GaN, GaP, GaAs, GaSb, InP, InAs, GaSb, InP, InAs, InSb, ZnO, ZnSe, ZnTe, CdS, CdSe, ZnSe, ZnTe, CdS, CdSe, CdTe, HgS, PbS, PbSe, PbTe, AlGaAs, AlInAs, AlInP, GaAsP, GnInAs, GaInP, AlGaAsSb, AlGaInP and GaInAsP. Porous silicon semiconductor materials are useful in applications of the present invention in the field of sensors and luminescent materials such as light emitting diodes (LEDs) and solid state lasers. Impurities of the semiconductor material are atoms, elements, ions and / or molecules other than the semiconductor material itself or any dopant provided in the semiconductor material. Impurities are undesirable materials present in semiconductor materials that can negatively affect the electronic properties of semiconductor materials, including, but not limited to, metals including oxygen, carbon, and heavy metals. Heavy metal impurities include, but are not limited to, elemental groups between copper and lead on the periodic table, calcium, sodium and all ions and their composites and / or complexes.

"릴리프 특징(Relief feature)"은, 도너 기판으로부터 타겟 기판에 반도체 소자의 건조-전사 프린팅을 촉진하는, 예를 들어 3차원 릴리프 패턴과 같이, 변형 가능한 레이어의 저면 또는 외부 면에 돌기, 연장 또는 돌출과 관련된다. 일 측면에서, 변형 가능한 레이어의 릴리프 특징은 프린트 가능한 면적을 정의한다. "프린트 가능한 면 구역" 또는 "영역"은 도너 기판으로부터 타겟 기판에 전사 구조에 사용된 스탬프의 일부와 관련된다. "활성 면 영역(Active surface region)"은 "프린트 가능한 면 영역"과 교체 가능하게 사용된다. "릴리플 특징의 패턴"은, 예를 들어 특징의 어레이와 같이, 복수의 나노 구조 또는 마이크로 구조를 포함하는 복수의 특징과 관련된다. 릴리프 특징은 특정한 기능을 만족시키기 위해 요구된 각 집단(population)으로, 복수의 별개 집단으로부터 차례차례 구성될 수 있다. 예를 들면, 하나의 집단은 반도체 소자의 리프트-오프(lift-off) 및 전사를 촉진하는 프린팅 포스트를 포함할 수 있다. 다른 집단은 휨, 비틀림이 없는 스탬프를 확보하는 고정 특징(stability feature)을 포함할 수 있고, 만약 그렇지 않으면, 수신 기판 면으로 적층 및/또는 박리 동안 원하지 않는 변형을 받을 수 있다. 일 측면에서, 각 집단은 높이, 길이 또는 폭과 같은 다른 기하학 구조, 치수를 가지거나, 또는 예를 들어, 그 집단에 대한 효과적인 영률(Young's modulus)과 같이 다른 물리적 파라미터를 야기하는 물질로부터 구성된다. 일 측면에서, 집단은 복수의 서브-집단을 포함한다.
"Relief feature" refers to a feature that promotes dry-transfer printing of a semiconductor device from a donor substrate to a target substrate, such as a three-dimensional relief pattern, that protrudes, extends, It is related to protrusion. In one aspect, the relief feature of the deformable layer defines the printable area. "Printable surface area" or "area" relates to a portion of the stamp used in the transfer structure from the donor substrate to the target substrate. "Active surface region" is used interchangeably with "printable surface area &quot;. A "pattern of reel ripple features " is associated with a plurality of features including a plurality of nanostructures or microstructures, such as, for example, an array of features. A relief feature is a population that is required to satisfy a particular function, and can be configured in turn from a plurality of distinct groups. For example, one population may include a printing post that promotes lift-off and transfer of semiconductor devices. The other population may include a stability feature to secure a stamp without bending, twisting, or otherwise subject to unwanted deformation during lamination and / or exfoliation with the receiving substrate surface. In one aspect, each group is constructed from materials that have other geometries, dimensions, such as height, length, or width, or that cause other physical parameters, such as, for example, an effective Young's modulus for the group . In one aspect, the group comprises a plurality of sub-groups.

"적층(Lamination)"은 복합 물질의 레이어를 결합하는 처리 또는 제 1 물질 또는 레이어와 제 2 레이어 또는 물질(예를 들어, 리지 백킹(rigid backing)과 강화 레이어, 리지 백킹과 변형 가능한 레이어, 강화 레이어와 변형 가능한 레이어, 반도체 소자와 전사면 또는 수신면 사이와 같이) 사이의 접촉을 일으키는 처리에 관련된다. "박리(Delamination)"는 스탬프 전사면-반도체 소자 분리 또는 스탬프 전사면-수신 기판 분리에 관련된다. 특히, 스탬프가, 반도체 소자로 잉크된 프린팅 포스트인 릴리프 특징을 가지는 실시예에서, 박리 비율은 반도체 소자로부터 프린팅 포스트 면의 분리에 관련된다. 박리 비율은 개개의 반도체 소자로부터 박리하는 단일 포스트 면에 관련될 수 있다. 대안적으로, 박리 비율은 프린트 가능한 면 영역 내에 모든 포스트 구종에 대한 공간적으로 평균화된 비율(spatially-averaged rate)에 관련될 수 있다. 일반적으로, 여기에 제공된 처리들은 종래의 기술보다 충분히 높은 박리 비율을 위해 높은 전사 이득 및 배치 정확도를 촉진한다. 예를 들면, 전단이 없고, 전사 이득 또는 배치 정확도 상의 어떠한 측정가능한 영향이 없는 종래 기술과 비교하여, 박리 비율은 2배 더 높거나, 또는 최대 10배 더 높을 수 있다.
"Lamination" refers to a process of bonding a layer of a composite material or a process of bonding a layer of a first material or layer and a second layer or material (e.g., rigid backing and strengthening layers, Such as between a layer and a deformable layer, between a semiconductor element and a transfer surface or a receiving surface. "Delamination" relates to stamp transfer surface-semiconductor element separation or stamp transfer surface-receiving substrate separation. In particular, in embodiments where the stamp has a relief feature that is a printing post inked with a semiconductor element, the peel rate is related to the separation of the printing post surface from the semiconductor element. The exfoliation rate may be related to a single post face peeling off the individual semiconductor elements. Alternatively, the peel rate may be related to the spatially-averaged rate for all post-seeds within the printable surface area. In general, the treatments provided herein facilitate a high transfer gain and placement accuracy for a sufficiently high removal rate than conventional techniques. For example, the peel ratio can be two times higher, or up to 10 times higher, compared to the prior art without shear and without any measurable effect on transfer gain or placement accuracy.

"충분히 일정한(Substantially constant)"은 평균값과 비교하여 10% 이하로 변화하는 변수와 관련된다. 예를 들어, 충분히 일정한 박리 비율은 박리 사이클에 걸쳐 평균 비율로부터 10% 이하로 변화하는 비율과 관련된다. 충분히 평행한은 실제 평행의 적어도 10% 이내인 방향에 관련된다.
"Substantially constant" relates to a variable that varies by less than 10% compared to the mean. For example, a sufficiently constant removal rate is associated with a rate that varies from an average rate to less than 10% over the separation cycle. A sufficiently parallel is related to a direction that is within at least 10% of the actual parallelism.

"기판"은, 예를 들어 반도체 소자의 패터닝(patterning), 조립 및/또는 집적과 같이, 처리가 안내되는 구조 또는 물질에 관련된다. 기판은, 제한적이진 않지만, (ⅰ) 반도체 소자가 조립되고, 퇴적되고, 전사 또는 지지되는 구조; (ⅱ) 장기 기판, 예를 들면, 전자 장치 기판; (ⅲ) 다음의 전사, 조립 또는 집적을 위한, 반도체 소자와 같은, 원소를 가지는 도너 기판; 및 (ⅳ) 반도체 소자와 같은, 프린트 가능한 구조를 수신하는 타켓 기판을 포함한다.
"Substrate" relates to structures or materials in which processing is guided, such as, for example, patterning, assembling and / or integrating semiconductor devices. The substrate may be, but is not limited to: (i) a structure in which semiconductor devices are assembled, deposited, transferred or supported; (Ii) an extended substrate, for example, an electronic device substrate; (Iii) a donor substrate having elements, such as semiconductor elements, for subsequent transfer, assembly or integration; And (iv) a target substrate that receives a printable structure, such as a semiconductor device.

"배치 정밀도(Placement accuracy)"는 패턴 전사 방법 또는 기판의 선택된 영역에 패턴을 생성하는 장치의 능력과 관련된다. "좋은 배치" 정밀도는 5 마이크론(micron)이거나 이하의 단호히 정확한 오리엔테이션으로부터 공간 편차로 기판의 선택 영역에서 패터닝을 생성할 수 있는, 특히 타겟 기판 상의 반도체 소자의 패턴을 생성할 수 있는 방법 및 장치에 관련된다.
"Placement accuracy" relates to the ability of a device to generate a pattern in a pattern transfer method or a selected area of a substrate. A "good placement" precision can produce patterning in a selected area of the substrate with spatial variations from a strictly accurate orientation of 5 microns or less, and in particular, to a method and apparatus capable of producing a pattern of semiconductor elements on a target substrate .

"동작가능하게 접속된"은 접속될 때 구성요소 또는 레이어의 기능이 유지되도록 본 발명의 복합 패터닝 장치의 레이어 및/또는 장치 요소의 구성에 관련된다. 동작가능하게 접속된 레이어 또는 장치 요소는, 배치에 관련되고, 여기서 레이어 또는 장치 요소에 적용된 힘은 다른 레이어 또는 장치 요소에 전달된다. 동작가능하게 접속된 레이어 또는 장치 요소는, 물리적 접촉으로 내부 및/또는 외부 면을 가지는 레이어와 같이, 접촉될 수 있다. 대안적으로, 동작가능하게 접속된 레이어 및/또는 장치 요소는, 2개의 레이어 또는 장치 요소의 내부 및/또는 외부 면 사이에 위치되거나, 또는 2개 이상의 레이어 또는 요소 사이에 움직이는, 얇은 금속 레이어 또는 강화 레이어와 같은, 하나 이상의 접속 레이어에 접속될 수 있다. 일 실시예에서, 강화 레이어에서 스탬프가 휘지 않거나 리지 레이어 분열을 가지지 않는 보다 높은 활성력을 견딜수 있도록 리지 레이어 및 강화 레이어는 "동작가능하게 접속"되고, 그렇지 않으면, 강화 레이어가 없는 스탬프와 비교하여 손해를 입는다.
"Operably connected" relates to the organization of the layers and / or device elements of the composite patterning device of the present invention so that the functionality of the component or layer is maintained when connected. A layer or device element operably connected is associated with a layout, wherein the force applied to the layer or device element is communicated to another layer or device element. The operatively connected layer or device element may be in contact, such as a layer having internal and / or external surfaces in physical contact. Alternatively, the operatively connected layers and / or device elements may be located between two layers or between the inner and / or outer surfaces of the device elements, or between two or more layers or elements, May be connected to one or more connection layers, such as an enhancement layer. In one embodiment, the ridge layer and the enhancement layer are "operably connected" in order to withstand the higher activation forces that do not bend or have no ridge layer break in the enhancement layer, It suffers.

본 발명은 다음의 제한하지 않는 예시에 의해 더 이해될 수 있다. 이에 의하여 여기에 인용된 모든 참조는 여기의 기재에 상반하지는 범위까지의 참조에 의해 통합된다. 비록 여기의 기재가 많은 한정성을 내포하지만, 이들은 발명의 현재 우선하는 몇몇 실시예의 예증을 단지 제공하는 것일 뿐 발명의 범위를 제한하는 것으로 해석되는 것은 아니다. 따라서, 예를 들어, 발명의 범위는, 주어진 예시들에 의하기보다는, 첨부된 청구범위와 그와 동등한 것에 의해 확인되어 질 것이다.
The invention may be better understood by the following non-limiting examples. All references cited herein are hereby incorporated by reference to the extent that they are inconsistent with the description herein. Although the description herein contains many limitations, they are merely illustrative of some presently preferred embodiments of the invention and are not to be construed as limiting the scope of the invention. Thus, for example, the scope of the invention will be determined by the appended claims and their equivalents, rather than by the examples given.

여기에 제공된 공정들은 증가된 정확도로 프린트 가능한 반도체 소자의 높은 전사 이득을 달성한다. 여기에 기재된 방법은 전사 프린팅 스탬프의 면으로부터 타겟 기판의 면에 프린트 가능한 반도체 소자를 릴리즈하고, 종래의 전사 프린팅에서 사용된 기판의 중요한 접착성에 의해 제안된 변화를 극복한다. 기판 면의 접착성은 박리를 느리게 하고, 전사 품질의 역효과를 주며, 종합적인 전사 이득을 감소시킨다. 여기에 제안된 방법 및 장치는 저 접착성 면을 가지는 기판 상에 프린트 가능한 반도체 소자의 전사 프린팅을 가능하게 하고, 제어되고 최적화된 전사 스탬프 박리 비율로 프린트 가능한 반도체 소자의 높은 스피드 프린팅의 실현을 가능하게 한다. 방법, 시스템 및 공정은 다양한 사이즈의 탄성 스탬프 및 다양한 사이즈의 도너, 수신 또는 타겟 기판에 장착할 수 있다. 다양한 일면(multi-facet)의 시리즈 및 제어된 테스트는 다음의 명세서, 모범적인 실시예 및 도면을 통해 명백한 것으로, 기술된 장치 및 전사 프린팅 방법의 이익 및 실행 장점을 설명한다.
The processes provided herein achieve a high transfer gain of the printable semiconductor device with increased accuracy. The method described herein releases the printable semiconductor element from the side of the transfer printing stamp to the surface of the target substrate and overcomes the proposed change by the significant adhesion of the substrate used in conventional transfer printing. The adhesion of the substrate surface slows the peeling, adversely affects the transfer quality, and reduces the overall transfer gain. The proposed method and apparatus enable transcription printing of printable semiconductor elements on substrates with low adhesion surfaces and enable high speed printing of semiconductor elements that can be printed with controlled and optimized transfer stamp peel rates . The methods, systems and processes can be mounted on various sizes of elastic stamps and donors of different sizes, receiving or target substrates. A variety of multi-facet series and controlled tests are described in the following specification, exemplary embodiments and drawings, which illustrate the benefits and practice advantages of the described apparatus and transfer printing method.

도 1은 전사 프린팅 스탬프의 박리를 제어하는 발명의 일 실시예에 사용된 단계를 나타낸다. 먼저, 스탬프(10)는 발표된 건조 전사 프린팅 문헌(예를 들어, Khang 등 미국 특허 출원 11/145,574 참조)에 기재된 바와 같이 표준 절차를 사용하는 프린트 가능한 반도체 소자(semiconductor element)(20)의 배열로 덧붙여진다. 일 측면에서, 반도체 소자(20)는 릴리프 특징(relief features)(74)의 3차원 패턴의 외부 면에 대응하는 프린팅 면(72) 상에 있다. 스탬프는 수신 기판 면(30)(도 1a)에 근접(예를 들어, < 100㎛ 이내)하게 된다. 수신 기판(30)에 스탬프(10)의 정확한 광학 배열 이후에, 스탬프는 스탬프 백킹 상면(backing top surface)(40)상에 균일한 공기력(35)의 적용에 의해 기판과 등각 접촉(conformal contact)을 이룬다(도 1b). 다음에, 면내 전단력(in plane shear force)(50)(예를 들어, x-y 면에 사실상 평행한 방향으로 적용된)이 정확한 변위 오프셋(displacement offset)(65)(배열된 위치에서 떠나서)(65)에 의해 기구 헤드 장치(스탬프를 고정하는)를 움직임으로써 스탬프 및/또는 수신 기판의 정확한 변위에 의해 수신 기판에 적용된다(도 1c). 이 측면에서, 도 1c에 나타낸 바와 같이, 결과가 잉크된 스탬프 및 수신 기판 사이의 등각 접촉을 너무 빨리 완전하게 깨트리지 않고, 스탬프와 수신 기판 사이의 면내 변위 또는 전단 오프셋(65)이기만 하면, 전단력 또는 변위(50)는 스탬프, 수신 기판, 또는 둘 다에 적용될 수 있다. 이 전단력은 스탬프 프린팅 포스트(70)의 몇몇 탄성의 기계적 변형을 일으키는, 스탬프 저면(bottom surface)에 전달된다. 마지막으로, 스탬프는 수신 기판(30)에 대해 수직(Z) 방향(80)으로 스탬프(10)를 이동시키고 동시에 스탬프 백킹에 적용된 공기력(35)을 감소시킴으로써 수신 기판으로부터 갈라진다(도 1d). 수직 이동은 수직 방향으로 화살표(80)에 의해 표시되고, 여기서 수직 이동은 스탬프(10), 기판(30) 또는 서로에 관하여 둘 다를 움직임으로써 제어될 수 있다. 공기력에 대한 감소는 화살표(35)의 크기에서 감소에 의해 묘사된다.
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 shows the steps used in one embodiment of the invention to control the peeling of a transfer printing stamp. First, the stamp 10 has an array of printable semiconductor elements 20 using standard procedures as described in published dry transfer printing literature (see, for example, Khang et al., U.S. Patent Application 11 / 145,574) . In one aspect, the semiconductor element 20 is on the printing surface 72 that corresponds to the outer surface of the three-dimensional pattern of relief features 74. The stamp becomes close to the receiving substrate surface 30 (Fig. 1A) (for example, <100 mu m). After the correct optical arrangement of the stamp 10 on the receiving substrate 30 the stamp is in conformal contact with the substrate by the application of a uniform air force 35 on the stamping backing surface 40, (Fig. 1B). Then an in-plane shear force 50 (e.g. applied in a direction substantially parallel to the xy plane) results in an accurate displacement offset 65 (leaving the arranged position) 65, Or by precise displacement of the receiving and / or receiving substrate by moving the instrument head device (which fixes the stamp) by means of the stamping device (Fig. 1C). In this aspect, as long as the result is only the in-plane displacement or shear offset 65 between the stamp and the receiving substrate, without breaking the isometric contact between the inked stamp and the receiving substrate too quickly, as shown in Fig. 1C, Or displacement 50 may be applied to the stamp, the receiving substrate, or both. This shear force is transmitted to the bottom surface of the stamp printing post 70, which causes some elastic mechanical deformation of the stamp printing post 70. Finally, the stamp separates from the receiving substrate by moving the stamp 10 in the direction Z (80) in the perpendicular (Z) direction relative to the receiving substrate 30 and at the same time reducing the air force 35 applied to the stamp backing (FIG. The vertical movement is indicated by the arrow 80 in the vertical direction, where the vertical movement can be controlled by moving both with respect to the stamp 10, the substrate 30, or with respect to each other. The reduction in aerodynamic force is depicted by a decrease in the size of the arrow 35.

도 2a는 스탬프의 전체 면에 걸쳐 적용된 면내 전단력(리지 스탬프 백킹 레이어(rigid stamp backing layer)에 의해)의 균일한 전사를 쉽게 하는 복합 스탬프의 3차원 개략도를 나타낸다. 복합 스탬프는 릴리프(70)와 리세스(recess)(104) 특징을 가지는 탄성 중합체 레이어(elastomeric layer)(202)의 상면(205)에 접속된 리지 백킹 레이어(201)로 구성된다. 글래스 백킹 레이어 두께(230), 탄성 중합체 레이어 두께(231), X(211) 및 Y 방향(221)에서의 스탬프 프린팅 포스트 피치(pitch), 스탬프 프린팅 포스트 폭 및 길이(210, 220) 및 스탬프 프린팅 포스트 높이(212)와 같은 다른 스탬프 크기가 적용된 전단력의 영향을 각각 좌우할 수 있고, 여기에 기재된 전단-오프셋 방법에 의해 높은 이득 및 정확한 전사 프린팅을 제공하기 위해 임의로 최적화된다. 일 측면에서, 어떠한 하나 이상의 이들 파라미터는 원하는 전사 이득, 배치 정확도 및/또는 박리 비율 불변성(delamination rate constancy)을 이루기 위해 선택된다. 탄성 중합체 레이어(202)의 영률(Young's modulus), 스탬프 포스트(70)의 형태(도 2b)는 전단 원조된 스탬프 릴리즈 방법(shear assisted stamp release method)의 효율을 최적화하기 위하여 임의로 조정된다. 일 측면에서, 어떠한 하나 이상의 이들 파라미터는 원하는 전사 이득 및/또는 배치 정확도를 이루기 위해 선택된다. 예를 들면, 도 2b는 4개의 다른 포스트 형태의 예: 표준(선택 가능한 높이(212), 폭(210), 길이(도시하지 않음)), 흡입 컵(suction cup) 및 리엔트런트 프로파일(re-entrant profiles)를 제공한다.
2A shows a three-dimensional schematic view of a composite stamp that facilitates uniform transfer of in-plane shear forces applied over the entire surface of the stamp (by a rigid stamp backing layer). The composite stamp consists of a ridge backing layer 201 connected to an upper surface 205 of an elastomeric layer 202 having relief 70 and recess 104 features. The stamping printing post pitch in the glass backing layer thickness 230, the elastomer layer thickness 231, X 211 and Y direction 221, the stamp printing post width and length 210 and 220, Other stamp sizes such as post height 212 can each independently influence the applied shear force and are optionally optimized to provide high gain and accurate transfer printing by the shear-offset method described herein. In one aspect, any one or more of these parameters are selected to achieve the desired transfer gain, placement accuracy, and / or delamination rate constancy. The Young's modulus of the elastomeric layer 202 and the shape of the stamp post 70 (Figure 2b) are arbitrarily adjusted to optimize the efficiency of the shear assisted stamp release method. In one aspect, any one or more of these parameters are selected to achieve the desired transfer gain and / or placement accuracy. For example, FIG. 2B shows an example of four different post shapes: standard (selectable height 212, width 210, length (not shown)), suction cup and reentrant profile re -entrant profiles.

도 3은 안티-새그(anti-sag) 특징(310)과 같은, 프린팅 포스트(70) 및 고정 특징(stabilization features)(320)을 포함하는 스탬프 포스트 정렬을 위한 대표적인 레이아웃을 나타낸다. 프린팅 포스트(70)는 전사 프린팅 사이클 동안 프린트 가능한 반도체 소자를 지지하기 위해 고려된다. 안티-새그 특징(310)은 이들 리세스된 영역과 도너/수신 기판의 면 사이에 접촉을 회피하기 위해 스탬프(104)의 리세스된 영역을 지지하기 위해 고려된다. 따라서, 일 실시예에서, 안티-새그 특징은 도 2b에 나타낸 프린팅 포스트의 높이(212) 보다 작은 높이를 갖는다. 고정 특징(320)은, 예를 들면 어떠한 특징이 높은 종횡비(aspect ratio)(예를 들어, 높이/폭 >1)를 가질 때, 스탬프 면, 프린팅 포스트(70) 또는 안티-새그 특징(310)의 버클링(buckling)을 방지할 수 있다.
3 illustrates an exemplary layout for stamp post alignment that includes printing posts 70 and stabilization features 320, such as an anti-sag feature 310. The anti- The printing posts 70 are considered for supporting printable semiconductor elements during a transfer printing cycle. The anti-sag feature 310 is considered to support the recessed area of the stamp 104 to avoid contact between these recessed areas and the surface of the donor / receiver substrate. Thus, in one embodiment, the anti-sag feature has a height that is less than the height 212 of the printing post shown in FIG. 2B. The locking feature 320 may be used to secure the stamp surface, the printing post 70, or the anti-sag feature 310, for example, when any feature has a high aspect ratio (e.g., height / width> It is possible to prevent buckling.

반도체 소자의 전단 오프셋 프린팅을 제공할 수 있는 장치의 개략도가 도 4에 제공된다. 2008년 8월 29일 제출된 12/177,963에 기재된 어떠한 복합 스탬프와 같은, 복합 스탬프는 프린트 기구 헤드(500)에 접속된다. 기구 헤드(500)의 더 상세한 것은, 예를 들어, 복합 스탬프, 복합 스탬프를 고정하는 장치 및 프린팅 공정을 위한 참조로 여기에 명확하게 구체화된 12/177,963에 제공된다. 12/177,963에 기재된 바와 같은, 강화된 복합 스탬프(400)는 탄성 중합체 레이어 및/또는 탄성 중합체 레이어와 리지 백킹 레이어 사이의 강화 레이어(410)를 따라, 수신 기판 면(30)을 향하는 릴리프 패턴(74)을 가지는 탄성 중합체 레이어(202)에 접속된, 리지 백플레인 레이어(rigid backplane layer)(201)로 구성된다. 액추에이터(420)는, 면내 변위와 같이 제어된 변위를 제공할 수 있는 종래에 알려진 어떠한 장치이다. 공기력 제어기와 같은, 압력 제어기(430)는 스탬프 상면(40)에 걸쳐 사용자-선택 가능한 균일한 압력(user-selectable uniform pressure)(35)의 적용에 의해 스탬프 상면(40)에 제어 가능한 힘 적용을 제공한다. 도 4a에서, 전단 오프셋은 복합 스탬프(400)가 접속된 기구 헤드(500)의 면내 변위에 의한 스탬프의 면내 변위에 의해 제공된다. 도 4b에 나타낸 바와 같이, 대안적으로, 전단 오프셋은 복합 스탬프(400)와 관련하여 수신 기판(30)의 면내 변위에 의해 제공된다. 여기에 사용된 바와 같이, "면내 변위"는, 도 4에서 xyz축에 의해 지시된 바와 같이, x-y면에서 변위와 관련된다. 변위의 방향이 도 4에 나타낸 x-y축에 의해 형성된 면에 평행으로 10% 이내, 5% 이내 또는 1% 이내이면, 변위는 "면내(in plane)"로 불린다.
A schematic diagram of an apparatus capable of providing shear offset printing of semiconductor devices is provided in Fig. A composite stamp, such as any of the composite stamps described in 12 / 177,963 filed on August 29, 2008, is connected to the printhead head 500. Further details of the instrument head 500 are provided in, for example, compound stamps, apparatus for securing composite stamps, and 12 / 177,963, which is expressly incorporated herein by reference for the printing process. Reinforced composite stamp 400 as described in U.S. Patent Application Publication No. 12 / 177,963 describes a relief pattern (not shown) oriented along a reinforcement layer 410 between an elastomeric layer and / or an elastomeric layer and a ridge backing layer, And a rigid backplane layer 201 connected to an elastomeric layer 202 having a plurality of elastomeric backing layers 74. Actuator 420 is any device known in the art that is capable of providing controlled displacement, such as in-plane displacement. A pressure controller 430, such as an air force controller, applies controllable force to the stamp top surface 40 by application of a user-selectable uniform pressure 35 across the stamp top surface 40 to provide. 4A, the shear offset is provided by the in-plane displacement of the stamp due to the in-plane displacement of the instrument head 500 to which the composite stamp 400 is connected. As shown in FIG. 4B, alternatively, the shear offset is provided by the in-plane displacement of the receiving substrate 30 with respect to the composite stamp 400. As used herein, "in-plane displacement" is related to displacement in the xy plane, as indicated by the xyz axis in Fig. If the direction of displacement is within 10%, within 5%, or within 1% in parallel with the plane defined by the xy-axis shown in FIG. 4, the displacement is referred to as " in plane &quot;.

도 5는 강화된 복합 프린팅 스탬프(도 4a에 개략적으로 표현된)로부터 수신 기판에 칩렛(chiplets)의 전사 이득을 제공한다. 강화된 복합 스탬프는 건조 전사 프린팅 기구(도 4c에 나타낸)에 장착된다. 수신 유리 기판은 BCB(Cyclotene, Dow Chemical Co.)의 얇은 레이어로 코팅된다. 도 5의 각각의 데이터 포인트는 그래프 상의 데이터 포인트당 1,024 칩렛의 총합에 대응하는 256 실리콘 칩렛의 정렬의 4개의 연속하는 건조 전사 프린트의 평균 전사 이득을 나타낸다. 모든 시험을 위해, 실리콘 칩렛은 다음의 물리적 치수: 길이 = 167㎛, 폭 = 50㎛, 두께 = 5㎛를 갖는다. 도 5의 삽입물은 6개의 전사 프린트된 실리콘 칩렛의 광학 이미지이다. 이들 실리콘 칩렛은 그들의 상면에 13 금속의 상호 접속 패드의 정렬을 갖는다. 스탬프는 다음의 물리적 치수: 포스트 피치 = 185 × 185㎛, 포스트 길이 = 167㎛, 폭 = 50㎛, 두께 = 40㎛로 16 × 16 포스트의 정렬을 갖는다. 스탬프는 다음의 물리적 치수: 디스크 직경 = 76.2㎜, 두께는 ~200㎛로 글래스 백킹 레이어를 갖는다. 스탬프의 강화 레이어는, 4-하니스 새틴 스타일 위브 패턴(4-Harness Satin style weave pattern)으로, ~3Oz/Yd2의 중량 밀도 및 90-115㎛의 평균 두께를 가지는 스타일 120E-글래스 섬유 유리 구조(Style 120E-Galss fiberglass fabrics)를 포함하는 우븐 유리 섬유(woven fiberglass)의 링이다. 강화 링(reinforcement ring)은 다음의 물리적 파라미터: 54㎜의 내부 직경, 120㎜의 외부 직경, 2㎜의 홀 직경을 가지는 관통된 링 형태로 레이저 절단된다. 일정한 전단 오프셋은 전체 스탬프 박기 단계에 걸쳐서 강화된 복합 스탬프 마운팅 플랜지에 적용된다. 다른 전단 오프셋 값은, 도 5에 요약된 바와 같이, 오프셋에 따라 변화하는 평균 전사 프린팅 이득을 얻는 각 시험에 사용된다. 제로의 전단 오프셋은 어떠한 전단 오프셋을 사용하지 않는 종래의 전사 프린팅 공정에 대응한다. 이들 결과는 스탬프 전사 프린팅 포스트에 전사된 전단력이 칩렛 전사 프린팅 이득을 포함하는 전사 프린팅 이득에서 중요한 증가를 제공하는 것을 나타낸다. 도 5는, 이들 실험의 상태 하에서, 50㎛의 전단 오프셋을 제공하는 것은 95%부터 99.5% 이상의 반도체 전사 이득을 증가시키는 갓을 나타낸다.
Figure 5 provides the transfer gain of chiplets from the enhanced composite printing stamp (represented schematically in Figure 4a) to the receiving substrate. The reinforced composite stamp is mounted on a dry transfer printing mechanism (shown in Figure 4C). The receiving glass substrate is coated with a thin layer of BCB (Cyclotene, Dow Chemical Co.). Each data point in Figure 5 represents the average transfer gain of four consecutive dry transfer prints of an alignment of 256 silicon chips corresponding to a sum of 1,024 chips per data point on the graph. For all tests, the silicon chip has the following physical dimensions: length = 167 m, width = 50 m, thickness = 5 m. The insert of Figure 5 is an optical image of six transferred silicon chips. These silicon chips have an alignment of 13 metal interconnect pads on their top surface. The stamp has a 16 x 16 post alignment with the following physical dimensions: post pitch = 185 x 185 m, post length = 167 m, width = 50 m, thickness = 40 m. The stamp has the following physical dimensions: disk diameter = 76.2 mm, thickness: ~ 200 μm, glass backing layer. The reinforcing layer of the stamp is a 4-Harness Satin style weave pattern, a style 120E-glass fiber glass structure with a weight density of ~ 3Oz / Yd2 and an average thickness of 90-115 [ 120E-Galss fiberglass fabrics). &Lt; / RTI &gt; The reinforcement ring is laser cut in the form of a perforated ring with the following physical parameters: an inner diameter of 54 mm, an outer diameter of 120 mm, and a hole diameter of 2 mm. Constant shear offsets are applied to reinforced composite stamping flanges throughout the entire stamping step. Other shear offset values are used for each test to obtain an average transfer printing gain that varies with the offset, as summarized in FIG. The zero shear offset corresponds to a conventional transfer printing process that does not use any shear offsets. These results indicate that the shear force transferred to the stamp transfer printing post provides a significant increase in the transfer printing gain including the chitlet transfer printing gain. Figure 5 shows that under these experimental conditions, providing a shear offset of 50 mu m represents a gut that increases the semiconductor transfer gain by 95% to 99.5% or more.

이 공정을 뒷받침하는 물리적 메카니즘을 더 이해하기 위하여, 유한요소 시뮬레이션(finite element simulation)이 수행된다. 도 6a는 단일 프린팅 포스트의 박리를 연구하기 위해 사용된 모델의 개략적인 도면을 나타낸다. 전단 오프셋(50) 및 균일한 힘(801)은 스탬프의 프린팅 힘과 수신 기판(30) 사이의 전개 접촉 인터페이스(evolving contact interface)(500)로 프린팅 포스트(70)를 가지는 탄성 중합체 레이어(202)에 접촉되는 리지 스탬프 레이어(201)에 적용된다. 비 선형 힘 경계 조건은 시뮬레이션 소프트웨어에 의해 사용된 뉴턴 타입 반복 알고리즘(Newton-type iterative algorithms)의 수렴을 쉽게하기 위하여, 하위 경계 조건(low-order boundary condition)이 사용된다:In order to better understand the physical mechanism supporting this process, a finite element simulation is performed. Figure 6a shows a schematic view of a model used to study peeling of a single printing post. The shear offset 50 and the uniform force 801 are applied to the elastomeric layer 202 having the printing posts 70 with an evolving contact interface 500 between the printing force of the stamp and the receiving substrate 30. [ Is applied to the ridge stamp layer (201) which is in contact with the ridge stripe layer (201). A non-linear force boundary condition is used to facilitate the convergence of Newton-type iterative algorithms used by the simulation software, a low-order boundary condition:

Figure 112011046060541-pct00001

Figure 112011046060541-pct00001

이 경계 조건은 스탬프-기판 인터페이스에 존재하는 발데르발스(Van-der Walls) 및 단기 척력(short range repulsion force)을 정확히 설계하지는 않지만, 그럼에도 불구하고 박리 프린팅 포스트의 형태를 적당히 예측하기에는 충분하다. 도 6b는 박리된 길이(610)를 가지는 인터페이스(500)의 일부로 (200um thick)스탬프 글래스 백킹 레이어에 적용된 10um의 전단 오프셋에 대한 기판으로부터 박리하는 프린팅 포스트(50um wide, 40um tall)의 경우에 대해 얻어진 시뮬레이션 실행의 스크린 샷 카피(screen shot copy)를 나타낸다.
This boundary condition does not accurately design Van der Walls and short range repulsion forces present at the stamp-substrate interface, but nevertheless suffices to adequately predict the shape of the peel-off printing post. 6B shows a schematic view of a printing post (50 um wide, 40 um tall) for peeling off the substrate against a 10 um shear offset applied to a stamp glass backing layer (200 um thick) as part of interface 500 with stripped length 610 And a screen shot copy of the obtained simulation run.

시뮬레이션 결과의 분석은 여기에 기술된 전단 오프셋 박리 공정이 2개의 다른 측면에 관하여 효과적인 것을 나타낸다. 첫 번째로, 전단 오프셋은 박리 비율, 특히 타겟 또는 수신 기판으로부터 스탬프 프린팅 포스트의 박리 비율을 제어하기 위한 효과적인 공정이다. 도 7b는 스탬프 글래스 백킹 레이어에 적용된 전단 오프셋의 작용으로서 스탬프 프린팅 포스트 박리 비율비(rate ratio)의 변화를 나타낸다. "박리 비율비"는 스탬프 글래스 백킹 레이어의 수직 변위 당 앞의 포스트 박리의 수평 변위에 관련된다. 이들 인실리코 결과는 스탬프 글래스 백킹에 전단 오프셋의 적용이 스탬프 프린팅 포스트 박리 비율의 실질적인 감소와, 스탬프를 박리하기 위해 요구된 에너지에 상응하는 감소를 야기하는 것을 나타낸다(도 7a 참조). 더 느리고 안정된 스탬프 박리 비율은 일반적으로 대부분의 면에 더 높은 프린팅 이득을 낳는다. 그러나, 전단 오프셋의 적용은, 전단 오프셋을 적용하지 않는 종래의 기술과 비교하여 프린팅 이득의 손실 없이, 수행된 더 높은 박리 비율을 고려한다.
Analysis of the simulation results shows that the shear offset peeling process described herein is effective with respect to two other aspects. First, the shear offset is an effective process for controlling the peel rate, especially the peel rate of the stamp printing post from the target or receiving substrate. Figure 7b shows the change in the stamp printing post peel ratio ratio as a function of the shear offset applied to the stamped glass backing layer. The "peel ratio ratio" relates to the horizontal displacement of the post-peel separation preceding the vertical displacement of the stamp glass backing layer. These in silico results indicate that the application of the shear offset to the stamped glass backing results in a substantial reduction of the stamp printing post peel ratio and a corresponding decrease in energy required to peel the stamp (see FIG. 7A). A slower and stable stamp peel ratio generally results in higher printing gain on most sides. However, the application of shear offsets takes into account the higher exfoliation ratios performed, without loss of printing gain, as compared to conventional techniques that do not apply shear offsets.

비록, 스탬프가 낮은 과피율(peel rate)로 박리되어도, 일반적으로 플라스틱 기판에 대항하는 면, 특히 초-평탄(ultra-smooth)하지 않는 이들 면에 대해 높은 전사 프린팅 이득을 얻는 것은 어렵다. 여기에 기술된 "전단" 방법은 프린팅 이득을 상당히 향상시키고, 타겟 기판으로부터 프린팅 포스트를 분리하기 위해 요구된 에너지가 최소화될 수 있다(도 7a 참조). 이 에너지의 중요한 부분은 변형된 스탬프 프린팅 포스트에서 기계적 피로 에너지(strain energy)로서 저장된다. 도 7a는 스탬프 글래스 백킹에 적용된 전단 오프셋의 작용으로서 스탬프 프린팅 포스트를 박리하기 위해 일반화된 에너지의 변화를 나타낸다. 이 에너지는 스탬프 프린팅 포스트와 칩렛 사이의 인터페이스에서 경계 힘에 의해 행해진 작업을 통합함으로써 얻어진다. 이들 인실리코 결과는 점착의 작업의 최소화가 전사 프린팅 이득의 실험적으로 관측된 증진에 대해 책임지는 것을 제안한다.
Even if the stamp is peeled off at a low peel rate, it is difficult to obtain a high transfer printing gain on those surfaces which generally oppose the plastic substrate, especially those surfaces which are not ultra-smooth. The "shear" method described herein significantly improves the printing gain and the energy required to separate the printing posts from the target substrate can be minimized (see FIG. 7A). An important part of this energy is stored as mechanical strain energy in the modified stamp printing post. Figure 7a shows a generalized change in energy for stripping a stamp printing post as an action of a shear offset applied to the stamped glass backing. This energy is obtained by incorporating the work done by the boundary force at the interface between the stamp printing post and the chiplet. These in silico results suggest that minimization of adhesion work is responsible for the empirically observed enhancement of the transfer printing gain.

높은 전사 프린팅 이득을 얻기 위하여, 전사 프린팅 스탬프의 일정한 박리 비율을 유지하는 것이 요구된다. 복합 스탬프가 타겟 기판으로부터 박리될 때, 유한요소 시뮬레이션은 스탬프 박리 비율의 변화를 분석하기 위해 수행된다. 도 8a는 플랫 전사면 결합구조에 대한 박리를 연구하기 위해 사용된 스탬프 시스템의 개략적인 도면이다. 동일한 비 선형 힘 경계조건은 리지 백킹 레이어(201)와 타겟 기판 수신면(30)에 접속된 탄성 중합체 레이어(202)의 외측 면에 스탬프 전사면 사이의 전개 접촉 인터페이스(500)를 설계하기 위해 사용된다. 스탬프 글래스 백킹 레이어(201)의 외측 에지(803)는 강요된 위치 경계조건(forced position boundary condition)(Δx=0, Δz)을 받는다. 균일한 압력(예를 들어, 단위 면적당 힘(801))은 스탬프의 상면(예를 들어, 리지 백킹 레이어의 상면)에 적용된 공기력의 작용을 시뮬레이팅하는, 복합 스탬프 글래스 백킹(적용된 힘(801)에 상응하는)의 상면(40)에 적용된다. 이 연구는 스탬프 박리 전 연속적인 변화를 얻기 위해 어떠한 릴리프 특징이 없는 복합 스탬프(예를 들어, 플랫 전사면)에 대해 처리된다. 또한, 이 특정한 상황은 분석을 단순화하고 컴퓨터 모델 메시(mesh)의 복잡성을 감소시킨다. 도 8b는 기판 수신면(30)으로부터 박리하는(610) 도 8a의 복합 스탬프의 코드화된 플롯(coded plot)을 나타낸다. 스탬프 변형은 기판(30)으로부터 박리된(610) 스탬프 영역의 형태를 강조하기 위한 인자(100)에 의해 확대된다. 설계된 복합 스탬프는: 100㎜의 폭, 200um의 글래스 백킹 두께, 200um의 탄성 중합체 레이어 두께를 갖는다. 이 특정한 경우에서, 스탬프 에지(803)는 타겟 기판(30)으로부터 수직으로 100㎛ 움직이도록 힘을 받는다. 0.5kPa의 균일한 압력이 복합 스탬프 글래스 백킹 상면(40)에 적용된다.
In order to obtain a high transfer printing gain, it is required to maintain a constant release rate of the transfer printing stamp. When the composite stamp is peeled from the target substrate, the finite element simulation is performed to analyze the change in the stamp peel ratio. 8A is a schematic view of a stamping system used to study peeling for a flat transfer surface joining structure. The same non-linear force boundary condition is used to design the unfolded contact interface 500 between the stamp transfer surface on the outer surface of the elastomeric layer 202 connected to the ridge backing layer 201 and the target substrate receiving surface 30 . The outer edge 803 of the stamped glass backing layer 201 is subjected to a forced position boundary condition (? X = 0,? Z). Uniform force (e.g., force per unit area 801) is applied to the composite stamped glass backing (applied force 801), which simulates the action of the air force applied to the upper surface of the stamp (e.g., the upper surface of the ridge backing layer) Lt; / RTI &gt; (corresponding to the top surface 40). This study is conducted on a composite stamp (e.g., a flat transfer surface) that has no relief features to obtain a continuous change before stamp removal. This particular situation also simplifies the analysis and reduces the complexity of the computer model mesh. 8B shows a coded plot of the composite stamp of FIG. 8A that is peeled (610) from the substrate receiving surface 30. FIG. The stamp deformation is magnified by a factor 100 to emphasize the shape of the stamped area 610 that has been peeled from the substrate 30. The designed composite stamp has a width of 100 mm, a glass backing thickness of 200 um, and an elastomer layer thickness of 200 um. In this particular case, the stamp edge 803 is forced to move vertically 100 占 퐉 from the target substrate 30. A uniform pressure of 0.5 kPa is applied to the composite stamped glass backing top surface 40.

공기력의 영향과 스탬프의 박리에 스탬프 글래스 백킹 에지의 수직 이동을 분리하기 위해 2개의 다른 파라메틱 시뮬레이션이 처리된다. 첫 번째로, 스탬프 글래스 백킹 외측 에지(803)는 Z-오프셋 위치(타겟 기판(30)으로부터 100㎛ 수직 분리)에서 유지되고, 글래스 백킹에 적용된 공기력은 5kPa에서 0.5kPa로 점진적으로 감소된다.
Two different parametric simulations are performed to separate the vertical movement of the stamping glass backing edge to the influence of the air force and the stripping of the stamp. First, the stamp glass backing outer edge 803 is maintained at the Z-offset position (100 占 퐉 vertical separation from the target substrate 30), and the air force applied to the glass backing is progressively reduced from 5 kPa to 0.5 kPa.

도 9a는 적용된 공기 압력의 작용으로서 스탬프 적층 에지 길이(801)(도 8b 참조)의 변화를 나타낸다. "적층된 에지 길이"는 타겟 또는 수신 기판(30)과 등각 접촉에서의 스탬프의 길이와 관련된다. 전단 오프셋 프린팅의 공정 동안, 스탬프가 수신 기판으로부터 수직으로 제거될 때 적층된 에지 길이는 전사의 초기에 최대에서 제로로 감소한다. 이들 결과는, 적용된 공기 압력이 1kPa 아래로 떨어질 때 스탬프 적층 에지 길이가 빠르게 감소하는 것을 나타낸다. 따라서, 박리 비율로부터 스탬프 웨팅(wetting)의 갑작스런 변화를 피하기 위한 박리 단계 동안 복합 스탬프에 잔여 공기 압력의 일부 레벨을 유지하는 것이 바람직하다. 도 9a에서, 글래스 백킹에 적용된 공기 압력은 일정하게(0.5kPa) 유지되고 스탬프 글래스 백킹 외측 에지(803)는 수직방향으로 타겟 기판으로부터 떨어져 점진적으로 이동된다.
FIG. 9A shows the change in stamp lamination edge length 801 (see FIG. 8B) as an effect of applied air pressure. The "stacked edge length" is related to the length of the stamp in conformal contact with the target or receiving substrate 30. During the process of shear offset printing, the stacked edge length decreases from maximum to zero at the beginning of the transfer when the stamp is vertically removed from the receiving substrate. These results show that the stamp laminate edge length decreases rapidly when the applied air pressure drops below 1 kPa. It is therefore desirable to maintain some level of residual air pressure in the composite stamp during the stripping step to avoid a sudden change in stamping wetting from the stripping rate. 9A, the air pressure applied to the glass backing is maintained constant (0.5 kPa) and the stamp glass backing outer edge 803 is gradually moved away from the target substrate in the vertical direction.

도 9b는 스탬프 글래스 백킹 외측 에지 수직 변위(수신 기판면과 관련)의 작용으로서 스탬프 적층 제이 길이(801)의 변화를 나타낸다. 이들 결과는 스탬프 적층 에지 길이가 기판으로부터 떨어져 글래스 백킹 외측 에지의 초기 이동 동안 빠르게 감소하는 것을 나타낸다. 따라서, 박리 비율로부터 스탬프 웨팅의 갑작스런 변화를 피하기 위한 초기의 박리 단계 동안 복합 스탬프에 보다 높은 공기력을 유지하는 것이 바람직하다. 이것은 스탬프의 상면, 특히, 초기 최대 값에서 감소하는 리지 백킹 레이어의 상면에 시간-변화 압력을 적용하기에 유익할 수 있다는 것을 제안한다.
9B shows a change in the stamp laminate length 801 as an action of the stamp glass backing outer edge vertical displacement (relating to the receiving substrate surface). These results indicate that the stamp laminate edge length is rapidly falling off the substrate during the initial movement of the glass backing outer edge. It is therefore desirable to maintain a higher air force on the composite stamp during the initial stripping step to avoid a sudden change in stamping from the stripping rate. This suggests that it may be beneficial to apply the time-varying pressure to the top surface of the stamp, especially the top surface of the ridgebacking layer, which decreases at the initial maximum value.

요컨대, 이들 인실리코 결과는 복합 스탬프 박리 비율에 걸쳐 보다 좋고 더 정확한 제어를 유지하기 위해, 박리 사이클의 초기에서 복합 스탬프 백킹에 적용된 공기 압력을 제어하고 박리 사이클의 끝에서 스탬프 글래스 백킹 외측 에지의 수직 이동을 제어하는 것이 바람직하다는 것을 제안한다. 적용된 공기 압력과 외측 에지 수직 이동이 정확히 조절되면, 고정되고 일정한 스탬프 박리 비율이 얻어질 수 있고, 그것에 의해 전사 프린팅 이득에서 수반하는 향상으로 사실상 일정한 박리 비율을 얻을 수 있다.
In short, these silico effects can be achieved by controlling the air pressure applied to the composite stamp backing at the beginning of the stripping cycle to maintain better and more precise control over the composite stamp stripping rate, and to control the air pressure applied to the stamp glass backing outer edge at the end of the stripping cycle It is suggested that it is desirable to control movement. If the applied air pressure and outer edge vertical movement are precisely adjusted, a fixed and constant stamp peel ratio can be obtained, thereby achieving a substantially constant peel ratio with an improvement in transfer printing gain.

도 10a 및 도 10b는 스탬프 박리 사이클 동안 공기 압력 및 수직 변위를 조절하거나 최적화하는 전형적인 프로토콜이고, 여기에서 공기 압력(도 10a)과 스탬프 에지 수직 분리(도 10b)는 시간(t=0, 박리 사이클의 시작; t=1 박리 사이클의 끝)에 걸쳐 바뀐다. 박리 사이클의 제 1부분 동안, 스탬프 글래스 백킹에 적용된 공기 압력은 급격히 감소되고, 스탬프 외측 에지는 고정된 Z 위치에서 유지된다. 박리 사이클의 제 2부분 동안, 스탬프 글래스 백킹에 적용된 공기 압력은, 비교적 낮은 값으로, 일정하게 유지되고, 스탬프 외측 에지는 로그 방정식(logarithm equation)에 따라 타겟 기판으로부터 떨어져 수직으로 이동된다. 도 10c는 스탬프 적층 길이의 시간에 따른 변화를 나타낸다. 선형 플롯(linear plot)은 박리 비율이 사실상 일정하다는 것을 나타낸다. 이들 결과는 안정된 스탬프 박리 비율이 파라미터, 예를 들어 스탬프의 상면에 압력 및 수직 분리 거리 을 시간에 걸쳐 선택적으로 변화시킴으로써 획득될 수 있다는 것을 나타낸다.
10A and 10B are typical protocols for adjusting or optimizing air pressure and vertical displacement during a stamp peeling cycle wherein the air pressure (Figure 10A) and the stamp edge vertical separation (Figure 10B) are time (t = 0, T = 1 end of the peel cycle). During the first portion of the stripping cycle, the air pressure applied to the stamped glass backing is drastically reduced and the stamped outer edge is held at the fixed Z position. During the second portion of the stripping cycle, the air pressure applied to the stamped glass backing is kept constant at a relatively low value and the stamped outer edge is moved vertically away from the target substrate in accordance with a logarithm equation. Fig. 10C shows a change with time of the stamp lamination length. A linear plot shows that the peel ratio is virtually constant. These results indicate that a stable stamp peel ratio can be obtained by selectively varying the parameters, e.g., the pressure and vertical separation distance over the top surface of the stamp over time.

이 출원을 통한 모든 참조, 예를 들어 발행되거나 등록된 특허 또는 동등한 것을 포함하는 특허 문서; 특허 출원 공개물(patent application publications); 및 비-특허 논문 문서 또는 다른 소스 자료;는 이 문서에 의해, 참조로서 각각 포함되는 것처럼, 그들 전체로서 여기에 참조로서 포함되고, 구체화되고, 각 참조의 범위는 이 출원의 명세와 적어도 일부에서 상반하지 않는다(예를 들어, 부분적으로 상반하는 참조는 참조의 부분적으로 상반하는 부분을 제외하면 참조로서 포함된다).
Any reference throughout this application, for example a patent document that is issued or registered, or an equivalent; Patent application publications; And non-patented papers or other source data are incorporated herein by reference in their entirety and hereby incorporated by reference in their entirety as if each were incorporated herein by reference and the scope of each reference is at least partially (For example, a partially contradictory reference is included as a reference except for the partially contradictory portion of the reference).

여기에 사용된 용어 및 표현은 설명의 용어로서 사용되고 제한되지 않으며, 보여지고 설명된 특징의 동등한 것이나 그것의 부분을 제외하는 이러한 용어 및 표현으로 사용할 목적은 없지만, 다양한 수정이 청구된 발명의 범위 내에서 가능하다는 것이 고려된다. 따라서, 본 발명이 바람직한 실시예에 의해 구체적으로 기술되어 있다 하여도, 바람직한 실시예 및 여기에 기술된 개념의 선택 특징, 수정 및 변화가 당업자에 의해 의지될 수 있고, 이러한 수정 및 변화는 첨부된 특허청구범위에 의해 정의된 바와 같이 이 발명의 범위 내에서 고찰되는 것으로 이해될 수 있다. 여기에 제공된 상세한 실시예는 본 발명의 유익한 실시예의 예시이고, 본 발명이 본 명세서 이전에 설정된 장치, 장치 요소, 방법 단계의 많은 변화를 이용하여 수행될 수 있는 당업자에 의해 명확해질 것이다. 당업자에 의해 명확해짐으로써, 본 방법을 위해 유용한 방법 장치는 많은 수의 임의의 조합 및 공정 요소 및 단계를 포함할 수 있다.
It is to be understood that the terms and expressions used herein are used as terms of description and not of limitation, and are not intended to be used as terms of any of these terms and expressions except for the equivalents of the features shown and described or portions thereof, Lt; / RTI &gt; Thus, although the present invention has been specifically described by preferred embodiments, it will be appreciated by those skilled in the art that the preferred embodiments and optional features, modifications and variations of the concepts described herein may be resorted to by those skilled in the art, But may be understood as being considered within the scope of the invention as defined by the claims. The detailed embodiments provided herein are illustrative of advantageous embodiments of the invention and will be apparent to those skilled in the art that the present invention may be practiced using many variations of the apparatus, device elements and method steps set forth herein before. As will be apparent to those skilled in the art, methodologies useful for the present method may include any number of combinations and processing elements and steps.

치환가능한 그룹이 여기에 기술될 때, 그 그룹 및 서브 그룹의 모든 개별 멤버가 따로따로 기술되는 것으로 이해될 것이다. 마쿠시(Markush) 그룹 및 다른 그룹핑이 여기에 사용될 때, 그룹 및 그룹의 모든 구성 및 서브 구성 가능성의 모든 개별 멤버가 명세서에 각각 포함되도록 한다.
When a substitutable group is described herein, it will be understood that all individual members of that group and subgroup are described separately. When Markush groups and other groupings are used herein, all individual members of the group and all possible configurations and sub-configurations of groups are included in the specification.

여기에 기술되거나 예시된 구성 요소의 모든 형식 또는 결합은, 다른 언급이 없으면, 발명을 실행하기 위해 사용될 수 있다.
Any form or combination of elements described or illustrated herein can be used to carry out the invention, unless stated otherwise.

범위가 명세서에 주어질 때마다, 예를 들어, 온도 범위, 사이즈 또는 거리 범위, 시간 범위, 또는 구성이나 농도 범위, 주어진 범위에 포함된 모든 개별 값뿐만 아니라, 모든 중간 범위 및 서브 범위는 명세에 포함되도록 한다. 여기의 명세서에 포함된 어떠한 서브 범위 또는 범위 또는 서브 범위에서의 개별 값은 여기의 특허청구범위로부터 배척될 수 있다.
Every time a range is given in the specification, for example, a temperature range, a size or distance range, a time range, or all individual values contained in a composition or concentration range, a given range, as well as all intermediate ranges and subranges are included in the specification . Any sub-ranges or ranges or ranges of sub-ranges included in the specification herein may be excluded from the scope of the claims herein.

명세서에 언급된 모든 특허 및 간행물은 발명이 속하는 분야에서 숙련된 기술의 레벨을 나타낸다. 여기에 인용된 참조는 그들의 공표 또는 제출 날짜로서 기술의 상태를 나타내도록 그들 전체에 참조로 여기에 구체화되고 이 정보는 필요하다면, 종래 기술에 있는 특정한 실시예를 제외하여 여기에 채용되어 질 수 있게 한다. 예를 들어, 내용의 구성 요소가 청구되면, 허가 명세서가 여기에 인용된 참조에 제공되는 합성을 포함하는, 출원자의 발명에 종래 기술에 알려지고 이용가능한 합성이 여기의 내용의 구성에 포함되도록 하는 것으로 이해될 수 있다.
All patents and publications mentioned in the specification are indicative of the level of skill in the art to which the invention pertains. The references cited herein are hereby incorporated by reference in their entirety to indicate the state of the art as their publication or submission date, and this information, if necessary, may be incorporated herein without the specific examples of the prior art do. For example, if a component of a content is claimed, the composition of the contents herein is known and available to the applicant's invention, including the composition provided in the reference cited herein &Lt; / RTI &gt;

여기에 사용된 바와 같이, "포함(comprising)"은 "포함(including)", "내포(containing)", 또는 "특색을 이루는(characterized by)"과 동일한 뜻이고, 포함하거나 제약을 두지 않으며 부가, 재인용된 요소 또는 방법 단계를 제외시키지 않는다. 여기에 사용된 바와 같이, "구성하는(consisting of)"은 청구항 요소에서 특정되지 않은 어떠한 요소, 단계, 또는 성분을 제외한다. 여기에 사용된 바와 같이, "기본적으로 구성하는(consisting essentially of)"은 특허청구범위의 기본 및 새로운 특징에 실질적으로 영향을 주지 않는 물질 또는 단계를 제외시키지 않는다. 각각의 경우에서, 어떠한 용어 "포함", "기본적으로 구성하는" 및 "구성하는"은 다른 2개의 용어 중 어느 한쪽으로 대체될 수 있다. 여기에 설명적으로 기술된 발명은, 여기게 구체적으로 설명되지 않은 어떠한 요소 또는 요소들, 한정 또는 한정들이 없을 경우에 적절히 실행될 수 있다.
As used herein, "comprising" is synonymous with "including,""containing," or "characterized by," and includes, , Do not exclude recited elements or method steps. As used herein, "consisting of" excludes any element, step, or ingredient that is not specified in the claim element. As used herein, "consisting essentially of" does not exclude materials or steps that do not materially affect the basic and novel features of the claims. In each case, any of the terms " comprising, "" consisting essentially of" and "constituting" The invention described herein as it may be suitably practiced in the absence of any elements or elements, limitations or limitations not specifically described herein.

이러한 물질 및 방법과 기능적으로 동등한 알려진 모든 기술은 이 발명에 포함되도록 하게 한다. 사용된 용어 및 표현은 설명의 용어로서 사용되고 제한되지 않으며, 보여지고 설명된 특징의 동등한 것이나 그것의 부분을 제외하는 이러한 용어 및 표현으로 사용할 목적은 없지만, 다양한 수정이 청구된 발명의 범위 내에서 가능하다는 것이 인식된다. 따라서, 본 발명이 바람직한 실시예 및 임의의 특징에 의해 구체적으로 설명되어 있다 하여도, 여기에 설명된 개념의 선택 특징, 수정 및 변화가 당업자에 의해 의지될 수 있고, 이러한 수정 및 변화는 첨부된 청구범위에 의해 정의된 바와 같이 이 발명의 범위 내에서 고찰되는 것으로 이해될 수 있다.
All of the known functional equivalents of these materials and methods are included in this invention. The terms and expressions which have been used are used as terms of description and not of limitation, and are not intended to be used as terms or expressions of such equivalents of the features shown or described or parts thereof, but various modifications may be made within the scope of the claimed invention . Accordingly, although the present invention has been specifically described by preferred embodiments and optional features, it will be understood by those skilled in the art that the selection features, modifications, and variations of the concepts described herein may be resorted to, But may be understood as being considered within the scope of the invention as defined by the claims.

Claims (33)

전사 가능한 반도체 소자를 프린팅 하는 방법에 있어서,
a) 반도체 소자가 지지되고, 상기 반도체 소자를 적어도 부분적으로 접촉하는 릴리프 특징(relief feature, 양각현상)의 3차원 패턴을 포함하는 전사면(transfer surface)을 가지는 탄성중합체 스탬프(elastomeric stmap)를 제공하는 단계;
b) 수신면(receiving surface)을 가지는 기판을 제공하는 단계;
c) 상기 탄성중합체 스탬프의 전사면이 지지하는 상기 반도체 소자와 상기 수신면 사이의 등각 접촉(conformal contact)하고, 그것에 의해 상기 수신면에 상기 반도체 소자의 적어도 일부분의 접촉을 수립하는 단계;
d) 상기 탄성중합체 스탬프를 상기 수신면에 대한 수평거리만큼 오프셋하고(offsetting), 그것에 의해 상기 전사면 또는 상기 수신면으로부터 상기 반도체 소자를 분리하지 않고 적어도 일부분의 상기 릴리프 특징의 패턴에 기계적 변형(mechanical deformation)을 발생시키는 단계; 및
e) 상기 수신면으로부터 상기 스탬프를 분리하고, 그것에 의해 상기 수신면에 상기 반도체 소자를 프린팅하는 단계;를 포함하고,
상기 오프셋하는 단계는,
상기 반도체 소자를 지지하는 상기 탄성중합체 스탬프의 전사면을 상기 기판에 대해 이동시키지 않고, 상기 릴리프 특징의 상부 부분을 상기 기판에 대해 이동시키며, 상기 릴리프 특징의 상부는 수직 거리만큼 상기 탄성중합체 스탬프의 전사면으로부터 분리되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
A method of printing a transferable semiconductor device,
a) providing an elastomeric staple having a transfer surface including a three-dimensional pattern of a relief feature supported by the semiconductor element and at least partially contacting the semiconductor element; ;
b) providing a substrate having a receiving surface;
c) establish conformal contact between the semiconductor element and the receiving surface supported by the transfer surface of the elastomeric stamp, thereby establishing contact of at least a portion of the semiconductor element with the receiving surface;
d) offsetting said elastomeric stamp by a horizontal distance relative to said receiving surface, thereby removing at least part of said relief feature pattern from said transfer surface or said receiving surface without mechanical deformation ); And
e) separating the stamp from the receiving surface, thereby printing the semiconductor element on the receiving surface,
Wherein the offsetting step comprises:
Wherein the upper portion of the relief feature is moved relative to the substrate without moving the transfer surface of the elastomeric stamp supporting the semiconductor element relative to the substrate, Wherein the transfer surface is separated from the transfer surface.
제 1 항에 있어서,
상기 등각 접촉 단계는 상기 탄성 중합체 스탬프의 상면에 공기력(air pressure)을 적용하여 수립되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the conformal contacting step is established by applying air pressure to the top surface of the elastomeric stamp. &Lt; Desc / Clms Page number 19 &gt;
제 1 항에 있어서,
상기 오프셋하는 단계는 상기 탄성 중합체 스탬프에 면내 변위(in plane displacement)를 적용하는 단계를 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the step of offsetting comprises applying an in plane displacement to the elastomeric stamp. &Lt; Desc / Clms Page number 20 &gt;
제 3 항에 있어서,
상기 면내 변위는 5㎛이상 100㎛이하인 상기 수신면에 관하여 상기 탄성 중합체 스탬프의 상면 수평 변위를 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method of claim 3,
Wherein the in-plane displacement comprises a horizontal displacement of the top surface of the elastomeric stamp with respect to the receiving surface of between 5 microns and 100 microns. &Lt; RTI ID = 0.0 &gt; 11. &lt; / RTI &gt;
제 2 항에 있어서,
상기 분리 단계는 상기 스탬프 상면에 적용된 상기 공기력을 감소시키는 단계를 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
3. The method of claim 2,
Wherein said separating step comprises reducing said air force applied to said upper surface of said stamp. &Lt; Desc / Clms Page number 13 &gt;
제 1 항에 있어서, 상기 탄성 중합체 스탬프는,
a) 상기 전사면에 마주하는 상면을 가지는 탄성 중합체 레이어(elastomeric layer); 및
b) 저면을 가지는 리지 백킹 레이어(rigid backing layer)를 더 포함하되,
상기 저면은 상기 탄성 중합체 레이어 상면에 인접하여 위치되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method of claim 1, wherein the elastomeric stamp comprises:
a) an elastomeric layer having an upper surface facing the transfer surface; And
b) a rigid backing layer having a bottom surface,
Wherein said bottom surface is positioned adjacent to an upper surface of said elastomeric layer. &Lt; RTI ID = 0.0 &gt; 18. &lt; / RTI &gt;
제 6 항에 있어서,
상기 탄성 중합체 스탬프는 상기 리지 백킹 레이어에 실시 가능하게 접속된 강화 레이어(reinforcement layer)를 더 포함하되,
상기 강화 레이어는 상기 전사면 상에 상기 릴리프 특징의 적어도 일부분과 수직으로 일치하는 개구(opening)를 가지는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 6,
The elastomeric stamp further comprises a reinforcement layer operably connected to the ridge backing layer,
Wherein the enhancement layer has an opening that is perpendicular to at least a portion of the relief feature on the transfer surface.
제 1 항에 있어서,
건조 전사 프린팅 기구(dry transfer printing tool)에 상기 탄성 중합체 스탬프를 장착하는 단계를 더 포함하고,
상기 오프셋 단계는 상기 건조 전사 프린팅 수단에 면내 변위를 적용하고, 그것에 의해 상기 릴리프 특징의 적어도 일부분의 기계적 변형을 발생시키는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Further comprising the step of mounting the elastomeric stamp on a dry transfer printing tool,
Wherein said offset step applies an in-plane displacement to said dry transfer printing means, thereby causing a mechanical deformation of at least a portion of said relief feature.
제 8 항에 있어서,
상기 장착된 스탬프의 상면에 압력을 적용하는 단계를 더 포함하고, 그것에 의해 상기 스탬프와 상기 수신면 사이의 투영 접촉을 수립하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
9. The method of claim 8,
Further comprising applying pressure to an upper surface of the mounted stamp to thereby establish a projective contact between the stamp and the receiving surface.
제 9 항에 있어서,
상기 스탬프는 상기 수신면에 관하여 수직방향으로 상기 탄성 중합체 스탬프에 장착된 상기 전사 프린팅 수단을 움직임으로써 상기 수신면으로부터 분리되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.

10. The method of claim 9,
Wherein the stamp is separated from the receiving surface by moving the transfer printing means mounted on the elastomeric stamp in a direction perpendicular to the receiving surface.

제 10 항에 있어서,
상기 압력은 상기 수신면으로부터 상기 스탬프를 분리하는 상기 수직방향 움직임 동안 변화하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
11. The method of claim 10,
Wherein said pressure changes during said vertical movement separating said stamp from said receiving surface. &Lt; Desc / Clms Page number 19 &gt;
제 11 항에 있어서,
상기 압력은 최대값으로부터 최소값으로 감소시키고, 상기 최대값은 4kPa 내지 10kPa 사이이고, 상기 최소값은 0kPa 내지 2kPa인 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
12. The method of claim 11,
Wherein the pressure is reduced from a maximum value to a minimum value, wherein the maximum value is between 4 kPa and 10 kPa, and the minimum value is between 0 kPa and 2 kPa.
제 12 항에 있어서,
상기 압력은 비율(rate)을 감소시키고, 상기 수직방향 움직임 비율은 상기 수신면으로부터 상기 릴리프 특징의 박리 비율(delamination rate)을 제공하도록 선택되고, 상기 릴리프 특징의 박리 비율(delamination rate)은 박리 사이클에 걸쳐 평균 비율로부터 10% 이하로 변화하는 비율인 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
13. The method of claim 12,
Wherein the pressure reduces the rate and the vertical motion rate is selected to provide a delamination rate of the relief feature from the receiving surface, and the delamination rate of the relief feature is selected in a peeling cycle Wherein the ratio is a ratio that varies from an average ratio to 10% or less.
제 1 항에 있어서,
상기 릴리프 특징은 복수의 포스트(post)를 더 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the relief feature further comprises a plurality of posts. &Lt; RTI ID = 0.0 &gt;&lt; / RTI &gt;
제 14 항에 있어서,
상기 복수의 포스트는 1% 내지 25%의 범위로부터 선택된 상기 전사면 상에 접촉 영역 단편(contacting area fraction)을 가지는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
15. The method of claim 14,
Wherein said plurality of posts have a contacting area fraction on said transfer surface selected from the range of 1% to 25%. &Lt; Desc / Clms Page number 17 &gt;
제 15 항에 있어서, 상기 릴리프 특징은,
상기 포스트 사이의 산재된 복수의 고정 특징(stabilization feature);을 더 포함하고,
상기 고정 특징은 상기 포스트의 접촉 영역보다 작은 접촉 영역을 가지는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
16. The method of claim 15,
And a plurality of scattered feature stabilization features between the posts,
Wherein the stationary feature has a contact area smaller than the contact area of the post. &Lt; Desc / Clms Page number 13 &gt;
제 1 항에 있어서,
상기 반도체 프린팅은 전사 프린팅 산출량을 제공하고,
상기 산출량은,
a) 접착물의 얇은 레이어로 코팅된 수신면에 대한 99.5%이상이거나,
b) 1mm/s 이상의 스탬프 박리 비율에 대한 99.5%이상인 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
The semiconductor printing provides a transfer printing output,
The above-
a) more than 99.5% of the receiving surface coated with a thin layer of adhesive,
and b) 99.5% or more of a stamp peel ratio of 1 mm / s or more.
제 1 항에 있어서,
a) 상기 스탬프와 상기 수신면을 광학적으로 정렬하는(aligning) 단계;
b) 상기 수신면으로부터 100㎛이하의 수직 분리 간격 내에 상기 반도체 소자를 위치시키는 단계; 및
c) 상기 스탬프의 상면에 압력을 적용하고, 그것에 의해 상기 스탬프와 상기 수신면 사이의 투영 접촉을 수립하는 단계;를 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
a) optically aligning the stamp and the receiving surface;
b) positioning the semiconductor device within a vertical separation distance of no more than 100 占 퐉 from the receiving surface; And
c) applying pressure to the upper surface of the stamp, thereby establishing a projective contact between the stamp and the receiving surface. &lt; Desc / Clms Page number 13 &gt;
제 18 항에 있어서,
상기 압력은 상기 스탬프 상면에 일정한 공기력의 사용에 의해 적용되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
19. The method of claim 18,
Wherein the pressure is applied by use of a constant air force to the upper surface of the stamp.
제 1 항에 있어서,
상기 릴리프 특징의 상기 패턴은 복수의 반도체 소자를 지지하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein said pattern of relief features supports a plurality of semiconductor elements. &Lt; Desc / Clms Page number 20 &gt;
제 1 항에 있어서,
상기 수신면은 접착물이 일부에만 도포된 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the receiving surface is applied to only a portion of the adhesive. &Lt; RTI ID = 0.0 &gt; 18. &lt; / RTI &gt;
제 1 항에 있어서,
상기 분리 단계는 상기 스탬프 또는 상기 수신면에 수직 오프셋(vertical offset)을 적용함으로써 상기 수신면에 대해 상기 스탬프를 제거하는 단계를 포함하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein said separating step comprises removing said stamp on said receiving surface by applying a vertical offset to said stamp or said receiving surface. &Lt; Desc / Clms Page number 20 &gt;
제 1 항에 있어서, 상기 오프셋 하는 단계는
a) 상기 스탬프에 면내 변위를 적용하거나,
b) 상기 수신면에 면내 변위를 적용함으로써 제공되는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
2. The method of claim 1,
a) applying an in-plane displacement to the stamp,
b) applying the in-plane displacement to the receiving surface.
제 1 항에 있어서,
a) 상기 등각 접촉을 적어도 부분적으로 수립하도록 공기력을 적용하는 단계; 및
b) 상기 수신면으로부터 상기 스탬프를 수직으로 분리하는 단계;를 더 포함하고,
상기 공기력은 제 1 시간 간격에 걸쳐 변화하고 상기 수직 분리는 상기 제 1 시간 간격에 걸쳐 일정하게 유지되며, 상기 공기력은 상기 제 1 시간 간격에 겹치지 않는 제 2 시간 간격에 걸쳐 일정하게 유지되고, 상기 수직 분리가 상기 제 2 시간 간격 동안 증가하여, 상기 제 1 및 제 2 시간 간격에 걸쳐 평균 박리 비율에서 5% 이하로 편향하는 박리 비율을 유지하는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
a) applying an aerodynamic force to at least partially establish said conformal contact; And
b) vertically separating the stamp from the receiving surface,
Wherein the air force varies over a first time interval and the vertical separation remains constant over the first time interval and the air force is held constant over a second time interval that does not overlap the first time interval, Wherein vertical separation is increased during the second time interval to maintain a peeling rate that deflects less than 5% at an average separation rate over the first and second time intervals.
제 1 항에 있어서,
상기 탄성중합체 스탬프가 오프셋이 되지 않은 방법의 전사 수율에 비해, 상기 반도체 소자의 전사 수율을 향상시키는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the transfer efficiency of the semiconductor element is improved as compared to the transfer yield of the method in which the elastomeric stamp is not offset.
제 25 항에 있어서,
상기 오프셋이 되지 않은 전사 수율에 대비한 상기 전사 수율의 향상은 4%보다 큰 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
26. The method of claim 25,
Wherein the improvement in the transfer yield relative to the non-offset transfer yield is greater than 4%.
제 1 항에 있어서,
탄성중합체 스탬프 릴리프 특징에서의 기계적 변형은 탄성중합체 스탬프의 전사면으로부터 반도체 소자의 전사를 위해 초기 박리 에너지를 감소시키는 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.
The method according to claim 1,
Wherein the mechanical deformation in the elastomeric stamp relief feature reduces the initial delamination energy for transfer of the semiconductor device from the transfer surface of the elastomeric stamp.
제 27 항에 있어서,
상기 초기 박리 에너지의 감소는 오프셋이 되지 않은 상기 탄성중합체 스탬프의 박리 에너지에 0.1 내지 0.8 배인 것을 특징으로 하는 전사 가능한 반도체 소자를 프린팅 하는 방법.

28. The method of claim 27,
Wherein the decrease in the initial peel energy is 0.1 to 0.8 times the peel energy of the non-offset elastomer stamp.

삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020117014025A 2008-11-19 2009-11-19 Printing semiconductor elements by shear-assisted elastomeric stamp transfer KR101736722B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11613608P 2008-11-19 2008-11-19
US61/116,136 2008-11-19
PCT/US2009/065078 WO2010059781A1 (en) 2008-11-19 2009-11-19 Printing semiconductor elements by shear-assisted elastomeric stamp transfer

Publications (2)

Publication Number Publication Date
KR20110118616A KR20110118616A (en) 2011-10-31
KR101736722B1 true KR101736722B1 (en) 2017-05-17

Family

ID=42171366

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117014025A KR101736722B1 (en) 2008-11-19 2009-11-19 Printing semiconductor elements by shear-assisted elastomeric stamp transfer

Country Status (4)

Country Link
US (1) US8506867B2 (en)
EP (1) EP2351068B1 (en)
KR (1) KR101736722B1 (en)
WO (1) WO2010059781A1 (en)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7927976B2 (en) 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
US8877648B2 (en) 2009-03-26 2014-11-04 Semprius, Inc. Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby
US8261660B2 (en) * 2009-07-22 2012-09-11 Semprius, Inc. Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US9161448B2 (en) 2010-03-29 2015-10-13 Semprius, Inc. Laser assisted transfer welding process
US9142468B2 (en) 2010-08-26 2015-09-22 Semprius, Inc. Structures and methods for testing printable integrated circuits
US9899329B2 (en) 2010-11-23 2018-02-20 X-Celeprint Limited Interconnection structures and methods for transfer-printed integrated circuit elements with improved interconnection alignment tolerance
US9177500B2 (en) 2011-01-31 2015-11-03 Global Oled Technology Llc Display with secure decryption of image signals
US8456390B2 (en) 2011-01-31 2013-06-04 Global Oled Technology Llc Electroluminescent device aging compensation with multilevel drive
US8619103B2 (en) 2011-01-31 2013-12-31 Global Oled Technology Llc Electroluminescent device multilevel-drive chromaticity-shift compensation
US20120194564A1 (en) 2011-01-31 2012-08-02 White Christopher J Display with secure decompression of image signals
US8803857B2 (en) 2011-02-10 2014-08-12 Ronald S. Cok Chiplet display device with serial control
US8624882B2 (en) 2011-02-10 2014-01-07 Global Oled Technology Llc Digital display with integrated computing circuit
US8599118B2 (en) 2011-02-16 2013-12-03 Global Oled Technology Llc Chiplet display with electrode connectors
US8587501B2 (en) 2011-02-17 2013-11-19 Global Oled Technology Llc Electroluminescent display device with optically communicating chiplets
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
US8520114B2 (en) 2011-06-01 2013-08-27 Global Oled Technology Llc Apparatus for displaying and sensing images
US8934259B2 (en) 2011-06-08 2015-01-13 Semprius, Inc. Substrates with transferable chiplets
WO2013010113A1 (en) * 2011-07-14 2013-01-17 The Board Of Trustees Of The University Of Illinois Non-contact transfer printing
US9412727B2 (en) 2011-09-20 2016-08-09 Semprius, Inc. Printing transferable components using microstructured elastomeric surfaces with pressure modulated reversible adhesion
US8865487B2 (en) * 2011-09-20 2014-10-21 General Electric Company Large area hermetic encapsulation of an optoelectronic device using vacuum lamination
US9149958B2 (en) * 2011-11-14 2015-10-06 Massachusetts Institute Of Technology Stamp for microcontact printing
US8809875B2 (en) 2011-11-18 2014-08-19 LuxVue Technology Corporation Micro light emitting diode
US8573469B2 (en) 2011-11-18 2013-11-05 LuxVue Technology Corporation Method of forming a micro LED structure and array of micro LED structures with an electrically insulating layer
US8646505B2 (en) 2011-11-18 2014-02-11 LuxVue Technology Corporation Micro device transfer head
US8349116B1 (en) 2011-11-18 2013-01-08 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US8456969B1 (en) 2012-03-27 2013-06-04 Seagate Technology Llc Laser integrated recording head for heat assisted magnetic recording
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
US9558721B2 (en) 2012-10-15 2017-01-31 Apple Inc. Content-based adaptive refresh schemes for low-power displays
KR101955335B1 (en) * 2012-11-14 2019-03-07 삼성전자주식회사 Stamp structure and transfer method using the same
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US9236815B2 (en) 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
US9166114B2 (en) 2012-12-11 2015-10-20 LuxVue Technology Corporation Stabilization structure including sacrificial release layer and staging cavity
US9153171B2 (en) 2012-12-17 2015-10-06 LuxVue Technology Corporation Smart pixel lighting and display microcontroller
US9484504B2 (en) 2013-05-14 2016-11-01 Apple Inc. Micro LED with wavelength conversion layer
US20160329173A1 (en) 2013-06-12 2016-11-10 Rohinni, LLC Keyboard backlighting with deposited light-generating sources
US9035279B2 (en) 2013-07-08 2015-05-19 LuxVue Technology Corporation Micro device with stabilization post
US9087764B2 (en) 2013-07-26 2015-07-21 LuxVue Technology Corporation Adhesive wafer bonding with controlled thickness variation
US9153548B2 (en) 2013-09-16 2015-10-06 Lux Vue Technology Corporation Adhesive wafer bonding with sacrificial spacers for controlled thickness variation
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US10046353B2 (en) * 2014-06-06 2018-08-14 The Board Of Trustees Of The University Of Illinois Microscale stamp with reversible adhesion for transfer printing
US10377922B2 (en) 2014-06-06 2019-08-13 The Board Of Trustees Of The University Of Illinois Composite dry adhesive and methods of making and using a composite dry adhesive
US9929053B2 (en) 2014-06-18 2018-03-27 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
US9601356B2 (en) 2014-06-18 2017-03-21 X-Celeprint Limited Systems and methods for controlling release of transferable semiconductor structures
WO2015193433A2 (en) 2014-06-18 2015-12-23 X-Celeprint Limited Micro assembled high frequency devices and arrays
US9865600B2 (en) 2014-06-18 2018-01-09 X-Celeprint Limited Printed capacitors
KR102116856B1 (en) 2014-06-18 2020-06-01 엑스-셀레프린트 리미티드 Systems and methods for preparing gan and related materials for micro assembly
CN113437206B (en) 2014-06-18 2024-03-08 艾克斯展示公司技术有限公司 Micro-assembled LED display
US9358775B2 (en) * 2014-07-20 2016-06-07 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
KR20170047324A (en) 2014-08-26 2017-05-04 엑스-셀레프린트 리미티드 Micro assembled hybrid displays and lighting elements
US9209142B1 (en) * 2014-09-05 2015-12-08 Skorpios Technologies, Inc. Semiconductor bonding with compliant resin and utilizing hydrogen implantation for transfer-wafer removal
US20160093600A1 (en) 2014-09-25 2016-03-31 X-Celeprint Limited Compound micro-assembly strategies and devices
US9818725B2 (en) 2015-06-01 2017-11-14 X-Celeprint Limited Inorganic-light-emitter display with integrated black matrix
US9799719B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Active-matrix touchscreen
US9468050B1 (en) 2014-09-25 2016-10-11 X-Celeprint Limited Self-compensating circuit for faulty display pixels
US9537069B1 (en) 2014-09-25 2017-01-03 X-Celeprint Limited Inorganic light-emitting diode with encapsulating reflector
US9991163B2 (en) 2014-09-25 2018-06-05 X-Celeprint Limited Small-aperture-ratio display with electrical component
US9799261B2 (en) 2014-09-25 2017-10-24 X-Celeprint Limited Self-compensating circuit for faulty display pixels
DE112015004894B4 (en) 2014-10-28 2022-11-03 Analog Devices, Inc. transfer printing process
US9716073B2 (en) * 2014-11-19 2017-07-25 Mikro Mesa Technology Co., Ltd. Machine for transferring micro-device
EP3029725B1 (en) * 2014-12-01 2019-10-30 IMEC vzw Chuck for collective bonding of semiconductor dies, method of making the same and methods of using the same
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10409156B2 (en) * 2015-02-13 2019-09-10 Canon Kabushiki Kaisha Mold, imprint apparatus, and method of manufacturing article
US9640715B2 (en) 2015-05-15 2017-05-02 X-Celeprint Limited Printable inorganic semiconductor structures
US9871345B2 (en) 2015-06-09 2018-01-16 X-Celeprint Limited Crystalline color-conversion device
US10102794B2 (en) 2015-06-09 2018-10-16 X-Celeprint Limited Distributed charge-pump power-supply system
US11061276B2 (en) 2015-06-18 2021-07-13 X Display Company Technology Limited Laser array display
US10133426B2 (en) 2015-06-18 2018-11-20 X-Celeprint Limited Display with micro-LED front light
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9704821B2 (en) * 2015-08-11 2017-07-11 X-Celeprint Limited Stamp with structured posts
US10255834B2 (en) 2015-07-23 2019-04-09 X-Celeprint Limited Parallel redundant chiplet system for controlling display pixels
US10468363B2 (en) * 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
US9640108B2 (en) 2015-08-25 2017-05-02 X-Celeprint Limited Bit-plane pulse width modulated digital display system
US10380930B2 (en) 2015-08-24 2019-08-13 X-Celeprint Limited Heterogeneous light emitter display system
US10230048B2 (en) 2015-09-29 2019-03-12 X-Celeprint Limited OLEDs for micro transfer printing
WO2017068114A1 (en) 2015-10-22 2017-04-27 X-Celeprint Limited Structures and methods for controlling release of transferable semiconductor structures
US10066819B2 (en) 2015-12-09 2018-09-04 X-Celeprint Limited Micro-light-emitting diode backlight system
US10091446B2 (en) 2015-12-23 2018-10-02 X-Celeprint Limited Active-matrix displays with common pixel control
US9786646B2 (en) 2015-12-23 2017-10-10 X-Celeprint Limited Matrix addressed device repair
US9930277B2 (en) 2015-12-23 2018-03-27 X-Celeprint Limited Serial row-select matrix-addressed system
US9928771B2 (en) 2015-12-24 2018-03-27 X-Celeprint Limited Distributed pulse width modulation control
US10446532B2 (en) * 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
JP6959697B2 (en) 2016-01-15 2021-11-05 ロヒンニ リミテッド ライアビリティ カンパニー Devices and methods that are backlit through a cover on the device
US11230471B2 (en) 2016-02-05 2022-01-25 X-Celeprint Limited Micro-transfer-printed compound sensor device
US10200013B2 (en) 2016-02-18 2019-02-05 X-Celeprint Limited Micro-transfer-printed acoustic wave filter device
US10361677B2 (en) 2016-02-18 2019-07-23 X-Celeprint Limited Transverse bulk acoustic wave filter
US10109753B2 (en) 2016-02-19 2018-10-23 X-Celeprint Limited Compound micro-transfer-printed optical filter device
KR102651054B1 (en) 2016-02-22 2024-03-26 삼성디스플레이 주식회사 Transfering device, Method using the same and Display apparatus
WO2017144573A1 (en) 2016-02-25 2017-08-31 X-Celeprint Limited Efficiently micro-transfer printing micro-scale devices onto large-format substrates
US10150325B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid banknote with electronic indicia
US10150326B2 (en) 2016-02-29 2018-12-11 X-Celeprint Limited Hybrid document with variable state
US10193025B2 (en) 2016-02-29 2019-01-29 X-Celeprint Limited Inorganic LED pixel structure
US10153256B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-transfer printable electronic component
US10153257B2 (en) 2016-03-03 2018-12-11 X-Celeprint Limited Micro-printed display
US10917953B2 (en) 2016-03-21 2021-02-09 X Display Company Technology Limited Electrically parallel fused LEDs
US10223962B2 (en) 2016-03-21 2019-03-05 X-Celeprint Limited Display with fused LEDs
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
US10199546B2 (en) 2016-04-05 2019-02-05 X-Celeprint Limited Color-filter device
US10008483B2 (en) 2016-04-05 2018-06-26 X-Celeprint Limited Micro-transfer printed LED and color filter structure
US10198890B2 (en) 2016-04-19 2019-02-05 X-Celeprint Limited Hybrid banknote with electronic indicia using near-field-communications
US9997102B2 (en) 2016-04-19 2018-06-12 X-Celeprint Limited Wirelessly powered display and system
KR102559840B1 (en) 2016-04-20 2023-07-27 삼성디스플레이 주식회사 Display apparatus and method of manufacturing the same
US10360846B2 (en) 2016-05-10 2019-07-23 X-Celeprint Limited Distributed pulse-width modulation system with multi-bit digital storage and output device
US10037985B2 (en) 2016-05-17 2018-07-31 X-Celeprint Limited Compound micro-transfer-printed power transistor device
US10622700B2 (en) 2016-05-18 2020-04-14 X-Celeprint Limited Antenna with micro-transfer-printed circuit element
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US9997501B2 (en) 2016-06-01 2018-06-12 X-Celeprint Limited Micro-transfer-printed light-emitting diode device
US10453826B2 (en) 2016-06-03 2019-10-22 X-Celeprint Limited Voltage-balanced serial iLED pixel and display
JP6976972B2 (en) 2016-06-10 2021-12-08 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated Maskless parallel pick and place transfer of microdevices
US11137641B2 (en) 2016-06-10 2021-10-05 X Display Company Technology Limited LED structure with polarized light emission
US11756982B2 (en) 2016-06-10 2023-09-12 Applied Materials, Inc. Methods of parallel transfer of micro-devices using mask layer
US11776989B2 (en) 2016-06-10 2023-10-03 Applied Materials, Inc. Methods of parallel transfer of micro-devices using treatment
CN106129083B (en) * 2016-06-30 2019-02-05 纳晶科技股份有限公司 A kind of quantum dot transfer method
DE102016117030B4 (en) * 2016-07-17 2018-07-05 X-Fab Semiconductor Foundries Ag Production of Semiconductor Structures on a Carrier Substrate Transferable by Transfer Print.
US10533080B2 (en) 2016-07-26 2020-01-14 The Board Of Trustees Of The University Of Illinois Transfer printing using shape memory polymers
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
KR101800367B1 (en) * 2016-08-24 2017-11-28 한국기계연구원 Method of transferring a micro-device and Micro-device substrate manufactured by the same
US9980341B2 (en) 2016-09-22 2018-05-22 X-Celeprint Limited Multi-LED components
US10157880B2 (en) 2016-10-03 2018-12-18 X-Celeprint Limited Micro-transfer printing with volatile adhesive layer
KR101897129B1 (en) * 2016-10-18 2018-09-10 한국기계연구원 Method of transferring a device and electronics manufacturing method using the same method
US10782002B2 (en) 2016-10-28 2020-09-22 X Display Company Technology Limited LED optical components
US10347168B2 (en) 2016-11-10 2019-07-09 X-Celeprint Limited Spatially dithered high-resolution
US10395966B2 (en) 2016-11-15 2019-08-27 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
TWI762428B (en) 2016-11-15 2022-04-21 愛爾蘭商艾克斯展示公司技術有限公司 Micro-transfer-printable flip-chip structures and methods
US10600671B2 (en) 2016-11-15 2020-03-24 X-Celeprint Limited Micro-transfer-printable flip-chip structures and methods
US10438859B2 (en) 2016-12-19 2019-10-08 X-Celeprint Limited Transfer printed device repair
US10297502B2 (en) 2016-12-19 2019-05-21 X-Celeprint Limited Isolation structure for micro-transfer-printable devices
US10752809B2 (en) 2016-12-23 2020-08-25 The Board Of Trustees Of The University Of Illinois Reusable attaching apparatus and methods of making and using a reusable attaching apparatus
US10832609B2 (en) 2017-01-10 2020-11-10 X Display Company Technology Limited Digital-drive pulse-width-modulated output system
EP3346238B1 (en) 2017-01-10 2022-03-02 Melexis Technologies SA Sensor with multiple sensing elements
US10332868B2 (en) 2017-01-26 2019-06-25 X-Celeprint Limited Stacked pixel structures
TWI646651B (en) * 2017-01-26 2019-01-01 宏碁股份有限公司 Light-emitting diode display and manufacturing method thereof
US10468391B2 (en) 2017-02-08 2019-11-05 X-Celeprint Limited Inorganic light-emitting-diode displays with multi-ILED pixels
TWI649855B (en) * 2017-03-07 2019-02-01 友達光電股份有限公司 Transposition stamp and its application transfer method
FR3063832B1 (en) * 2017-03-08 2019-03-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD OF SELF-ASSEMBLING MICROELECTRONIC COMPONENTS
US10396137B2 (en) 2017-03-10 2019-08-27 X-Celeprint Limited Testing transfer-print micro-devices on wafer
US11024608B2 (en) 2017-03-28 2021-06-01 X Display Company Technology Limited Structures and methods for electrical connection of micro-devices and substrates
US10468397B2 (en) 2017-05-05 2019-11-05 X-Celeprint Limited Matrix addressed tiles and arrays
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10804103B2 (en) 2017-07-03 2020-10-13 The Board Of Trustees Of The University Of Illinois Microassembly of heterogeneous materials
US10804880B2 (en) 2018-12-03 2020-10-13 X-Celeprint Limited Device structures with acoustic wave transducers and connection posts
US10943946B2 (en) 2017-07-21 2021-03-09 X Display Company Technology Limited iLED displays with substrate holes
US10832935B2 (en) 2017-08-14 2020-11-10 X Display Company Technology Limited Multi-level micro-device tethers
KR102572669B1 (en) 2017-08-14 2023-08-31 삼성전자주식회사 Transfering apparatus for electrical element
EP3457154B1 (en) 2017-09-13 2020-04-08 Melexis Technologies SA Stray field rejection in magnetic sensors
US20210190893A1 (en) 2017-10-06 2021-06-24 Melexis Technologies Nv Magnetic sensor sensitivity matching calibration
EP3467528B1 (en) 2017-10-06 2020-05-20 Melexis Technologies NV Magnetic sensor sensitivity matching calibration
EP3470862B1 (en) 2017-10-10 2022-03-02 Melexis Bulgaria Ltd. Sensor defect diagnostic circuit
EP3477322B1 (en) 2017-10-27 2021-06-16 Melexis Technologies SA Magnetic sensor with integrated solenoid
US10836200B2 (en) 2017-11-13 2020-11-17 X Display Company Technology Limited Rigid micro-modules with ILED and light conductor
US10510937B2 (en) 2017-11-22 2019-12-17 X-Celeprint Limited Interconnection by lateral transfer printing
TWI637481B (en) 2017-11-29 2018-10-01 財團法人工業技術研究院 Semiconductor structure, light-emitting device and manufacturing method for the same
KR102594397B1 (en) 2017-12-01 2023-10-27 삼성전자주식회사 chip transfer apparatus
US10297585B1 (en) 2017-12-21 2019-05-21 X-Celeprint Limited Multi-resolution compound micro-devices
US10593827B2 (en) 2018-01-24 2020-03-17 X-Celeprint Limited Device source wafers with patterned dissociation interfaces
US10690920B2 (en) 2018-02-28 2020-06-23 X Display Company Technology Limited Displays with transparent bezels
US11189605B2 (en) 2018-02-28 2021-11-30 X Display Company Technology Limited Displays with transparent bezels
KR102107101B1 (en) 2018-03-26 2020-05-12 영남대학교 산학협력단 Plate for probing micro led and producing method thereof and probing method for micro led using thereof
US11257704B2 (en) * 2018-04-15 2022-02-22 Hon Hai Precision Industry Co., Ltd. Device for transferring and integrating micro-devices and method of transfer
US10910355B2 (en) 2018-04-30 2021-02-02 X Display Company Technology Limited Bezel-free displays
US10505079B2 (en) 2018-05-09 2019-12-10 X-Celeprint Limited Flexible devices and methods using laser lift-off
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN110534540B (en) * 2018-05-25 2021-12-10 群创光电股份有限公司 Electronic device and method for manufacturing the same
EP3581951A1 (en) 2018-06-12 2019-12-18 Melexis Bulgaria Ltd. Sensor saturation fault detection
US10832934B2 (en) 2018-06-14 2020-11-10 X Display Company Technology Limited Multi-layer tethers for micro-transfer printing
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US10714001B2 (en) 2018-07-11 2020-07-14 X Display Company Technology Limited Micro-light-emitting-diode displays
US11281096B2 (en) 2018-07-19 2022-03-22 The Board Of Trustees Of The University Of Illinois Methods of making a bonded assembly and a re-entrant structure, and method of transfer printing a masking layer
US10796971B2 (en) 2018-08-13 2020-10-06 X Display Company Technology Limited Pressure-activated electrical interconnection with additive repair
US10796938B2 (en) 2018-10-17 2020-10-06 X Display Company Technology Limited Micro-transfer printing with selective component removal
US10573544B1 (en) 2018-10-17 2020-02-25 X-Celeprint Limited Micro-transfer printing with selective component removal
EP3647741B1 (en) 2018-10-29 2022-08-03 Melexis Bulgaria Ltd. Sensor diagnostic device and method
DE102018127123A1 (en) * 2018-10-30 2020-04-30 Osram Opto Semiconductors Gmbh Transfer tool and method for transferring semiconductor chips
KR102158176B1 (en) 2018-11-09 2020-09-22 전남대학교산학협력단 Semiconductor substrate having a GaN-based thin film layer connected by fine pillar AND method for manufacturing the same
KR102655631B1 (en) * 2018-11-30 2024-04-05 엘지디스플레이 주식회사 Apparatus and method of transfering led
US11482979B2 (en) 2018-12-03 2022-10-25 X Display Company Technology Limited Printing components over substrate post edges
US10790173B2 (en) 2018-12-03 2020-09-29 X Display Company Technology Limited Printed components on substrate posts
US11274035B2 (en) 2019-04-24 2022-03-15 X-Celeprint Limited Overhanging device structures and related methods of manufacture
US20210002128A1 (en) 2018-12-03 2021-01-07 X-Celeprint Limited Enclosed cavity structures
US11528808B2 (en) 2018-12-03 2022-12-13 X Display Company Technology Limited Printing components to substrate posts
US11282786B2 (en) 2018-12-12 2022-03-22 X Display Company Technology Limited Laser-formed interconnects for redundant devices
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11251139B2 (en) 2019-01-22 2022-02-15 X-Celeprint Limited Secure integrated-circuit systems
US11322460B2 (en) 2019-01-22 2022-05-03 X-Celeprint Limited Secure integrated-circuit systems
JP7145096B2 (en) * 2019-02-12 2022-09-30 信越化学工業株式会社 MICROSTRUCTURE TRANSFER DEVICE, STAMP HEAD UNIT, STAMP COMPONENT FOR TRANSFERRING MICROSTRUCTURE, AND METHOD FOR TRANSFERRING MICROSTRUCTURE INTEGRATED COMPONENT
US10748793B1 (en) 2019-02-13 2020-08-18 X Display Company Technology Limited Printing component arrays with different orientations
US11088121B2 (en) 2019-02-13 2021-08-10 X Display Company Technology Limited Printed LED arrays with large-scale uniformity
US11398399B2 (en) 2019-03-08 2022-07-26 X Display Company Technology Limited Components with backside adhesive layers
KR20200111012A (en) 2019-03-18 2020-09-28 영남대학교 산학협력단 Method for high speed transfer of micro led
US11670531B2 (en) * 2019-04-25 2023-06-06 Meta Platforms Technologies, Llc Bridge pick-up head for transferring semiconductor devices
US10714374B1 (en) 2019-05-09 2020-07-14 X Display Company Technology Limited High-precision printed structures
US10944027B2 (en) 2019-06-14 2021-03-09 X Display Company Technology Limited Pixel modules with controllers and light emitters
US11488943B2 (en) 2019-06-14 2022-11-01 X Display Company Technology Limited Modules with integrated circuits and devices
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
DE102019118270B4 (en) 2019-07-05 2021-10-07 X-Fab Semiconductor Foundries Gmbh Process for the production of semiconductor components to increase the yield in microtransfer printing
JP6696036B1 (en) * 2019-08-01 2020-05-20 信越エンジニアリング株式会社 Work transfer device, work transfer chuck, and work transfer method
US11652082B2 (en) 2019-08-05 2023-05-16 X Display Company Technology Limited Particle capture using transfer stamp
US11101417B2 (en) 2019-08-06 2021-08-24 X Display Company Technology Limited Structures and methods for electrically connecting printed components
KR20190099163A (en) * 2019-08-06 2019-08-26 엘지전자 주식회사 Method for manufacturing display device and transfer substrate for manufacturing display device
JP2022545952A (en) 2019-08-26 2022-11-01 エクス-セルプリント リミテッド Variable stiffness module
KR102423731B1 (en) * 2019-08-29 2022-07-21 주식회사 디플랫 transfer apparatus of micro LED
US11328942B1 (en) * 2019-09-10 2022-05-10 Facebook Technologies, Llc Liquid crystalline elastomer for pick and place of semiconductor devices
KR20190116198A (en) * 2019-09-24 2019-10-14 엘지전자 주식회사 Display device using micro led and manufacturing method thereof
US11062936B1 (en) 2019-12-19 2021-07-13 X Display Company Technology Limited Transfer stamps with multiple separate pedestals
US11315909B2 (en) 2019-12-20 2022-04-26 X Display Company Technology Limited Displays with embedded light emitters
US11756810B1 (en) 2019-12-27 2023-09-12 Meta Platforms Technologies, Llc Detection of force applied by pick-up tool for transferring semiconductor devices
US11037912B1 (en) 2020-01-31 2021-06-15 X Display Company Technology Limited LED color displays with multiple LEDs connected in series and parallel in different sub-pixels of a pixel
US11387178B2 (en) 2020-03-06 2022-07-12 X-Celeprint Limited Printable 3D electronic components and structures
US11850874B2 (en) * 2020-03-30 2023-12-26 X Display Company Technology Limited Micro-transfer printing stamps and components
WO2021219833A2 (en) 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia and piezoelectric power components usable in such documents
US20210342659A1 (en) * 2020-05-01 2021-11-04 X-Celeprint Limited Hybrid documents with electronic indicia
WO2021224284A1 (en) 2020-05-05 2021-11-11 X-Celeprint Limited Enclosed cavity structures
US11088093B1 (en) 2020-05-28 2021-08-10 X-Celeprint Limited Micro-component anti-stiction structures
US11538849B2 (en) 2020-05-28 2022-12-27 X Display Company Technology Limited Multi-LED structures with reduced circuitry
US11777065B2 (en) 2020-05-29 2023-10-03 X Display Company Technology Limited White-light-emitting LED structures
FR3112023B1 (en) 2020-06-25 2022-09-23 Commissariat Energie Atomique Chip Transfer Process
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11316086B2 (en) 2020-07-10 2022-04-26 X Display Company Technology Limited Printed structures with electrical contact having reflowable polymer core
US11282439B2 (en) 2020-07-16 2022-03-22 X Display Company Technology Limited Analog pulse-width-modulation control circuits
US11942352B2 (en) * 2020-08-31 2024-03-26 Industry-Academic Cooperation Foundation, Yonsei University Manufacturing method of LED display
US11742450B2 (en) 2020-08-31 2023-08-29 X Display Company Technology Limited Hybrid electro-optically controlled matrix-addressed systems
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11495172B2 (en) 2020-10-19 2022-11-08 X Display Company Technology Limited Pixel group and column token display architectures
US11488518B2 (en) 2020-10-19 2022-11-01 X Display Company Technology Limited Pixel group and column token display architectures
US11152395B1 (en) 2020-11-12 2021-10-19 X-Celeprint Limited Monolithic multi-FETs
US11588075B2 (en) 2020-11-24 2023-02-21 X Display Company Technology Limited Displays with interpolated pixels
JP2022100758A (en) * 2020-12-24 2022-07-06 信越化学工業株式会社 Stamped part for microstructure transfer
KR20210006488A (en) 2021-01-07 2021-01-18 영남대학교 산학협력단 Method for high speed transfer of micro led
US11490519B2 (en) 2021-01-11 2022-11-01 X-Celeprint Limited Printed stacked micro-devices
US11430375B1 (en) 2021-03-19 2022-08-30 X Display Company Technology Limited Pulse-density-modulation pixel control circuits and devices including them
US11386826B1 (en) 2021-06-22 2022-07-12 X Display Company Technology Limited Flat-panel pixel arrays with signal regeneration
US11568796B1 (en) 2021-07-29 2023-01-31 X Display Company Technology Limited Displays with current-controlled pixel clusters
WO2023016625A1 (en) 2021-08-09 2023-02-16 X-Celeprint Limited Integrated-circuit module collection and deposition
US11592933B1 (en) 2022-01-07 2023-02-28 X Display Company Technology Limited Displays with integrated touch screens
US11568803B1 (en) 2022-04-27 2023-01-31 X Display Company Technology Limited Multi-row buffering for active-matrix cluster displays
WO2023217637A1 (en) 2022-05-09 2023-11-16 X-Celeprint Limited High-precision printed structures and methods of making

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030047535A1 (en) * 2001-09-10 2003-03-13 Schueller Olivier J.A. System and process for automated microcontact printing
JP2008524854A (en) * 2004-12-16 2008-07-10 エーエスエムエル ホールディング エヌ.ブイ. System and method for forming nanodisks used in imprint lithography and nanodisks and memory disks formed thereby

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3453714A (en) * 1967-02-10 1969-07-08 Ibm Vacuum operated chip placement head
JPS57169244A (en) * 1981-04-13 1982-10-18 Canon Inc Temperature controller for mask and wafer
US5151386A (en) * 1990-08-01 1992-09-29 Mobil Solar Energy Corporation Method of applying metallized contacts to a solar cell
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
WO1997006012A1 (en) * 1995-08-04 1997-02-20 International Business Machines Corporation Stamp for a lithographic process
US5967030A (en) * 1995-11-17 1999-10-19 Micron Technology, Inc. Global planarization method and apparatus
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5789117A (en) * 1996-12-02 1998-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transfer method for non-critical photoresist patterns
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
EP1113885A4 (en) * 1998-08-21 2004-08-04 Stanford Res Inst Int Printing of electronic circuits and components
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
WO2001008242A1 (en) * 1999-07-21 2001-02-01 E Ink Corporation Preferred methods for producing electrical circuit elements used to control an electronic display
SE515607C2 (en) 1999-12-10 2001-09-10 Obducat Ab Device and method for fabrication of structures
TW562755B (en) * 1999-12-31 2003-11-21 Ibm Stamp device for printing a pattern on a surface of a substrate
TW434848B (en) * 2000-01-14 2001-05-16 Chen I Ming Semiconductor chip device and the packaging method
CH695075A5 (en) * 2000-07-03 2005-11-30 Esec Trading Sa Pick-up tool
JP3768825B2 (en) * 2001-03-29 2006-04-19 キヤノン株式会社 Electromagnetic actuator, linear motor, exposure apparatus, semiconductor device manufacturing method, semiconductor manufacturing factory, and exposure apparatus maintenance method
AU2003217184A1 (en) * 2002-01-11 2003-09-02 Massachusetts Institute Of Technology Microcontact printing
JP4195227B2 (en) * 2002-02-22 2008-12-10 東京エレクトロン株式会社 Introducing port structure of workpiece
US6740543B2 (en) * 2002-03-07 2004-05-25 Kulicke & Soffa Industries, Inc. Method and apparatus for encapsulating articles by stencil printing
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
AU2003232962A1 (en) * 2002-05-27 2003-12-12 Koninklijke Philips Electronics N.V. Method and device for transferring a pattern from a stamp to a substrate
DE60326724D1 (en) * 2002-05-30 2009-04-30 Ibm STRUCTURING PROCESS
US6792856B2 (en) * 2002-07-16 2004-09-21 International Business Machines Corporation Method and apparatus for accurate, micro-contact printing
US6674146B1 (en) * 2002-08-08 2004-01-06 Intel Corporation Composite dielectric layers
WO2004022338A2 (en) * 2002-09-09 2004-03-18 International Business Machines Corporation Printing method using rubber stamp
US6918982B2 (en) * 2002-12-09 2005-07-19 International Business Machines Corporation System and method of transfer printing an organic semiconductor
US20040165539A1 (en) * 2003-02-21 2004-08-26 Huckins Jeffrey L. Processing wireless messages to reduce host power consumption
US6829988B2 (en) * 2003-05-16 2004-12-14 Suss Microtec, Inc. Nanoimprinting apparatus and method
KR100675632B1 (en) * 2003-09-08 2007-02-01 엘지.필립스 엘시디 주식회사 Method for molding pattern and method for fabricating liquid crystal display device using the same
US7122828B2 (en) * 2003-09-24 2006-10-17 Lucent Technologies, Inc. Semiconductor devices having regions of induced high and low conductivity, and methods of making the same
WO2005054119A2 (en) * 2003-12-01 2005-06-16 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating three-dimensional nanoscale structures
JP2008507114A (en) * 2004-04-27 2008-03-06 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ Composite patterning device for soft lithography
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US7521292B2 (en) * 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US8217381B2 (en) * 2004-06-04 2012-07-10 The Board Of Trustees Of The University Of Illinois Controlled buckling structures in semiconductor interconnects and nanomembranes for stretchable electronics
EP2650907A3 (en) 2004-06-04 2014-10-08 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7943491B2 (en) * 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
US7799699B2 (en) * 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7207430B2 (en) * 2004-10-25 2007-04-24 Ui Holding Company Vacuum gripper for handling small components
US7363854B2 (en) * 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US20060234499A1 (en) * 2005-03-29 2006-10-19 Akira Kodera Substrate processing method and substrate processing apparatus
EP1915774B1 (en) 2005-06-02 2015-05-20 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
CN101632156B (en) 2005-06-02 2012-06-20 伊利诺伊大学评议会 Printable semiconductor structures and related methods of making and assembling
CN101506413A (en) 2006-03-03 2009-08-12 伊利诺伊大学评议会 Methods of making spatially aligned nanotubes and nanotube arrays
US7522783B2 (en) * 2006-06-05 2009-04-21 Fujitsu Limited Optical interconnect apparatuses and electro-optic modulators for processing systems
US20080000373A1 (en) * 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
KR100973986B1 (en) * 2006-08-24 2010-08-05 한국과학기술연구원 Method to provide initial tension for coil spring
TWI360802B (en) * 2006-08-30 2012-03-21 Realtek Semiconductor Corp Method and appartaus for indicating status of disp
KR101689747B1 (en) 2006-09-06 2016-12-27 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 A two-dimensional stretchable and bendable device
WO2008036837A2 (en) * 2006-09-20 2008-03-27 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
GB0620955D0 (en) * 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
CN104637954B (en) 2007-01-17 2018-02-16 伊利诺伊大学评议会 The method for manufacturing semiconductor-based optical system
WO2009011709A1 (en) 2007-07-19 2009-01-22 The Board Of Trustees Of The University Of Illinois High resolution electrohydrodynamic jet printing for manufacturing systems
CN103872002B (en) * 2008-03-05 2017-03-01 伊利诺伊大学评议会 Stretchable and foldable electronic device
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US7927976B2 (en) * 2008-07-23 2011-04-19 Semprius, Inc. Reinforced composite stamp for dry transfer printing of semiconductor elements
WO2010036807A1 (en) 2008-09-24 2010-04-01 The Board Of Trustees Of The University Of Illinois Arrays of ultrathin silicon solar microcells

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030047535A1 (en) * 2001-09-10 2003-03-13 Schueller Olivier J.A. System and process for automated microcontact printing
JP2008524854A (en) * 2004-12-16 2008-07-10 エーエスエムエル ホールディング エヌ.ブイ. System and method for forming nanodisks used in imprint lithography and nanodisks and memory disks formed thereby

Also Published As

Publication number Publication date
US20100123268A1 (en) 2010-05-20
EP2351068A4 (en) 2013-06-19
EP2351068B1 (en) 2020-11-04
WO2010059781A1 (en) 2010-05-27
EP2351068A1 (en) 2011-08-03
KR20110118616A (en) 2011-10-31
US8506867B2 (en) 2013-08-13

Similar Documents

Publication Publication Date Title
KR101736722B1 (en) Printing semiconductor elements by shear-assisted elastomeric stamp transfer
EP2457250B1 (en) Vacuum coupled tool apparatus for dry transfer printing semiconductor elements
US11276603B2 (en) Transfer method using deformable film
KR102085212B1 (en) Apparatus and methods for micro-transfer printing
US7943491B2 (en) Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
CN107078094B (en) Systems and methods for fabricating GaN and related materials for micro-assembly
CN111180381B (en) System and method for controlling release of transferable semiconductor structures
US20170207193A1 (en) Apparatus and methods for micro-transfer-printing
TWI420237B (en) Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
KR20170060043A (en) Compound micro-assembly strategies and devices
CN114303236A (en) Multi-chip bearing structure
US20230356520A1 (en) Micro-transfer printing from adhesive surfaces

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant