KR101676514B1 - Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film - Google Patents

Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film Download PDF

Info

Publication number
KR101676514B1
KR101676514B1 KR1020120133967A KR20120133967A KR101676514B1 KR 101676514 B1 KR101676514 B1 KR 101676514B1 KR 1020120133967 A KR1020120133967 A KR 1020120133967A KR 20120133967 A KR20120133967 A KR 20120133967A KR 101676514 B1 KR101676514 B1 KR 101676514B1
Authority
KR
South Korea
Prior art keywords
layer
extreme ultraviolet
absorber
mask
thin film
Prior art date
Application number
KR1020120133967A
Other languages
Korean (ko)
Other versions
KR20140066563A (en
Inventor
안진호
이재욱
홍성철
이승민
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020120133967A priority Critical patent/KR101676514B1/en
Publication of KR20140066563A publication Critical patent/KR20140066563A/en
Application granted granted Critical
Publication of KR101676514B1 publication Critical patent/KR101676514B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Abstract

단일층 흡수체 박막을 이용한 극자외선 노광 공정용 위상 반전 마스크를 제공한다. 상기 위상 반전 마스크는 기판; 상기 기판 상에 위치하고 극자외선을 반사하는 다층 박막으로 구성된 반사층; 및 상기 반사층 상에 위치하고 패턴화된 단일층 박막으로 구성된 흡수층을 포함하며, 상기 흡수층은 극자외선에 대하여 5 내지 10%의 반사도를 가지고, 상기 흡수층에서 반사되는 극자외선은 상기 반사층에서 반사되는 극자외선과 180±15°의 위상차를 가진다. 이에 따르면, 마스크 제작 공정을 단순화하고, 고명암비의 이미지를 얻을 수 있으며, 선폭이 좁은 미세 패턴에 대하여도 높은 임계치수 균일성을 확보하여 공정상 수율을 향상시킬 수 있다.A phase inversion mask for extreme ultraviolet exposure process using a single layer absorber thin film is provided. The phase shift mask comprising: a substrate; A reflective layer disposed on the substrate and composed of a multilayer thin film that reflects extreme ultraviolet rays; And an absorber layer comprising a patterned monolayer film positioned on the reflective layer, wherein the absorber layer has a reflectance of 5 to 10% with respect to extreme ultraviolet light, and the extreme ultraviolet light reflected from the absorber layer is an extreme ultraviolet light And has a phase difference of 180 +/- 15 degrees. According to this, it is possible to simplify the mask making process, to obtain an image with high contrast ratio, and to secure high uniformity of the threshold value even for a fine pattern having a narrow line width, thereby improving the process yield.

Description

단일층 흡수체 박막을 이용한 극자외선 노광 공정용 위상 반전 마스크{Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film}[0001] The present invention relates to a phase shift mask for extreme ultraviolet exposure using a single-layer absorber thin film,

본 발명은 노광 공정용 마스크에 관한 것으로, 보다 상세하게는 단일층 박막으로 구성된 흡수층을 이용한 극자외선 노광 공정용 위상 반전 마스크에 관한 것이다.The present invention relates to a mask for an exposure process, and more particularly, to a phase inversion mask for an extreme ultraviolet exposure process using an absorber layer composed of a single layer thin film.

극자외선 리소그래피(EUV lithography, EUVL)는 노광원으로 G-line(436nm), I-line(365nm), KrF(248nm) 또는 ArF(193nm) 등을 사용하는 기존 기술과 달리, 예를 들어 13.5nm의 매우 짧은 파장의 광을 사용하는 기술로서 선폭이 22nm 이하인 반도체 소자를 개발하는데 있어서 핵심적인 공정 기술로 주목받고 있다.EUV lithography (EUVL), unlike existing techniques using G-line (436 nm), I-line (365 nm), KrF (248 nm) or ArF (193 nm) As a technology that uses light of a very short wavelength of 22 nm or less as a key process technology for developing a semiconductor device having a line width of 22 nm or less.

이러한 EUV 노광 기술은 고에너지 빛을 이용하므로 종래의 투과형 노광 방식을 적용할 수 없고, 반사형 마스크를 사용하여 웨이퍼 상에 패턴을 형성하게 된다. 이때, 반사광의 효율적 사용을 위해서는 입사광과 반사광의 간섭 현상을 방지하여야하며, 이를 위해 입사광은 마스크에 대해 수직이 아닌 일정한 입사각으로 입사된다. 입사각은 장비 구성에 따라 다르겠으나, 마스크 표면에 수직한 축을 기준으로 6°기울어지는 것이 일반적이며, 광학계의 개구수 변화에 의해 조정될 수 있다. 비스듬히 입사된 광의 일부는 노광 마스크의 반사영역에서 반사되고 일부는 노광 마스크의 흡수영역에서 흡수되어 웨이퍼 상에 일정한 패턴을 형성하게 된다.Since the EUV exposure technique uses high energy light, a conventional transmission type exposure method can not be applied, and a reflective mask is used to form a pattern on the wafer. In this case, for efficient use of the reflected light, interference between the incident light and the reflected light should be prevented. For this, the incident light is incident at a constant incident angle, not perpendicular to the mask. The angle of incidence differs depending on the equipment configuration, but is generally inclined by 6 ° with respect to the axis perpendicular to the mask surface, and can be adjusted by the numerical aperture change of the optical system. A portion of the obliquely incident light is reflected in the reflective region of the exposure mask and a portion is absorbed in the absorption region of the exposure mask to form a uniform pattern on the wafer.

그런데, 이와 같이 경사지게 입사광을 조사하는 EUV 노광 공정에서는 그림자 효과(shadowing effect)라는 물리적 현상이 발생하게 되고, 마스크로부터 반사된 빛의 정보가 왜곡되어 패턴 쉬프트(pattern shift) 및 수평-수직 임계치수 바이어스(H-V CD bias) 등을 유발시켜 공정상 수율을 저하시킨다.However, in the EUV exposure process of irradiating the incidence light obliquely, a physical phenomenon called a shadowing effect is generated, and information of light reflected from the mask is distorted to generate a pattern shift and a horizontal-vertical threshold bias (HV CD bias) and the like.

종래의 일반적인 EUV 마스크의 경우, 반사영역과 흡수영역의 명암비를 최대화하여 이미지 해상도를 높이기 위해 흡수층의 두께(흡수층의 높이에 해당)가 높은 구조의 마스크가 사용되었다. 예를 들어, 기존의 흡수층 물질로 사용된 질화 탄탈륨(TaN)의 경우 0.5% 미만의 반사도(명암비 100:1 이상)를 만족시키기 위해 요구되는 흡수층의 최소 두께가 70nm이다.In the case of a conventional general EUV mask, a mask having a structure with a high absorption layer thickness (corresponding to the height of the absorption layer) is used to maximize the contrast ratio between the reflection area and the absorption area to increase the image resolution. For example, in the case of tantalum nitride (TaN) used as a conventional absorbing layer material, the minimum thickness of the absorbing layer required to satisfy a reflectance of less than 0.5% (contrast ratio of 100: 1 or more) is 70 nm.

그러나 두꺼운 흡수층을 가진 마스크의 경우, 높아진 두께만큼 증가된 그림자 효과를 유발시키고 이로 인해 CD 오차량이 커지게 되므로 20nm급 이하의 선폭에 대해 패터닝이 불가능해지는 문제가 있다.However, in the case of a mask having a thick absorber layer, an increased shadow effect is caused by an increased thickness, and as a result, the CD error increases, and thus patterning becomes impossible for a line width of 20 nm or less.

이러한 이유로 낮은 두께에서도 높은 이미지 해상도를 확보하기 위하여 위상 반전 마스크(phase shift mask, PSM)에 대한 연구개발이 진행되고 있는데, 현재까지의 위상 반전 마스크들은 마스크의 흡수영역에서 위상 변위 및 반사도를 제어하기 위해 2종 이상의 물질로 이루어진 다층 박막을 사용하고 있다.For this reason, a phase shift mask (PSM) has been researched and developed to secure high image resolution even at a low thickness. To date, phase inversion masks have been used to control the phase shift and reflectivity in the absorption region of the mask A multi-layer thin film made of two or more materials is used.

도 1은 종래의 위상 반전 마스크의 구조를 나타낸 사시도이다.1 is a perspective view showing the structure of a conventional phase inversion mask.

도 1을 참조하면, 종래의 위상 반전 마스크는 기판(10) 상에 반사층(12), 캡핑층(14), 위상반전층(20) 및 흡수층(30)이 순차 형성된 구조를 가진다.Referring to FIG. 1, a conventional phase inversion mask has a structure in which a reflective layer 12, a capping layer 14, a phase inversion layer 20, and an absorbing layer 30 are sequentially formed on a substrate 10.

마스크에 입사되는 극자외선은 다층 박막으로 구성된 반사층(12)에서 브래그 반사에 의해 반사되고, 흡수층(30)에서 흡수되어 웨이퍼 상에 마스크 패턴을 프린팅하게 된다. 이때, 흡수층(30)에서 흡수되지 않은 광은 위상반전층(20)에서 위상이 반전되어 출사되며, 이를 통해 이미지 해상도를 확보한다.Extreme ultraviolet rays incident on the mask are reflected by the Bragg reflection in the reflection layer 12 composed of a multilayer thin film and absorbed in the absorption layer 30 to print the mask pattern on the wafer. At this time, the light not absorbed by the absorptive layer 30 is inverted in phase in the phase inversion layer 20, and thereby the image resolution is ensured.

즉, 종래의 위상 반전 마스크는 흡수영역(흡수층(30) 및 위상반전층(20)을 포함)에 해당하는 부분에 적어도 2종의 물질을 증착해야 하는 단점이 있으며, 각 층별 두께 조절에 따라 반사도와 위상이 쉽게 변하게 되므로 증착 공정을 정밀하게 제어해야 하는 어려움이 있다. 또한, 다층 박막을 패터닝하기 위해 건식 에칭을 수행하는 경우, 각 층별 물질의 에칭 선택비에 따라 패터닝이 용이하지 않을 수 있으며, 에칭 가스 선택의 폭도 좁아지는 문제가 있다.That is, the conventional phase inversion mask has a disadvantage in that at least two kinds of materials must be deposited on the portion corresponding to the absorption region (including the absorption layer 30 and the phase inversion layer 20) And the phase is easily changed, it is difficult to precisely control the deposition process. Further, when dry etching is performed to pattern the multilayer thin film, the patterning may not be easy depending on the etching selection ratio of the material for each layer, and there is a problem that the width of the etching gas selection becomes narrow.

따라서, 공정을 단순화시키는 한편 기존의 질화 탄탈륨 계열의 물질보다 낮은 두께에서도 높은 이미지 해상도를 나타내며, 특히 선폭이 좁은 마스크 패턴에서 발생하는 패턴 왜곡 현상을 감소시켜 웨이퍼 상에서의 수평-수직 임계치수 바이어스를 최소화할 수 있는 EUV 노광 공정용 마스크의 개발이 필요한 실정이다.Thus, while simplifying the process, it exhibits high image resolution even at a thickness lower than that of conventional tantalum nitride-based materials, and minimizes the horizontal-vertical threshold number bias on the wafer, in particular, by reducing the pattern distortion occurring in a mask pattern with narrow line width A mask for an EUV exposure process is required.

한국등록특허 제10-0879139호Korean Patent No. 10-0879139 한국등록특허 제10-1054746호Korean Patent No. 10-1054746

본 발명은 상술한 문제점을 해결하기 위해 안출된 것으로서, 적절한 광학적 특성을 가진 물질을 사용하여 흡수층을 단일층 박막으로 형성하고, 낮은 두께에서도 충분한 명암비와 위상 반전 효과를 가질 수 있는 극자외선 노광 공정용 위상 반전 마스크를 제공함에 있다.SUMMARY OF THE INVENTION The present invention has been made in order to solve the above-mentioned problems, and it is an object of the present invention to provide an ultraviolet ray exposure process capable of forming a single layer thin film using a material having suitable optical properties, Phase inversion mask.

상기 기술적 과제를 해결하기 위하여 본 발명은 극자외선 노광 공정용 위상 반전 마스크를 제공한다.According to an aspect of the present invention, there is provided a phase reversal mask for an extreme ultraviolet exposure process.

상기 위상 반전 마스크는 기판; 상기 기판 상에 위치하고 극자외선을 반사하는 다층 박막으로 구성된 반사층; 및 상기 반사층 상에 위치하고 패턴화된 단일층 박막으로 구성된 흡수층을 포함하며, 상기 흡수층은 극자외선에 대하여 5 내지 10%의 반사도를 가지고, 상기 흡수층에서 반사되는 극자외선은 상기 반사층에서 반사되는 극자외선과 180±15°의 위상차를 가진다.The phase shift mask comprising: a substrate; A reflective layer disposed on the substrate and composed of a multilayer thin film that reflects extreme ultraviolet rays; And an absorber layer comprising a patterned monolayer film positioned on the reflective layer, wherein the absorber layer has a reflectance of 5 to 10% with respect to extreme ultraviolet light, and the extreme ultraviolet light reflected from the absorber layer is an extreme ultraviolet light And has a phase difference of 180 +/- 15 degrees.

상기 흡수층은 PdO, PdO2, Pd2O3, RhO, RhO2, Rh2O3, Tc2O7, Nb2O5, OsO2, Os2O3, ReO2, ReO3, Re2O5, Re2O7, WO2, WO3, W2O3, VO2F, V2O5, MnSO4, CrN, TaBON, IrCl2 및IrO2 중에서 선택되는 어느 하나 또는 2 이상의 화합물로 이루어질 수 있다.Wherein the absorber layer comprises PdO, PdO 2, Pd 2 O 3, RhO, RhO 2, Rh 2 O 3, Tc 2 O 7, Nb 2 O 5, OsO 2, Os 2 O 3, ReO 2, ReO 3, Re 2 O 5 , Re 2 O 7 , WO 2 , WO 3 , W 2 O 3 , VO 2 F, V 2 O 5 , MnSO 4 , CrN, TaBON, IrCl 2 and IrO 2 .

상기 흡수층은 40nm 이하의 두께로 형성될 수 있으며, 바람직하게는 10nm 내지 30nm 범위의 두께로 형성될 수 있다.The absorber layer may be formed to a thickness of 40 nm or less, preferably 10 nm to 30 nm.

상기 흡수층의 패턴은 22nm 이하의 하프 피치를 가질 수 있다.The pattern of the absorbent layer may have a half pitch of 22 nm or less.

상기 극자외선은 10nm 내지 20nm 대역에서 선택되는 파장을 가질 수 있으며, 바람직하게는 13.5nm의 파장을 가질 수 있다.The extreme ultraviolet ray may have a wavelength selected from the range of 10 nm to 20 nm, and preferably have a wavelength of 13.5 nm.

또한, 상기 위상 반전 마스크는 상기 반사층과 상기 흡수층 사이에 위치하며, 상기 반사층의 표면을 덮도록 형성된 캡핑층을 더 포함할 수 있다.The phase shift mask may further include a capping layer disposed between the reflection layer and the absorption layer and covering the surface of the reflection layer.

상술한 바와 같이 본 발명에 따르면, 적절한 반사도 및 위상차를 갖는 흡수층을 단일층 박막으로 형성함으로써 마스크 제작 공정을 단순화하고, 고명암비의 이미지를 얻을 수 있다.INDUSTRIAL APPLICABILITY As described above, according to the present invention, it is possible to simplify the mask fabrication process and obtain a high contrast ratio image by forming an absorbing layer having appropriate reflectivity and phase difference from a single layer thin film.

또한, 단일의 흡수체를 이용하여 흡수층을 구성하므로 매우 낮은 두께의 흡수층 구조를 구현할 수 있으며, 이에 따라 그림자 효과를 최소화하여 선폭이 좁은 미세 패턴에 대하여도 높은 임계치수 균일성을 확보하고 공정상 수율을 향상시킬 수 있다.In addition, since the absorber layer is formed using a single absorber, it is possible to realize an absorber layer structure having a very low thickness, thereby minimizing the shadow effect and ensuring high uniformity of the threshold value even for a fine pattern having a narrow line width. Can be improved.

다만, 본 발명의 효과들은 이상에서 언급한 효과로 제한되지 않으며, 언급되지 않은 또 다른 효과들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.However, the effects of the present invention are not limited to the effects mentioned above, and other effects not mentioned can be clearly understood by those skilled in the art from the following description.

도 1은 종래의 위상 반전 마스크의 구조를 나타낸 사시도이다.
도 2는 본 발명의 실시예에 따른 극자외선 노광 공정용 위상 반전 마스크를 나타낸 사시도이다.
도 3은 IrO2 흡수층의 반사도 및 위상차를 흡수층 두께에 따라 측정한 그래프이다.
도 4a 및 4b는 IrO2 흡수층 및 TaN 흡수층에 대한 선폭별 명암비를 PPT(도 4a) 및 HVM(도 4b)을 사용하여 각각 비교한 그래프이다.
도 5a 및 5b는 IrO2 흡수층 및 TaN 흡수층에 대한 선폭별 수평-수직 임계치수 바이어스를 PPT(도 5a) 및 HVM(도 5b)을 사용하여 각각 비교한 그래프이다.
도 6 내지 8은 표 1에서 제시된 물질들로 이루어진 흡수층 및 TaN 흡수층에 대한 선폭별 명암비를 비교한 그래프이다.
1 is a perspective view showing the structure of a conventional phase inversion mask.
2 is a perspective view showing a phase inversion mask for an EUV exposure process according to an embodiment of the present invention.
3 is a graph showing the reflectivity and the phase difference of the IrO 2 absorption layer measured according to the thickness of the absorption layer.
Figures 4A and 4B illustrate the results of a < RTI ID = 4A) and HVM (FIG. 4B), respectively, for the line width of the absorption layer and the TaN absorption layer.
Figures 5a and 5b illustrate the results of a < RTI ID = 5A) and the HVM (FIG. 5B) for the line width of the absorption layer and the TaN absorption layer, respectively.
Figs. 6 to 8 are graphs comparing the contrast ratio of linewidths with respect to the absorption layer and the TaN absorption layer made of the materials shown in Table 1. Fig.

이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다. 그러나, 본 발명은 여기서 설명되는 실시예들에 한정되지 않고 다른 형태로 구체화될 수 있으며, 본 발명의 사상 및 기술 범위에 포함되는 모든 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. It is to be understood, however, that the present invention is not limited to the embodiments described herein but may be embodied in other forms and includes all equivalents and alternatives falling within the spirit and scope of the present invention.

본 명세서에서 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나, 그들 사이에 제3의 층이 개재될 수도 있다. 또한, 본 명세서에서 위쪽, 상(부), 상면 등의 방향적인 표현은 그 기준에 따라 아래쪽, 하(부), 하면 등의 의미로 이해될 수 있다. 즉, 공간적인 방향의 표현은 상대적인 방향으로 이해되어야 하며 절대적인 방향을 의미하는 것으로 한정 해석되어서는 안 된다.When a layer is referred to herein as being "on" another layer or substrate, it may be formed directly on another layer or substrate, or a third layer may be interposed therebetween. In the present specification, directional expressions of the upper side, upper side, upper side, and the like can be understood as meaning lower, lower, lower, and the like according to the standard. That is, the expression of the spatial direction should be understood in the relative direction and should not be construed as limiting in the absolute direction.

도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장 또는 생략된 것일 수 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.In the drawings, the thicknesses of the layers and regions may be exaggerated or omitted for the sake of clarity. Like reference numerals designate like elements throughout the specification.

또한, 하기에서 본 발명을 설명함에 있어 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략할 것이다.
In the following description of the present invention, a detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

도 2는 본 발명의 실시예에 따른 극자외선 노광 공정용 위상 반전 마스크를 나타낸 사시도이다.2 is a perspective view showing a phase inversion mask for an EUV exposure process according to an embodiment of the present invention.

도 2에 도시된 바와 같이, 본 실시예에 따른 위상 반전 마스크는 기판(20) 상에 반사층(12), 캡핑층(14) 및 흡수층(40)이 순차 형성된 구조를 가진다.2, the phase shift mask according to the present embodiment has a structure in which a reflection layer 12, a capping layer 14, and an absorption layer 40 are sequentially formed on a substrate 20.

상기 기판(10)은 마스크 구조를 지지하는 역할을 하며, 유리와 같은 낮은 열 팽창 계수를 갖는 물질로 이루어질 수 있다.The substrate 10 serves to support the mask structure and may be made of a material having a low coefficient of thermal expansion such as glass.

상기 반사층(12)은 입사되는 극자외선을 브래그 반사를 이용하여 반사하는 다층 박막으로 구성되며, 예를 들어, Mo/Si, Mo/Be, MoRu/Be 또는 Ru/Mo/Si과 같은 이종(異種) 물질을 반복 적층하여 형성할 수 있다.The reflective layer 12 is formed of a multilayer thin film that reflects incident extreme ultraviolet rays using Bragg reflection. For example, the reflective layer 12 may be a different layer such as Mo / Si, Mo / Be, MoRu / Be, or Ru / ) Material can be formed by repeated lamination.

상기 캡핑층(14)은 반사층(12)의 표면을 덮어 반사층(12)의 산화 및 불순물 침투를 방지하는 층으로서, Ru, Mo, C 또는 Si과 같은 물질을 사용하여 형성할 수 있다. 다만, 상기 캡핑층(14)은 필요에 따라 생략될 수 있다.The capping layer 14 may be formed using a material such as Ru, Mo, C, or Si as a layer that covers the surface of the reflective layer 12 and prevents oxidation and impurity penetration of the reflective layer 12. However, the capping layer 14 may be omitted if necessary.

한편, 상기 흡수층(40)은 입사되는 극자외선을 흡수하는 층으로서, 반사층(12) 상에 위치하며(캡핑층(14)을 포함하는 경우 캡핑층(14) 상에 위치함), 패턴화된 단일층 박막으로 구성된다.On the other hand, the absorbing layer 40 is an absorbing extreme ultraviolet absorbing layer which is located on the reflective layer 12 (when it includes the capping layer 14, it is located on the capping layer 14) Layer thin film.

상기 흡수층(40)은 소정의 형상으로 패턴화되어 반사층(12) 표면의 일부가 광원에 노출되도록 하며, 상기 흡수층(40)에서 흡수되는 광과 상기 반사층(12)에서 반사되는 광을 이용하여 마스크 패턴을 프린팅하게 된다.The absorption layer 40 is patterned into a predetermined shape so that a part of the surface of the reflection layer 12 is exposed to the light source and the light is absorbed by the absorption layer 40 and the light reflected from the reflection layer 12, The pattern is printed.

이때, 상기 흡수층(40)은 종래의 마스크 구조의 광 흡수영역과 달리 단일의 물질을 이용한 단일층 박막으로 이루어진다.At this time, the absorption layer 40 is formed of a single layer thin film using a single material, unlike the light absorption region of the conventional mask structure.

더불어, 상기 흡수층은(40)은 극자외선에 대하여 5 내지 10%의 반사도를 가지며, 흡수층(40)에서 반사되는 극자외선은 반사층(12)에서 반사되는 극자외선과 180±15°(즉, 165° 내지 195°범위)의 위상차를 갖는 것을 특징으로 한다.The absorbing layer 40 has a reflectivity of 5 to 10% with respect to the extreme ultraviolet ray and the extreme ultraviolet ray reflected from the absorbing layer 40 is 180 ± 15 ° (that is, 165 To < RTI ID = 0.0 > 195). ≪ / RTI >

즉, 종래의 위상 반전 마스크의 경우 역위상(out of phase)의 조건을 만족시키기 위해 2 이상의 물질을 이용한 다층 박막 형태로 흡수영역을 형성하였으나, 본 발명의 경우 상기의 광학적 특성(반사도 및 위상차)을 만족하는 단일의 흡수체를 이용하여 단일층 박막 형태로 흡수층을 형성한다.That is, in the conventional phase shift mask, the absorption region is formed in the form of a multilayer thin film using two or more materials in order to satisfy the out of phase condition. However, in the case of the present invention, the optical characteristics (reflectivity and phase difference) The absorption layer is formed in the form of a single layer thin film using a single absorber.

극자외선 영역대의 굴절률(n)은 n = 1-δ+iβ(δ: 굴절계수 또는 굴절지수, β: 소광계수)로 표현될 수 있는데, 흡수체의 흡수계수는 그 물질의 소광계수에 직접 비례하므로 소광계수가 높은 물질이 보다 낮은 두께에서 극자외선을 효과적으로 흡수할 수 있다. 또한, 극자외선이 흡수체 매질을 통과하는 동안 빛의 위상이 천이되는데, 빛이 반사되는 영역과 빛이 흡수되는 영역의 위상차가 180°근처가 되는 두께에서 명암비가 가장 높게 나타나며, 그 이상의 두께에서는 더 이상 증가하지 않고 광원의 반 파장 주기로 유동(fluctuation)형태를 띠며 포화상태에 이른다.The refractive index (n) of the extreme ultraviolet region can be expressed by n = 1 -? + I? (?: Refraction index or refraction index,?: Extinction coefficient). Since the absorption coefficient of the absorber is directly proportional to the extinction coefficient Materials with high extinction coefficients can effectively absorb extreme ultraviolet radiation at lower thicknesses. In addition, the phase of the light is transited while the extreme ultraviolet ray passes through the absorber medium. The contrast ratio is highest at a thickness where the phase difference between the light reflection area and the light absorption area becomes near 180 °, But it is in the form of a fluctuation in a half wavelength period of the light source and reaches a saturation state.

위상차(△φ)는 △φ = (2πδ/λ)*△r로 표현될 수 있으며, 여기서, △r은 매질 안에서 빛이 전파된 거리, 즉 흡수층의 두께가 되며, δ는 물질의 굴절계수, λ는 조사된 광원의 파장을 의미한다. 조사된 광원의 파장이 특정 값으로 고정된 경우, 위상 반전 효과는 굴절계수 및 흡수층 두께에 의해 결정될 수 있다.The phase difference ?? can be expressed by ?? = (2 ?? / ??) *? R, where? R is the distance at which the light propagates in the medium, i.e., the thickness of the absorption layer, lambda denotes the wavelength of the irradiated light source. When the wavelength of the irradiated light source is fixed at a certain value, the phase reversal effect can be determined by the refractive index and the absorptive layer thickness.

따라서, 극자외선 파장에 대해 높은 소광계수를 가지고 동시에 굴절계수가 높은 물질로 흡수층을 형성한다면, 매우 얇은 단일층 박막으로 높은 흡수도(낮은 반사도) 및 위상 반전 효과를 나타낼 수 있다.Therefore, if an absorbing layer is formed of a material having a high extinction coefficient for extreme ultraviolet wavelength and a high refraction coefficient, a very thin single layer film can exhibit a high absorption (low reflectivity) and a phase reversal effect.

상기 광학적 특성을 만족하는 흡수층의 물질은 PdO, PdO2, Pd2O3, RhO, RhO2, Rh2O3, Tc2O7, Nb2O5, OsO2, Os2O3, ReO2, ReO3, Re2O5, Re2O7, WO2, WO3, W2O3, VO2F, V2O5, MnSO4, CrN, TaBON, IrCl2 및 IrO2 중에서 선택되는 어느 하나 또는 2 이상의 화합물일 수 있다.Material of the absorbent layer that satisfies the above optical properties are PdO, PdO 2, Pd 2 O 3, RhO, RhO 2, Rh 2 O 3, Tc 2 O 7, Nb 2 O 5, OsO 2, Os 2 O 3, ReO 2 , which is ReO 3, Re 2 O 5, Re 2 O 7, WO 2, WO 3, W 2 O 3, VO 2 F, V 2 O 5, MnSO 4, selected from the CrN, TaBON, IrCl 2 and IrO 2 One or two or more compounds.

상기 흡수층(40)은 40nm 이하의 두께로 형성할 수 있으며, 바람직하게는 10nm 내지 30nm 범위의 두께를 갖도록 형성할 수 있다. 흡수층(40)은 그 두께가 낮을수록 그림자 효과 및 수평-수직 임계치수 바이어스를 최소화할 수 있다. 그러나, 흡수층(40)의 두께가 너무 낮은 경우 충분한 광 흡수가 일어나지 않을 수 있으므로 사용되는 구체적인 물질을 고려하여 적절한 두께로 설정할 필요가 있다.The absorbing layer 40 may be formed to a thickness of 40 nm or less, preferably 10 nm to 30 nm. The lower the thickness of the absorber layer 40, the less the shadow effect and the horizontal-vertical threshold bias. However, when the thickness of the absorbing layer 40 is too low, sufficient light absorption may not occur. Therefore, it is necessary to set the thickness appropriately in consideration of the specific material to be used.

한편, 본 발명에 따른 위상 반전 마스크에 사용되는 극자외선의 파장은 10nm 내지 20nm 대역에서 선택될 수 있다. 사용되는 광의 파장이 짧을수록 미세한 패턴을 웨이퍼에 형성할 수 있으나, 상용성을 고려하여 바람직하게는 13.5nm의 파장을 갖는 극자외선을 사용할 수 있다.On the other hand, the wavelength of the extreme ultraviolet ray used in the phase shift mask according to the present invention can be selected in the range of 10 nm to 20 nm. The shorter the wavelength of the light used, the finer the pattern can be formed on the wafer, but in consideration of compatibility, an extreme ultraviolet ray having a wavelength of 13.5 nm is preferably used.

이처럼 본 발명에 따르면, 흡수층은 약간의 반사도를 가지면서 흡수층에서 반사되는 극자외선에 위상 반전 효과를 부여할 수 있으므로 이미지 명암비를 높일 수 있다.As described above, according to the present invention, since the absorption layer can impart a phase reversing effect to extreme ultraviolet rays reflected by the absorption layer while having a slight reflectance, the contrast ratio of an image can be increased.

더불어, 흡수층에 별도의 위상반전층을 도입하는 추가 공정 없이, 단일층으로 이루어진 얇은 두께의 흡수층을 이용하여 그림자 효과를 최소화할 수 있다.In addition, the shadow effect can be minimized by using a thin layer of a single layer of absorber layer, without the additional step of introducing a separate phase inversion layer into the absorber layer.

또한, 22nm 이하의 하프 피치(half pitch)를 갖는 흡수층의 패턴에서 수평-수직 임계치수 바이어스를 최소화할 수 있으며 공정 수율을 향상시킬 수 있다
In addition, the horizontal-vertical threshold number bias can be minimized in the pattern of the absorption layer having a half pitch of 22 nm or less, and the process yield can be improved

이하, 본 발명의 이해를 돕기 위하여 바람직한 실험예를 제시한다. 다만, 하기의 실험예는 본 발명의 이해를 돕기 위한 것일 뿐, 본 발명이 하기의 실험예에 의해 한정되는 것은 아니다.
Hereinafter, preferred examples for the understanding of the present invention will be described. It should be understood, however, that the following examples are intended to aid in the understanding of the present invention and are not intended to limit the scope of the present invention.

<실험예 1><Experimental Example 1>

단일층 박막 구조를 가지며, 5 내지 10%의 반사도 및 180±15°의 위상차를 갖는 흡수층의 효과를 확인하기 위해, 흡수층의 특성을 EUV 리소그래피 전산모사 프로그램을 이용하여 측정하였다.In order to confirm the effect of the absorbing layer having a single layer thin film structure and having a reflectance of 5 to 10% and a retardation of 180 ± 15 °, the characteristics of the absorbing layer were measured using an EUV lithography computer simulation program.

Mo/Si 다층 박막(40 pairs)으로 구성된 반사층 및 2nm Ru 캡핑층을 사용하였으며, Pre Production Tool(PPT, NA=0.25, σ=0.8)과 High Volume Manufacturing(HVM, NA=0.33, σ=0.9) 장비에 맞추어 구현하고자 하는 선폭(half pitch)에 대한 명암비(image contrast)를 계산하였다.(PPT, NA = 0.25, σ = 0.8) and High Volume Manufacturing (HVM, NA = 0.33, σ = 0.9) using a reflective layer composed of 40 pairs of Mo / Si multilayer films and a 2 nm Ru capping layer. We calculated the image contrast for the half pitch to be implemented in accordance with the equipment.

도 3은 IrO2 흡수층의 반사도 및 위상차를 흡수층 두께에 따라 측정한 그래프이다.3 is a graph showing the reflectivity and the phase difference of the IrO 2 absorption layer measured according to the thickness of the absorption layer.

도 3을 참조하면, IrO2 흡수층의 두께 19nm에서 8.36%의 반사도 및 166.9°의 위상차를 나타냄을 확인할 수 있다.Referring to FIG. 3, IrO 2 It can be confirmed that the absorption layer has a reflectance of 8.36% at a thickness of 19 nm and a phase difference of 166.9 °.

도 4a 및 4b는 IrO2 흡수층 및 TaN 흡수층에 대한 선폭별 명암비를 PPT(도 4a) 및 HVM(도 4b)을 사용하여 각각 비교한 그래프이다.Figures 4A and 4B illustrate the results of a &lt; RTI ID = 4A) and HVM (FIG. 4B), respectively, for the line width of the absorption layer and the TaN absorption layer.

도 4a 및 4b를 참조하면, 종래의 TaN 흡수층(70nm 두께)에 비해 얇은 두께의 IrO2 흡수층(19nm 두께)을 적용하였음에도 불구하고, 명암비는 TaN 흡수층에 비해 선폭이 좁은 패턴에 대하여 더욱 향상된 값을 가짐을 알 수 있다.
Referring to FIGS. 4A and 4B, although the thinner IrO 2 absorption layer (19 nm thick) than the conventional TaN absorption layer (70 nm thick) is applied, the contrast ratio has a further improved value for a pattern having a narrower line width than the TaN absorption layer .

<실험예 2><Experimental Example 2>

전산모사 프로그램을 이용하여 IrO2 흡수층에 대한 수평-수직 임계치수 바이어스(H-V CD bias)를 계산하였다.Using the computer simulation program, IrO 2 The horizontal-vertical threshold bias (HV CD bias) for the absorber layer was calculated.

도 5a 및 5b는 IrO2 흡수층 및 TaN 흡수층에 대한 선폭별 수평-수직 임계치수 바이어스를 PPT(도 5a) 및 HVM(도 5b)을 사용하여 각각 비교한 그래프이다.Figures 5a and 5b illustrate the results of a &lt; RTI ID = 5A) and the HVM (FIG. 5B) for the line width of the absorption layer and the TaN absorption layer, respectively.

그림자 효과에 의해 발생하는 수평-수직 임계치수 바이어스 값은 프린트하고자 하는 패턴의 선폭이 좁아질수록 급격하게 증가하게 된다. 도 5a 및 5b를 참조하면, 70nm 두께의 TaN 흡수층을 적용하였을 경우엔 2x nm 이하의 선폭에 대하여 매우 높은 수평-수직 임계치수 바이어스 값을 보이며, 이는 광학 근접 보정(optical proximity correction) 등의 해상력 향상 기술에 의해서도 구현하기 어려운 수치이다. 또한 높은 두께의 흡수층(TaN)을 가진 마스크의 경우, 20nm 하프 피치 이하의 선폭을 구현하는 데에 있어 충분한 해상력을 가지지 못하여 패터닝 자체가 불가능하게 된다. 그러나, 얇은 구조의 흡수층(IrO2)를 가진 마스크의 경우, 전체적으로 낮은 수평-수직 임계치수 바이어스 값을 가지는 동시에 좁은 선폭에 대해서도 높은 해상력을 가져 패터닝이 가능함을 알 수 있다.The horizontal-vertical threshold number bias value generated by the shadow effect increases sharply as the line width of the pattern to be printed is narrowed. Referring to FIGS. 5A and 5B, when a TaN absorption layer having a thickness of 70 nm is applied, a very high horizontal-vertical threshold number bias value is obtained for a line width of 2 x nm or less, which is a result of improving resolution such as optical proximity correction It is difficult to implement by technology. Also, in the case of a mask having a high-thickness absorption layer (TaN), sufficient resolution is not achieved in realizing a line width of less than 20 nm half pitch, and patterning itself becomes impossible. However, in the case of a mask having a thin structure absorption layer (IrO 2 ), it can be seen that patterning is possible because the mask has a low horizontal-vertical threshold value as a whole and a high resolution even for a narrow line width.

이처럼 그림자 효과를 가장 효과적으로 감소시키기 위한 방법은 흡수층의 두께를 낮추는 것이다. 따라서, 낮은 두께에서도 극자외선을 효과적으로 흡수할 수 있는 높은 소광계수를 가지며 굴절계수가 높은 물질을 사용하는 경우 매우 낮은 수평-수직 임계치수 바이어스를 가질 수 있다. 더불어, 1차 회절광의 포집비율이 높은 렌즈를 사용하는 경우 거의 모든 선폭의 패턴에 대하여 1nm 편차 범위 내의 균일한 분포를 가능하게 할 수 있다.
The most effective way to reduce this shadow effect is to lower the thickness of the absorbent layer. Therefore, a material having a high extinction coefficient capable of effectively absorbing ultraviolet rays even at a low thickness and using a material having a high refraction coefficient can have a very low horizontal-vertical threshold number bias. In addition, when a lens having a high collection ratio of first-order diffracted light is used, it is possible to uniformly distribute a pattern within a range of 1 nm deviation with respect to almost all the line width patterns.

<실험예 3><Experimental Example 3>

IrO2 이외에 본 발명에 따른 위상 반전 마스크의 흡수층의 광학적 특성(5 내지 10%의 반사도 및 180±15°의 위상차)을 만족시킬 수 있는 물질에 대한 실험을 진행하였다.In addition to IrO 2 , an experiment was conducted on a material capable of satisfying the optical properties (5 to 10% reflectance and 180 ± 15 ° phase difference) of the absorption layer of the phase inversion mask according to the present invention.

하기 표 1은 본 실험을 통해 얻어낸 물질들을 정리한 것이다.Table 1 summarizes the materials obtained from this experiment.

물질matter 흡수층 두께Absorbing layer thickness 반사도(%)Reflectivity (%) 위상차(°)Phase difference (°) PdOPdO 20nm20 nm 7.5058397.505839 171.8322171.8322 PdO2 PdO 2 25nm25 nm 7.5970397.597039 194.6588194.6588 Pd2O3 Pd 2 O 3 24nm24nm 7.0234667.023466 170.8784170.8784 RhORho 23nm23nm 9.8488189.848818 166.4602166.4602 RhO2 RhO 2 23nm23nm 5.8223565.822356 177.7466177.7466 Rh2O3 Rh 2 O 3 21nm21 nm 7.5877057.587705 183.7603183.7603 Tc2O7 Tc 2 O 7 21nm21 nm 8.1583498.158349 170.9819170.9819 Nb2O5 Nb 2 O 5 36nm36nm 9.6417699.641769 183.3935183.3935 OsO2 OsO 2 25nm25 nm 6.4304036.430403 190.883190.883 Os2O3 Os 2 O 3 25nm25 nm 7.3979757.397975 181.6308181.6308 ReO2 ReO 2 25nm25 nm 7.3091077.309107 178.9433178.9433 ReO3 ReO 3 25nm25 nm 5.7705585.770558 194.5457194.5457 Re2O5 Re 2 O 5 25nm25 nm 6.5123976.512397 187.4299187.4299 Re2O7 Re 2 O 7 19nm19 nm 8.0026018.002601 181.7422181.7422 WO2 WO 2 26nm26 nm 6.4132546.413254 173.7115173.7115 WO3 WO 3 25nm25 nm 7.2782947.278294 176.4576176.4576 W2O3 W 2 O 3 32nm32nm 6.2138476.213847 179.8921179.8921 VO2FVO 2 F 39nm39nm 5.0756645.075664 182.6345182.6345 V2O5 V 2 O 5 40nm40nm 5.0508955.050895 190.6375190.6375 MnSO4 MnSO 4 38nm38 nm 5.3584425.358442 183.2283183.2283 MnO2 MnO 2 52nm52nm 5.3671435.367143 177.8674177.8674 CrNCrN 39nm39nm 5.7612015.761201 180.4795180.4795 TaBONTaBON 32nm32nm 5.4656265.465626 179.3855179.3855 IrCl2 IrCl 2 31nm31nm 6.3889776.388977 186.2066186.2066 IrO2 IrO 2 19nm19 nm 8.3574708.357470 166.9024166.9024

표 1을 참조하면, 상기 제시된 물질들은 기존의 TaN 계열의 흡수층(70nm 두께)에 비해 상당히 낮은 두께에서 본 발명에 따른 위상 반전 마스크의 흡수층에서 요구되는 광학적 특성(반사도 및 위상차)를 만족함을 알 수 있다.Referring to Table 1, it can be seen that the above-described materials satisfy the optical characteristics (reflectance and phase difference) required in the absorption layer of the phase shift mask according to the present invention at a considerably lower thickness than the conventional TaN-based absorption layer (70 nm thick) have.

특히, IrO2와 더불어 PdO, PdO2, Pd2O3, RhO, RhO2, Rh2O3, Tc2O7, Nb2O5, OsO2, Os2O3, ReO2, ReO3, Re2O5, Re2O7, WO2, WO3, W2O3, VO2F, V2O5, MnSO4, CrN, TaBON 및 IrCl2의 경우, 40nm 이하의 낮은 두께에서 상기 광학적 특성에 부합함을 확인할 수 있다. 따라서, 종래 TaN 계열의 흡수층에 비해 보다 감소된 그림자 효과 및 보다 향상된 임계치수 균일성을 확보할 수 있다.
Particularly, in addition to IrO 2 , PdO, PdO 2 , Pd 2 O 3 , RhO, RhO 2 , Rh 2 O 3 , Tc 2 O 7 , Nb 2 O 5 , OsO 2 , Os 2 O 3 , ReO 2 , ReO 3 , In the case of Re 2 O 5 , Re 2 O 7 , WO 2 , WO 3 , W 2 O 3 , VO 2 F, V 2 O 5 , MnSO 4 , CrN, TaBON and IrCl 2 , It can be confirmed that it is in conformity with the characteristics. Therefore, the reduced shadow effect and the improved uniformity of the threshold number can be secured as compared with the conventional TaN-based absorption layer.

<실험예 4><Experimental Example 4>

상기 표 1에서 제시된 물질들을 사용하여 흡수층을 형성하고 HVM 장비를 이용하여 선폭에 대한 명암비를 계산하였다.The absorber layer was formed using the materials shown in Table 1 and the contrast ratio of the linewidth was calculated using HVM equipment.

도 6 내지 8은 표 1에서 제시된 물질들로 이루어진 흡수층 및 TaN 흡수층에 대한 선폭별 명암비를 비교한 그래프이다.Figs. 6 to 8 are graphs comparing the contrast ratio of linewidths with respect to the absorption layer and the TaN absorption layer made of the materials shown in Table 1. Fig.

도 6 내지 8을 참조하면, 표 1에 제시된 물질들로 이루어진 흡수층들은 종래의 TaN 흡수층(70nm 두께)에 비해 얇은 두께로 형성되었음에도 불구하고, 명암비는 22nm 이하의 하프 피치를 갖는 패턴에서 TaN 흡수층과 비교하여 모두 향상된 값을 가짐을 확인할 수 있다.
6 to 8, although the absorber layers made of the materials shown in Table 1 were formed to be thinner than the conventional TaN absorber layer (70 nm thick), the contrast ratio was lower than that of the TaN absorber layer It can be confirmed that all the values are improved.

이상, 본 발명의 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러 가지 변형 및 변경이 가능하다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, Change is possible.

10: 기판 12: 반사층
14: 캡핑층 20: 위상반전층
30, 40: 흡수층
10: substrate 12: reflective layer
14: capping layer 20: phase inversion layer
30, 40: Absorbent layer

Claims (10)

기판;
상기 기판 상에 위치하고 극자외선을 반사하는 다층 박막으로 구성된 반사층; 및
상기 반사층 상에 위치하고 패턴화된 단일층 박막으로 구성된 흡수층을 포함하며,
상기 흡수층은 극자외선에 대하여 5 내지 10%의 반사도를 가지고, 상기 흡수층에서 반사되는 극자외선은 상기 반사층에서 반사되는 극자외선과 180±15°의 위상차를 가지고,
상기 흡수층은 IrO2 를 가지는 것을 특징으로 하는 극자외선 노광 공정용 위상 반전 마스크.
Board;
A reflective layer disposed on the substrate and composed of a multilayer thin film that reflects extreme ultraviolet rays; And
An absorber layer formed on the reflective layer and consisting of a patterned monolayer film,
Wherein the absorption layer has a reflectance of 5 to 10% with respect to the extreme ultraviolet ray, and the extreme ultraviolet ray reflected from the absorbing layer has a phase difference of 180 +/- 15 with the extreme ultraviolet ray reflected from the reflection layer,
Wherein the absorber layer has IrO 2. The phase inversion mask for extreme ultraviolet exposure process.
삭제delete 제1항에 있어서,
상기 흡수층은 40nm 이하의 두께(단, 두께는 0이 아님)를 갖는 극자외선 노광 공정용 위상 반전 마스크.
The method according to claim 1,
Wherein the absorber layer has a thickness of 40 nm or less (provided that the thickness is not 0).
제1항에 있어서,
상기 흡수층은 10nm 내지 30nm 범위의 두께를 갖는 극자외선 노광 공정용 위상 반전 마스크.
The method according to claim 1,
Wherein the absorber layer has a thickness in the range of 10 nm to 30 nm.
제1항에 있어서,
상기 흡수층의 패턴은 22nm 이하의 하프 피치를 갖는 극자외선 노광 공정용 위상 반전 마스크.
The method according to claim 1,
Wherein the pattern of the absorber layer has a half pitch of 22 nm or less.
제1항에 있어서,
상기 극자외선은 10nm 내지 20nm 대역에서 선택되는 파장을 갖는 극자외선 노광 공정용 위상 반전 마스크.
The method according to claim 1,
Wherein the extreme ultra-violet ray has a wavelength selected from the range of 10 nm to 20 nm.
제1항에 있어서,
상기 반사층과 상기 흡수층 사이에 위치하며, 상기 반사층의 표면을 덮도록 형성된 캡핑층을 더 포함하는 극자외선 노광 공정용 위상 반전 마스크.
The method according to claim 1,
Further comprising a capping layer disposed between the reflective layer and the absorber layer and covering the surface of the reflective layer.
13.5nm 파장의 광을 이용하는 노광 공정용 위상 반전 마스크에 있어서,
기판 상에 위치하고 상기 광을 반사하는 다층 박막으로 구성된 반사층;
상기 반사층 상에 위치하고 상기 반사층의 표면을 덮도록 형성된 캡핑층; 및
상기 캡핑층 상에 위치하고 패턴화된 단일층 박막으로 구성된 흡수층을 포함하며,
상기 흡수층은 40nm 이하의 두께로 형성되고, 상기 광에 대하여 5 내지 10%의 반사도를 가지며, 상기 흡수층에서 반사되는 광은 상기 반사층에서 반사되는 광과 180±15°의 위상차를 가지고,
상기 흡수층은 IrO2 를 가지는 것을 특징으로 하는 극자외선 노광 공정용 위상 반전 마스크.
In a phase shift mask for an exposure process using light having a wavelength of 13.5 nm,
A reflective layer disposed on the substrate and composed of a multilayer thin film that reflects the light;
A capping layer disposed on the reflective layer and covering the surface of the reflective layer; And
An absorber layer disposed on the capping layer and consisting of a patterned monolayer film,
Wherein the absorption layer has a thickness of 40 nm or less and has a reflectivity of 5 to 10% with respect to the light, and the light reflected from the absorption layer has a phase difference of 180 +/- 15 degrees with the light reflected from the reflection layer,
Wherein the absorber layer has IrO 2. The phase inversion mask for extreme ultraviolet exposure process.
삭제delete 제8항에 있어서,
상기 흡수층의 패턴은 22nm 이하의 하프 피치를 갖는 극자외선 노광 공정용 위상 반전 마스크.
9. The method of claim 8,
Wherein the pattern of the absorber layer has a half pitch of 22 nm or less.
KR1020120133967A 2012-11-23 2012-11-23 Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film KR101676514B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020120133967A KR101676514B1 (en) 2012-11-23 2012-11-23 Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120133967A KR101676514B1 (en) 2012-11-23 2012-11-23 Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film

Publications (2)

Publication Number Publication Date
KR20140066563A KR20140066563A (en) 2014-06-02
KR101676514B1 true KR101676514B1 (en) 2016-11-29

Family

ID=51123255

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120133967A KR101676514B1 (en) 2012-11-23 2012-11-23 Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film

Country Status (1)

Country Link
KR (1) KR101676514B1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180057813A (en) 2016-11-22 2018-05-31 삼성전자주식회사 Phase shift mask for extreme ultraviolet lithography
KR102320292B1 (en) * 2017-04-27 2021-11-03 한양대학교 산학협력단 Defect inspection method for phase shift mask and defect inspection apparatus for same
WO2023112767A1 (en) * 2021-12-13 2023-06-22 Agc株式会社 Reflective mask blank, reflective mask, method for producing reflective mask blank, and method for producing reflective mask
JP7272519B1 (en) * 2021-12-13 2023-05-12 Agc株式会社 Reflective mask blank, reflective mask, method for manufacturing reflective mask blank, and method for manufacturing reflective mask

Also Published As

Publication number Publication date
KR20140066563A (en) 2014-06-02

Similar Documents

Publication Publication Date Title
JP5194888B2 (en) REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF
JP6050408B2 (en) Reflective mask, reflective mask blank and manufacturing method thereof
KR100879139B1 (en) Phase shift mask and manufacturing method thereof
US8367279B2 (en) Reflective mask blank, reflective mask, and method of manufacturing the same
US7384715B2 (en) Forming an EUV mask with a phase-shifter layer and an intensity balancer layer
KR101676514B1 (en) Phase shift mask for extream ultra-violet lithography using single-layered absorber thin film
JP7059679B2 (en) Reflective photomask blank and reflective photomask
KR20210014100A (en) Mask blank, phase shift mask, and manufacturing method of semiconductor device
JP4483355B2 (en) Ultraviolet exposure mask blank, mask and transfer method
JP4529359B2 (en) Ultraviolet exposure mask, blank and pattern transfer method
KR101054746B1 (en) Phase inversion mask for extreme ultraviolet exposure process
KR20210048418A (en) Half-tone attenuated phase shift blankmask and photomask for EUV lithography
KR20220106767A (en) Reflective Photomask Blanks and Reflective Photomasks
KR20210156461A (en) A reticle in apparatus for EUV lithography
US8673521B2 (en) Blank substrates for extreme ultra violet photo masks and methods of fabricating an extreme ultra violet photo mask using the same
NL2024597A (en) A patterning device and method of use thereof
KR20070111203A (en) Reflective photo mask, method of fabricating the same and method of correcting a deviation of critical dimension of horizontal and vertical patterns on wafer in extreme ultraviolet lithography(euvl) process using the same
US11774846B2 (en) Phase shift masks for extreme ultraviolet lithography
KR20090095388A (en) Method for fabricating reflection type photomask
KR102660488B1 (en) Method for manufacturing mask blanks, phase shift masks, and semiconductor devices
KR20210155863A (en) Phase shift mask for extreme ultraviolet lithography and method of forming a semiconductor device using the same
KR102324266B1 (en) Reflective mask and fabrication method for the same
CN117480448A (en) Reflective photomask and method for manufacturing reflective photomask
KR20230173965A (en) Blankmask and Photomask for EUV lithography
US20040219437A1 (en) EUV reflection mask and lithographic process using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190905

Year of fee payment: 4