JP5194888B2 - REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF - Google Patents

REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF

Info

Publication number
JP5194888B2
JP5194888B2 JP2008053350A JP2008053350A JP5194888B2 JP 5194888 B2 JP5194888 B2 JP 5194888B2 JP 2008053350 A JP2008053350 A JP 2008053350A JP 2008053350 A JP2008053350 A JP 2008053350A JP 5194888 B2 JP5194888 B2 JP 5194888B2
Authority
JP
Japan
Prior art keywords
absorber layer
reflective
layer
film
reflective photomask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008053350A
Other languages
Japanese (ja)
Other versions
JP2009099931A (en
Inventor
浩一郎 金山
正 松尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Inc filed Critical Toppan Inc
Priority to JP2008053350A priority Critical patent/JP5194888B2/en
Publication of JP2009099931A publication Critical patent/JP2009099931A/en
Application granted granted Critical
Publication of JP5194888B2 publication Critical patent/JP5194888B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法並びに半導体素子の製造方法に関する。特に、軟X線領域の極端紫外光、すなわちEUV(Extreme Ultra Violet)光を使用するフォトリソグラフィ法において、半導体素子製造などに用いられる反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法並びに半導体素子の製造方法に関するものである。   The present invention relates to a reflective photomask blank and a manufacturing method thereof, a reflective photomask and a manufacturing method thereof, and a semiconductor device manufacturing method. In particular, in a photolithographic method using extreme ultraviolet light in the soft X-ray region, that is, EUV (Extreme Ultra Violet) light, a reflective photomask blank used for manufacturing a semiconductor element, a manufacturing method thereof, a reflective photomask and the same The present invention relates to a manufacturing method and a semiconductor device manufacturing method.

近年の半導体素子における高集積化に伴い、フォトリソグラフィ法によるSi基板上への必要なパターン転写の微細化が加速しており、従来のランプ光源(波長365nm)やエキシマレーザ光源(波長248nm、193nm)を用いたフォトリソグラフィ法における光源の短波長化は露光限界に近づいてきたことから、特に100nm以下の微細加工を可能にする新たなフォトリソグラフィ法の確立が急務となっている。   With the recent high integration in semiconductor devices, the required pattern transfer onto a Si substrate by photolithography has been miniaturized, and conventional lamp light sources (wavelength 365 nm) and excimer laser light sources (wavelengths 248 nm and 193 nm). Since the shortening of the wavelength of the light source in the photolithographic method using) has approached the exposure limit, it is urgently necessary to establish a new photolithographic method that enables microfabrication of 100 nm or less.

このため、現在ではより短波長域のエキシマレーザ光であるFレーザ光(波長157nm)によるフォトリソグラフィ法の開発も進められているが、通常露光波長の半波長のサイズが実質的な解像限界であることから、この場合にも70nm程度が限界とされている。そこで、Fレーザ光より1桁以上も短い波長を有するEUV光(波長13nm)を光源とするフォトリソグラフィ法の開発が望まれている。 For this reason, development of a photolithographic method using F 2 laser light (wavelength 157 nm), which is an excimer laser light in a shorter wavelength region, is currently under development, but the half-wave size of the normal exposure wavelength is substantially resolved. In this case, the limit is about 70 nm because it is a limit. Therefore, development of a photolithography method using EUV light (wavelength 13 nm) having a wavelength shorter by one digit or more than F 2 laser light as a light source is desired.

EUVリソグラフィ法では、反射光学系による露光が用いられるが、これはEUV光の波長領域における物質の屈折率が1よりわずかに小さい程度であり、従来の露光源で用いられるような屈折光学系が使用できないことによる。また、その際パターン転写に用いられるフォトマスクであるが、EUV光の波長域ではほとんどの物質が高い光吸収性を持つため、既存の透過型マスクではなく、反射型マスクが用いられることも従来の露光技術と顕著に異なる点である。   In the EUV lithography method, exposure by a reflective optical system is used. This is because the refractive index of a substance in the wavelength region of EUV light is slightly smaller than 1, and a refractive optical system as used in a conventional exposure source is used. Because it cannot be used. In addition, although it is a photomask used for pattern transfer at that time, since most substances have high light absorption in the wavelength region of EUV light, a reflection type mask is also used instead of an existing transmission type mask. This is a significant difference from the exposure technique described above.

このEUV露光用の反射型フォトマスクは、平坦なSi基板もしくは合成石英基板上にEUV波長域における反射率の大きなミラー(反射鏡)を設け、更にその上にEUV光に対して特に吸収性の高い重金属からなる吸収体のパターンを形成したものである。EUV光に対するミラー(反射鏡)は、屈折率の大きく異なる材料の組み合わせによる多層膜から構成され、MoとSi又はMoとBeといった組み合わせの層を40周期程度繰り返し積層することにより形成され、多層反射膜表面が吸収体パターンにより覆われた吸収領域と、吸収体のない多層反射膜表面が露出した反射領域とのEUV露光反射率のコントラストにより吸収体のパターン転写を行う。   This reflective photomask for EUV exposure is provided with a mirror (reflecting mirror) having a high reflectance in the EUV wavelength region on a flat Si substrate or a synthetic quartz substrate, and further has a particularly absorbing property for EUV light. An absorber pattern made of a high heavy metal is formed. A mirror (reflecting mirror) for EUV light is composed of a multilayer film made of a combination of materials having greatly different refractive indexes, and is formed by repeatedly laminating a combination of Mo and Si or Mo and Be for about 40 cycles, and thus a multilayer reflection. The pattern transfer of the absorber is performed by the contrast of the EUV exposure reflectance between the absorption region where the film surface is covered with the absorber pattern and the reflection region where the multilayer reflection film surface without the absorber is exposed.

この際、転写マスクにおける多層反射膜を含めた部分の膜厚をより低減し、薄膜化を図った方がいわゆる射影効果を低減できることから、転写性能(解像性能など)を高める上で有利となる。ここで、多層反射膜自体は、MoとSiの層からなる周期性によって決まるEUV光に対する反射率の制約からほぼ決まるため、膜厚の低減には多層反射膜より上部に形成される層の部分にて対応する必要がある。   At this time, the film thickness of the transfer mask including the multilayer reflective film is further reduced, and it is advantageous to increase the transfer performance (resolution performance, etc.) because the so-called projection effect can be reduced. Become. Here, the multilayer reflective film itself is almost determined by the restriction of the reflectivity with respect to EUV light determined by the periodicity composed of the Mo and Si layers, and therefore the portion of the layer formed above the multilayer reflective film is used to reduce the film thickness. It is necessary to deal with.

この点で、多層反射膜より上部に形成される層のうち、まず、キャッピング層やバッファ層においてその必要性も含めた、膜厚低減の検討が考えられるが、これらの層の膜厚は、もともと非常に薄いものであると同時に、マスクの最表面に位置するものでも無いことから、射影効果の低減に対する対策として、その効果は皆無であるとはいえないまでも、直接的対策が必要であるともいえない。   In this regard, among the layers formed above the multilayer reflective film, first, it may be considered to reduce the film thickness including the necessity for the capping layer and the buffer layer. Since it is originally very thin and is not located on the outermost surface of the mask, direct countermeasures are necessary to reduce the projection effect, even if the effect is not completely eliminated. I can't say there is.

これに対し、マスク最表面に位置する吸収体層の膜厚低減を図ることは、射影効果の影響を改善する上でより直接的にその効果をもたらすものであって、転写性の向上に有効である。これは転写においてEUV光をマスクに対して露光する際、反射型マスクであることから、通常の透過型マスクのように基板側から光を入射させるのではなく、膜面側から入射させることによる。また、吸収体層はマスクの構造上、多層反射膜に次いで膜厚が厚い層であるため、この層の膜厚低減を図る有益性は大きい。
特開2001−237174号公報
On the other hand, reducing the thickness of the absorber layer located on the outermost surface of the mask brings about the effect more directly in improving the influence of the projection effect, and is effective in improving transferability. It is. This is because when the EUV light is exposed to the mask in the transfer, it is a reflective mask, so that light is not incident from the substrate side as in a normal transmission mask, but is incident from the film surface side. . Further, since the absorber layer is a layer having the second largest thickness after the multilayer reflective film due to the structure of the mask, the benefit of reducing the thickness of this layer is great.
JP 2001-237174 A

本発明は、吸収体層の膜厚を低減した反射型フォトマスクを用いて転写を行うことにより、射影効果の影響が緩和され解像性などの転写性能が向上した反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法並びに半導体素子の製造方法を提供することである。   The present invention provides a reflective photomask blank in which transfer effect such as resolution is improved and transfer performance such as resolution is improved by performing transfer using a reflective photomask having a reduced absorber layer thickness. A manufacturing method, a reflective photomask, a manufacturing method thereof, and a manufacturing method of a semiconductor device are provided.

本発明の請求項1に係る発明は、基板と、前記基板上に形成された多層反射膜と、前記多層反射膜上に形成されたバッファ層と、前記バッファ層上に形成された吸収体層と、を備え、前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜であり、前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金とすることを特徴とする反射型フォトマスクブランクとしたものである。 The invention according to claim 1 of the present invention includes a substrate and a multilayer reflective film formed on the substrate, wherein the multilayer reflective film buffer layer formed on the absorber layer formed on the buffer layer When, wherein the absorber layer, Ri thin der having a compound material containing tin (Sn) and oxygen (O) tantalum (Ta), the compound material, the tin (Sn) and the oxygen ( O) and when set to 1 ratio containing, it is obtained by a reflection type photomask blank according to claim to Rukoto an alloy containing 0.05 to 0.15 of the tantalum (Ta).

本発明の請求項に係る発明は、吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項1記載の反射型フォトマスクブランクとしたものである。 The invention according to claim 2 of the present invention is the reflective photomask blank according to claim 1 , wherein the thickness of the absorber layer is not less than 35 nm and not more than 45 nm.

本発明の請求項に係る発明は、基板、多層反射膜、バッファ層、吸収体層を順次形成し、前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜であり、前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金とすることを特徴とする反射型フォトマスクブランクの製造方法としたものである。 The invention according to claim 3 of the present invention includes a substrate, a multilayer reflective film, a buffer layer, an absorber layer are successively formed, the absorber layer has a tin (Sn) and oxygen (O) tantalum (Ta) thin der with compound material is, the compound material, when set to 1 ratio including said tin (Sn) and the oxygen (O), in including 0.05 to 0.15 the tantalum (Ta) it is obtained by the manufacturing method of the reflection type photomask blank according to claim to Rukoto and alloys.

本発明の請求項に係る発明は、吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項に記載の反射型フォトマスクブランクの製造方法としたものである。 The invention according to claim 4 of the present invention is the method for producing a reflective photomask blank according to claim 3 , wherein the thickness of the absorber layer is from 35 nm to 45 nm.

本発明の請求項に係る発明は、吸収体層は、スパッタリング法を用いて成膜されることを特徴とする請求項に記載の反射型フォトマスクブランクの製造方法としたものである。 The invention according to claim 5 of the present invention is the method for producing a reflective photomask blank according to claim 4 , wherein the absorber layer is formed by sputtering.

本発明の請求項に係る発明は、請求項1又は2に記載の反射型フォトマスクブランクにおける吸収体層及びバッファ層にパターンが形成されたことを特徴とする反射型フォトマスクとしたものである。 The invention according to claim 6 of the present invention is a reflective photomask characterized in that a pattern is formed on the absorber layer and the buffer layer in the reflective photomask blank according to claim 1 or 2. is there.

本発明の請求項に係る発明は、基板、多層反射膜、バッファ層、吸収体層を順次形成し、前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜を形成し、前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金であり、前記吸収体層上にレジストパターンを形成し、前記吸収体層及び前記バッファ層にパターンを形成し、前記レジストパターンを剥離することを特徴とする反射型フォトマスクの製造方法としたものである。 The invention according to claim 7 of the present invention includes a substrate, a multilayer reflective film, a buffer layer, an absorber layer are successively formed, the absorber layer has a tin (Sn) and oxygen (O) tantalum (Ta) A thin film including a compound material is formed, and the compound material includes 0.05 to 0.15 of the tantalum (Ta) when the ratio including the tin (Sn) and the oxygen (O) is 1. an alloy, wherein a resist pattern is formed on the absorber layer, wherein forming a pattern in the absorber layer and the buffer layer, and a method of manufacturing a reflective photomask and then exfoliating the resist pattern Is.

本発明の請求項に係る発明は、吸収体層は、ドライエッチングを用いてパターニングされることを特徴とする請求項に記載の反射型フォトマスクの製造方法としたものである。 The invention according to claim 8 of the present invention is the method of manufacturing a reflective photomask according to claim 7 , wherein the absorber layer is patterned by dry etching.

本発明の請求項に係る発明は、吸収体層は、スパッタリング法を用いて成膜されることを特徴とする請求項7又は8のいずれかに記載の反射型フォトマスクの製造方法としたものである。 The invention according to claim 9 of the present invention is the reflective photomask manufacturing method according to claim 7 , wherein the absorber layer is formed by a sputtering method. Is.

本発明の請求項10に係る発明は、吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項7乃至9のいずれか1項に記載の反射型フォトマスクの製造方法としたものである。 Invention, the thickness of the absorber layer, the manufacturing method of the reflection type photomask according to any one of claims 7 to 9, characterized in that at 35nm or more 45nm or less according to Claim 10 of the present invention It is what.

本発明の請求項11に係る発明は、請求項に記載の反射型フォトマスクに極端紫外光を照射し、反射型フォトマスクの多層反射膜に反射した反射光を半導体基板上に設けられた極端紫外光用レジスト層に露光し、極端紫外光用レジスト層に反射型フォトマスクの吸収体層のパターンを転写することを特徴とする半導体素子の製造方法としたものである。 According to an eleventh aspect of the present invention, the reflective photomask according to the sixth aspect is irradiated with extreme ultraviolet light, and the reflected light reflected by the multilayer reflective film of the reflective photomask is provided on the semiconductor substrate. The semiconductor device manufacturing method is characterized by exposing the resist layer for extreme ultraviolet light and transferring the pattern of the absorber layer of the reflective photomask to the resist layer for extreme ultraviolet light.

本発明によれば、吸収体層の膜厚を低減した反射型フォトマスクを用いて転写を行うことにより、射影効果の影響が緩和され解像性などの転写性能が向上した反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法並びに半導体素子の製造方法を提供することができる。さらに、本発明によれば、吸収体層のドライエッチングによるパターニングを行う際に、安定したレジスト選択比の得られる材料を用いることで、パターンの垂直性を向上した反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法並びに半導体素子の製造方法を提供することができる。   According to the present invention, by performing transfer using a reflective photomask having a reduced thickness of the absorber layer, the reflective photomask blank in which the influence of the projection effect is alleviated and transfer performance such as resolution is improved. And a manufacturing method thereof, a reflective photomask, a manufacturing method thereof, and a manufacturing method of a semiconductor element can be provided. Furthermore, according to the present invention, a reflective photomask blank having improved pattern perpendicularity by using a material capable of obtaining a stable resist selectivity when patterning the absorber layer by dry etching, and its manufacture A method, a reflective photomask, a manufacturing method thereof, and a manufacturing method of a semiconductor device can be provided.

以下、本発明の実施の形態を、図面を参照しつつ、説明する。実施の形態において、同一構成要素には同一符号を付け、実施の形態の間において重複する説明は省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In the embodiments, the same components are denoted by the same reference numerals, and redundant description among the embodiments is omitted.

図1に示すように、本発明の実施の形態に係る反射型フォトマスクブランク10は、基板1上に多層反射膜2、多層反射膜2上にバッファ層3及びバッファ層3上に吸収体層4を順次積層した構造をなしている。この場合、吸収体層4は、検査波長における低反射特性が必要な場合は最表面にDUV検査用の低反射層を設けた2層膜の構成とすることもできる。   As shown in FIG. 1, a reflective photomask blank 10 according to an embodiment of the present invention includes a multilayer reflective film 2 on a substrate 1, a buffer layer 3 on the multilayer reflective film 2, and an absorber layer on the buffer layer 3. 4 is sequentially laminated. In this case, the absorber layer 4 can also be configured as a two-layer film in which a low reflection layer for DUV inspection is provided on the outermost surface when low reflection characteristics at the inspection wavelength are required.

本発明の実施の形態に係る基板1には、平坦なSi基板や合成石英基板等を用いることができるが本発明ではこれらに限定されるわけではない。   As the substrate 1 according to the embodiment of the present invention, a flat Si substrate, a synthetic quartz substrate, or the like can be used, but the present invention is not limited to these.

本発明の実施の形態に係る多層反射膜2は、露光光であるEUV光(極端紫外光)を反射するもので、EUV光に対する屈折率の大きく異なる材料の組み合わせによる多層膜から構成されている。例えば、多層反射膜2としては、Mo(モリブデン)とSi(シリコン)、またはMo(モリブデン)とBe(ベリリウム)といった組み合わせの層を40周期程度繰り返し積層することにより形成することができる。   The multilayer reflective film 2 according to the embodiment of the present invention reflects EUV light (extreme ultraviolet light) that is exposure light, and is composed of a multilayer film made of a combination of materials having significantly different refractive indexes with respect to EUV light. . For example, the multilayer reflective film 2 can be formed by repeatedly laminating a combination of Mo (molybdenum) and Si (silicon) or Mo (molybdenum) and Be (beryllium) for about 40 cycles.

本発明の実施の形態に係るバッファ層3は、吸収体層4の形成の際に行われるドライエッチングに対して耐性を有する材質で形成されて、吸収体層パターン4aをエッチングする際に、多層反射膜2へのダメージを防ぐエッチングストッパーとして機能するもので、CrN及びRu等で形成することができるが本発明ではこれらに限定されるわけではない。   The buffer layer 3 according to the embodiment of the present invention is formed of a material having resistance to dry etching performed when the absorber layer 4 is formed, and a multilayer is formed when the absorber layer pattern 4a is etched. It functions as an etching stopper that prevents damage to the reflective film 2 and can be formed of CrN, Ru, or the like, but is not limited to these in the present invention.

図示していないが多層反射膜2とバッファ層3との間に保護膜を設けることができる。保護膜は、多層反射膜2表面の酸化による反射率低下を防止することができる。図示していないが、基板1上の多層反射膜2を形成していない面に裏面導電膜を形成することができる。裏面導電膜は、反射型フォトマスク20を露光機に設置するときに静電チャックの原理を利用して固定するための膜である。   Although not shown, a protective film can be provided between the multilayer reflective film 2 and the buffer layer 3. The protective film can prevent a decrease in reflectance due to oxidation of the surface of the multilayer reflective film 2. Although not shown, a back conductive film can be formed on the surface of the substrate 1 where the multilayer reflective film 2 is not formed. The back conductive film is a film for fixing the reflective photomask 20 using the principle of an electrostatic chuck when the reflective photomask 20 is installed in an exposure machine.

本発明の実施の形態に係る吸収体層4は、ドライエッチングされて所定の露光転写パターンに形成された際に、照射されたEUV光を吸収するものである。すなわち、EUV光に対する高吸収性を有する材料(後述する)から選択することができる。   The absorber layer 4 according to the embodiment of the present invention absorbs irradiated EUV light when it is dry etched and formed into a predetermined exposure transfer pattern. That is, it can be selected from materials having high absorbability with respect to EUV light (described later).

更に、本発明の目的である吸収体層4の膜厚低減であるが、EUV光に対する所定のコントラスト特性を留保しつつ膜厚を低減することが求められる。すなわち多層反射膜2のEUV光に対する反射率は、最大となるように設計されるので、逆に吸収体層4についてはEUV光に対してより吸収性の高い膜を採用することが高コントラスト化の為に求められるが、これを吸収体層4の薄膜化と同時に達成するためには既存の吸収体層4の材料よりも一層EUV光に対して高吸収となる材料が必要である。   Further, although the thickness of the absorber layer 4 is an object of the present invention, it is required to reduce the thickness while retaining a predetermined contrast characteristic with respect to EUV light. That is, since the reflectance of the multilayer reflective film 2 with respect to EUV light is designed to be maximum, conversely, for the absorber layer 4, it is possible to increase the contrast by adopting a film having higher absorbability with respect to EUV light. However, in order to achieve this simultaneously with the thinning of the absorber layer 4, a material that has higher absorption with respect to EUV light than the material of the existing absorber layer 4 is required.

図3は、EUV光の波長における光学定数を示すものであり、横軸に1−δ(屈折率)、縦軸にβ(消衰係数)を示している。本発明の反射型フォトマスクブランク10は、吸収体層4用の薄膜としてSn、消衰係数が0.073をベースとした材料を用いることができる。しかし、SnOの消衰係数は、従来の吸収体層4のベース材料であるTaの消衰係数0.041と比較するとTaの消衰係数の約1.8倍大きくなっている。   FIG. 3 shows optical constants at the wavelength of EUV light, with 1-δ (refractive index) on the horizontal axis and β (extinction coefficient) on the vertical axis. In the reflective photomask blank 10 of the present invention, a material based on Sn and an extinction coefficient of 0.073 can be used as a thin film for the absorber layer 4. However, the extinction coefficient of SnO is about 1.8 times larger than the extinction coefficient of Ta as compared with 0.041 of Ta which is the base material of the conventional absorber layer 4.

更に、図4は多層反射膜2上にSiからなる膜厚11nmであるキャッピング層(保護膜)を形成した反射型フォトマスクを想定し、さらにこの上に吸収体層4(Ta及びSnO)を形成した場合におけるEUVコントラスト(以下、「OD」という。(Optical Density))について求めたシミュレーションの結果である。   Further, FIG. 4 assumes a reflective photomask in which a capping layer (protective film) made of Si and having a thickness of 11 nm is formed on the multilayer reflective film 2, and further an absorber layer 4 (Ta and SnO) is formed thereon. It is the result of the simulation calculated | required about EUV contrast (henceforth "OD". (Optical Density)) in the case of forming.

図4から、吸収体層4が80nm以上の膜厚となる領域ではSnOとTa間でのODにおける差は小さくなるものの、それより薄膜化した領域では明らかにSnOの方がODを確保するという点において有利であることがわかる。また、EUV露光転写においては少なくとも2程度のODが要求されるが、この要求が安定的に担保される為には、Taで少なくとも82nm程度の膜厚が必要であるのに対し、SnOではその半分弱の40nm程度の膜厚にて同程度のEUV光コントラスト特性が得られることがわかる。   From FIG. 4, although the difference in OD between SnO and Ta is smaller in the region where the absorber layer 4 has a thickness of 80 nm or more, SnO clearly secures OD in the thinner region. It can be seen that this is advantageous. Further, in EUV exposure transfer, an OD of at least about 2 is required, but in order to ensure this requirement stably, a film thickness of at least about 82 nm is required for Ta, whereas for SnO, It can be seen that the same EUV light contrast characteristics can be obtained with a film thickness of about 40 nm, which is a little less than half.

吸収体層4の膜厚は、35nm以上45nm以下が好ましい。吸収体層4の膜厚が35nm未満だと、EUV光コントラスト特性が得られない。45nmより大きくなると、解像性等の転写性能が低下してしまう。   The film thickness of the absorber layer 4 is preferably 35 nm or more and 45 nm or less. If the thickness of the absorber layer 4 is less than 35 nm, EUV light contrast characteristics cannot be obtained. When it is larger than 45 nm, transfer performance such as resolution is deteriorated.

このように、SnOを吸収膜に採用すれば、従来の主流であるTa系材料にて吸収体層4を形成する場合に比べ、所望の特性を留保しつつ、膜厚を半分弱まで低減することができ、射影効果低減の面で解像性等の転写性能が向上する。   As described above, when SnO is used for the absorption film, the film thickness is reduced to a little less than half while retaining the desired characteristics as compared with the case where the absorber layer 4 is formed of a conventional Ta-based material. Therefore, transfer performance such as resolution is improved in terms of reducing the projection effect.

また、錫(Sn)、及び酸素(O)の他、タンタル(Ta)を含む化合物材料を有する薄膜で吸収体層4を形成した場合において、錫(Sn)と酸素(O)とを含む比率を1としたとき、タンタル(Ta)が0.05〜0.15を含む合金としたものは、消衰係数が0.065〜0.073程度であり、消衰係数の著しい低下は認められず、前述の射影効果低減の点において得られる効果にほぼ影響はない。錫(Sn)と酸素(O)からなる比率を1としたとき、タンタル(Ta)が0.15より大きくした場合では、射影効果の影響で転写性能が低下してしまう。   Further, when the absorber layer 4 is formed of a thin film having a compound material containing tantalum (Ta) in addition to tin (Sn) and oxygen (O), the ratio containing tin (Sn) and oxygen (O) In the case of an alloy containing tantalum (Ta) of 0.05 to 0.15, the extinction coefficient is about 0.065 to 0.073, and a significant decrease in the extinction coefficient is recognized. There is almost no effect on the effect obtained in terms of reduction of the projection effect described above. When the ratio of tin (Sn) and oxygen (O) is 1, when the tantalum (Ta) is greater than 0.15, the transfer performance is degraded due to the projection effect.

一方、錫(Sn)と酸素(O)とを含む比率を1としたとき、タンタル(Ta)が0.05〜0.15を含む合金とした吸収体層4のドライエッチングにおけるレジスト選択比は、1.2であり、Taの比率を0.05より小さくした場合に比べ、1.3倍程度上昇する。錫(Sn)と酸素(O)とを含む比率を1としたとき、タンタル(Ta)が0.05〜0.15を含む合金とする吸収体層4を用いてドライエッチングによるパターニングを行う際に、安定したレジスト選択比が得られ、パターンの垂直性を向上できる。   On the other hand, when the ratio containing tin (Sn) and oxygen (O) is 1, the resist selectivity in dry etching of the absorber layer 4 made of an alloy containing tantalum (Ta) of 0.05 to 0.15 is 1.2, which is about 1.3 times higher than when the Ta ratio is less than 0.05. When patterning by dry etching is performed using the absorber layer 4 made of an alloy containing 0.05 to 0.15 of tantalum (Ta), where the ratio containing tin (Sn) and oxygen (O) is 1. In addition, a stable resist selectivity can be obtained, and the perpendicularity of the pattern can be improved.

本発明による反射型フォトマスク20を用いた半導体素子の製造方法は、反射型フォトマスク20を介して反射した極端紫外光を選択的に照射する。   In the method of manufacturing a semiconductor device using the reflective photomask 20 according to the present invention, the extreme ultraviolet light reflected through the reflective photomask 20 is selectively irradiated.

次に、反射型フォトマスク20の多層反射膜2に反射した反射光を半導体基板上に設けられた極端紫外光用レジスト層に露光し、パターンを形成させたのち、極端紫外光用レジスト層に反射型フォトマスク20の吸収体層4のパターンを半導体基板に転写することでパターニングすることができる。   Next, the reflected light reflected by the multilayer reflective film 2 of the reflective photomask 20 is exposed to an extreme ultraviolet light resist layer provided on the semiconductor substrate to form a pattern, and then the extreme ultraviolet light resist layer is formed. Patterning can be performed by transferring the pattern of the absorber layer 4 of the reflective photomask 20 to a semiconductor substrate.

以下、実施例により本発明の反射型フォトマスクブランク10及び反射型フォトマスク20を説明する。   Hereinafter, the reflective photomask blank 10 and the reflective photomask 20 of the present invention will be described by way of examples.

まず、図5(a)に示すように基板1上に反射多層膜2、反射多層膜2上にバッファ層3、バッファ層3上に吸収体層4を順次形成し、本発明の反射型フォトマスクブランク10を作製した。   First, as shown in FIG. 5A, a reflective multilayer film 2 is formed on a substrate 1, a buffer layer 3 is formed on the reflective multilayer film 2, and an absorber layer 4 is formed on the buffer layer 3 in order. A mask blank 10 was produced.

基板1としては、表面を研磨して平坦な面とした外形6インチ角、厚さ0.635cmの合成石英を用いた。なお、石英基板1には、裏面に静電チャック用のCrN(窒化クロム)薄膜を具備したものを用いてもよい。この基板1の上にDCマグネトロンスパッタによりMoとSiとを交互に40周期程度積層して波長13nm〜14nm領域のEUV光に対して反射率が最大となるような反射多層膜2を作製した。このときのMoとSiとからなる1周期の膜厚は7nmであり、そのうちMoの膜厚は2.8nm、Siは4.2nmであり、反射多層膜2の最上層がSiになるように最後にSiを7nm成膜した。   As the substrate 1, synthetic quartz having an outer shape of 6 inches square and a thickness of 0.635 cm was used which was polished to have a flat surface. The quartz substrate 1 may be one having a CrN (chromium nitride) thin film for electrostatic chuck on the back surface. On the substrate 1, Mo and Si were alternately laminated by about 40 periods by DC magnetron sputtering to produce a reflective multilayer film 2 having a maximum reflectance with respect to EUV light in the wavelength region of 13 nm to 14 nm. At this time, the film thickness of one cycle composed of Mo and Si is 7 nm, of which the film thickness of Mo is 2.8 nm and Si is 4.2 nm, so that the uppermost layer of the reflective multilayer film 2 is Si. Finally, 7 nm of Si was deposited.

次に、この反射多層膜2の上にRuからなるバッファ層3を膜厚40nmで成膜し、さらにその上に続けてSnO膜の成膜を行い、膜厚40nmの吸収体層を作製した。SnO膜の成膜は、SnターゲットをAr/O雰囲気下で、ガス圧0.25PaにてSnターゲットに300WのDCパワーを印加して行った。このとき、成膜後における下層吸収体層4の表面粗さは0.21nmrmsであり、良好な表面平滑性を有していた。 Next, a buffer layer 3 made of Ru was formed on the reflective multilayer film 2 with a film thickness of 40 nm, and an SnO film was further formed thereon to produce an absorber layer with a film thickness of 40 nm. . The SnO film was formed by applying 300 W DC power to the Sn target at a gas pressure of 0.25 Pa in an Ar / O 2 atmosphere. At this time, the surface roughness of the lower absorber layer 4 after film formation was 0.21 nmrms, and the surface had good surface smoothness.

次に、図5(b)に示すようにポジ型電子線レジスト、富士フィルムアーチ製、商品名「FEP−171」を用い、EB(Electron Beam)描画、現像というリソグラフィの工程によりレジストパターン5aを形成した。   Next, as shown in FIG. 5B, using a positive electron beam resist, manufactured by Fuji Film Arch, product name “FEP-171”, a resist pattern 5a is formed by a lithography process such as EB (Electron Beam) drawing and development. Formed.

次に、図5(c)に示すように、レジストパターン5aをマスクにしてSnO膜をドライエッチングすることにより吸収体層パターン4aを形成した。このときのドライエッチングにはICP放電方式のドライエッチング装置を用いて、Clガス40sccmとHeガス65sccmとの混合ガスによるガス圧約666.61mPaの雰囲気にて、バイアスパワー40W及びソースパワー200Wにて行った。また、吸収体層4のエッチング過程におけるレジストに対する選択比は約0.95であった。 Next, as shown in FIG. 5C, the absorber layer pattern 4a was formed by dry etching the SnO film using the resist pattern 5a as a mask. For this dry etching, an ICP discharge type dry etching apparatus is used, and the bias power is 40 W and the source power is 200 W in an atmosphere having a gas pressure of about 666.61 mPa using a mixed gas of Cl 2 gas 40 sccm and He gas 65 sccm. went. Further, the selection ratio with respect to the resist in the etching process of the absorber layer 4 was about 0.95.

更に引き続き、図5(c)に示すように、吸収体層4直下のRuからなるバッファ層3のドライエッチングをCl/O混合ガス雰囲気にて行い、良好な側壁異方性を有するバッファ層3及び吸収体層4のパターンを得た。 Further, as shown in FIG. 5C, the buffer layer 3 made of Ru immediately below the absorber layer 4 is dry-etched in a Cl 2 / O 2 mixed gas atmosphere to obtain a buffer having good sidewall anisotropy. The pattern of the layer 3 and the absorber layer 4 was obtained.

最後に、図5(d)に示すように、レジストパターン5aを剥離して、本発明の反射型フォトマスク20を得た。   Finally, as shown in FIG. 5D, the resist pattern 5a was peeled off to obtain the reflective photomask 20 of the present invention.

尚、本発明の反射型フォトマスク20において、多層反射膜2及び吸収体層4の反射率に関して、EUV光を使用した測定により求めたODは、2.2であり、従来のTaベースの吸収体層を適用する場合と比べて、コントラスト特性を損なうことなく、膜厚の低減を図ることができた。   In the reflection type photomask 20 of the present invention, the reflectance of the multilayer reflective film 2 and the absorber layer 4 was determined by measurement using EUV light to be 2.2, which is a conventional Ta-based absorption. Compared with the case where the body layer is applied, the film thickness can be reduced without impairing the contrast characteristics.

以上詳細に説明したように、本発明の反射型フォトマスクブランク10及び反射型フォトマスク20では、吸収体層4に従来よりも一層高吸収であるSnをベースとした薄膜を採用することにより、従来の吸収体層と同一レベルのEUVコントラストを担保しつつ、膜厚の低減を図ることができ、射影効果低減の面で解像性等の転写性能が向上することができる。   As described above in detail, in the reflective photomask blank 10 and the reflective photomask 20 of the present invention, by adopting a thin film based on Sn, which has higher absorption than the conventional, in the absorber layer 4, The film thickness can be reduced while ensuring the same level of EUV contrast as that of the conventional absorber layer, and transfer performance such as resolution can be improved in terms of reducing the projection effect.

本発明の実施の形態に係る反射型フォトマスクブランクの構造断面図である。1 is a structural cross-sectional view of a reflective photomask blank according to an embodiment of the present invention. 本発明の実施の形態に係る反射型フォトマスクの構造断面図である。It is a structure sectional view of a reflection type photomask concerning an embodiment of the invention. EUV光に対する各種材料の屈折率及び消衰係数を示す図である。It is a figure which shows the refractive index and extinction coefficient of various materials with respect to EUV light. 本発明の実施の形態に係る反射型フォトマスクブランクにおいて、吸収体として採用するSnO膜とTa膜とにおける膜厚とODとの関係についてシミュレーションによって得られた結果を示す図である。It is a figure which shows the result obtained by simulation about the relationship between the film thickness and OD in the SnO film | membrane and Ta film | membrane employ | adopted as an absorber in the reflection type photomask blank which concerns on embodiment of this invention. (a)〜(d)は、本発明の実施の形態に係る反射型フォトマスクの製造工程を示す図である。(A)-(d) is a figure which shows the manufacturing process of the reflective photomask which concerns on embodiment of this invention.

符号の説明Explanation of symbols

1 基板
2 反射多層膜
3 バッファ層
4 吸収体層
3a バッファ層パターン
4a 吸収体層パターン
5a レジストパターン
10 反射型フォトマスクブランク
20 反射型フォトマスク
DESCRIPTION OF SYMBOLS 1 Substrate 2 Reflective multilayer film 3 Buffer layer 4 Absorber layer 3a Buffer layer pattern 4a Absorber layer pattern 5a Resist pattern 10 Reflective photomask blank 20 Reflective photomask

Claims (11)

基板と、
前記基板上に形成された多層反射膜と、
前記多層反射膜上に形成されたバッファ層と、
前記バッファ層上に形成された吸収体層と、を備え、
前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜であり、
前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金とすることを特徴とする反射型フォトマスクブランク。
A substrate,
A multilayer reflective film formed on the substrate;
A buffer layer formed on the multilayer reflective film;
An absorber layer formed on the buffer layer,
The absorber layer, Ri thin der having a compound material containing tin (Sn) and oxygen (O) tantalum (Ta),
The compound material, when set to 1 ratio including said tin (Sn) and the oxygen (O), in the tantalum (Ta), characterized in to Rukoto an alloy containing 0.05 to 0.15 Reflective photomask blank.
前記吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項1記載の反射型フォトマスクブランク。 2. The reflective photomask blank according to claim 1 , wherein the absorber layer has a thickness of 35 nm to 45 nm. 基板、多層反射膜、バッファ層、吸収体層を順次形成し、
前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜であり、
前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金とすることを特徴とする反射型フォトマスクブランクの製造方法。
A substrate, a multilayer reflective film, a buffer layer, and an absorber layer are sequentially formed,
The absorber layer, Ri thin der having a compound material containing tin (Sn) and oxygen (O) tantalum (Ta),
The compound material, when set to 1 ratio including said tin (Sn) and the oxygen (O), in the tantalum (Ta), characterized in to Rukoto an alloy containing 0.05 to 0.15 A method for producing a reflective photomask blank.
前記吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項に記載の反射型フォトマスクブランクの製造方法。 4. The method of manufacturing a reflective photomask blank according to claim 3 , wherein the absorber layer has a thickness of 35 nm to 45 nm. 前記吸収体層は、スパッタリング法を用いて成膜されることを特徴とする請求項に記載の反射型フォトマスクブランクの製造方法。 The said absorber layer is formed into a film using sputtering method, The manufacturing method of the reflection type photomask blank of Claim 4 characterized by the above-mentioned. 請求項1又は2に記載の反射型フォトマスクブランクにおける吸収体層及びバッファ層にパターンが形成されたことを特徴とする反射型フォトマスク。 Reflective photomask, wherein a pattern formed on the absorber layer and the buffer layer in the reflection type photomask blank according to claim 1 or 2. 基板、多層反射膜、バッファ層、吸収体層を順次形成し、
前記吸収体層は、錫(Sn)及び酸素(O)とタンタル(Ta)を含む化合物材料を有する薄膜を形成し、
前記化合物材料は、前記錫(Sn)と前記酸素(O)とを含む比率を1としたとき、前記タンタル(Ta)を0.05〜0.15を含む合金であり、
前記吸収体層上にレジストパターンを形成し、
前記吸収体層及び前記バッファ層にパターンを形成し、
前記レジストパターンを剥離することを特徴とする反射型フォトマスクの製造方法。
A substrate, a multilayer reflective film, a buffer layer, and an absorber layer are sequentially formed,
The absorber layer forms a thin film having a compound material containing tin (Sn), oxygen (O) and tantalum (Ta) ,
The compound material is an alloy containing 0.05 to 0.15 of the tantalum (Ta) when the ratio containing the tin (Sn) and the oxygen (O) is 1.
Forming a resist pattern on the absorber layer;
Forming a pattern on the absorber layer and the buffer layer;
A method for producing a reflective photomask, comprising peeling off the resist pattern.
前記吸収体層は、ドライエッチングを用いてパターニングされることを特徴とする請求項に記載の反射型フォトマスクの製造方法。 The method of manufacturing a reflective photomask according to claim 7 , wherein the absorber layer is patterned using dry etching. 前記吸収体層は、スパッタリング法を用いて成膜されることを特徴とする請求項7又は8に記載の反射型フォトマスクの製造方法。 The method of manufacturing a reflective photomask according to claim 7 or 8 , wherein the absorber layer is formed by a sputtering method. 前記吸収体層の膜厚は、35nm以上45nm以下であることを特徴とする請求項7乃至9のいずれか1項に記載の反射型フォトマスクの製造方法。 The thickness of the absorber layer, the manufacturing method of the reflection type photomask according to any one of claims 7 to 9, characterized in that at 35nm or more 45nm or less. 請求項に記載の反射型フォトマスクに極端紫外光を照射し、
前記反射型フォトマスクの前記多層反射膜に反射した反射光を半導体基板上に設けられた極端紫外光用レジスト層に露光し、
前記極端紫外光用レジスト層に前記反射型フォトマスクの前記吸収体層のパターンを転写することを特徴とする半導体素子の製造方法。
The reflective photomask according to claim 6 is irradiated with extreme ultraviolet light,
Exposing the reflected light reflected on the multilayer reflective film of the reflective photomask to a resist layer for extreme ultraviolet light provided on a semiconductor substrate,
A method of manufacturing a semiconductor device, comprising transferring a pattern of the absorber layer of the reflective photomask to the resist layer for extreme ultraviolet light.
JP2008053350A 2007-09-27 2008-03-04 REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF Active JP5194888B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008053350A JP5194888B2 (en) 2007-09-27 2008-03-04 REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007250879 2007-09-27
JP2007250879 2007-09-27
JP2008053350A JP5194888B2 (en) 2007-09-27 2008-03-04 REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF

Publications (2)

Publication Number Publication Date
JP2009099931A JP2009099931A (en) 2009-05-07
JP5194888B2 true JP5194888B2 (en) 2013-05-08

Family

ID=40702606

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008053350A Active JP5194888B2 (en) 2007-09-27 2008-03-04 REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF

Country Status (1)

Country Link
JP (1) JP5194888B2 (en)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4602430B2 (en) * 2008-03-03 2010-12-22 株式会社東芝 Reflective mask and manufacturing method thereof
JP5332741B2 (en) * 2008-09-25 2013-11-06 凸版印刷株式会社 Reflective photomask
US8962220B2 (en) 2009-04-02 2015-02-24 Toppan Printing Co., Ltd. Reflective photomask and reflective photomask blank
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
TWI821984B (en) 2016-07-27 2023-11-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with alloy absorber and method of manufacturing extreme ultraviolet mask blank
TWI774375B (en) 2016-07-27 2022-08-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
EP3650935A4 (en) * 2017-07-05 2020-08-05 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask
US11294270B2 (en) * 2017-07-05 2022-04-05 Toppan Printing Co., Ltd. Reflective photomask blank and reflective photomask
TW202026770A (en) 2018-10-26 2020-07-16 美商應用材料股份有限公司 Ta-cu alloy material for extreme ultraviolet mask absorber
TW202028495A (en) 2018-12-21 2020-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber and processes for manufacture
TWI828843B (en) 2019-01-31 2024-01-11 美商應用材料股份有限公司 Extreme ultraviolet (euv) mask blanks and methods of manufacturing the same
TW202035792A (en) 2019-01-31 2020-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI818151B (en) 2019-03-01 2023-10-11 美商應用材料股份有限公司 Physical vapor deposition chamber and method of operation thereof
TW202037742A (en) 2019-03-01 2020-10-16 美商應用材料股份有限公司 Physical vapor deposition system and processes
TW202043905A (en) 2019-03-01 2020-12-01 美商應用材料股份有限公司 Physical vapor deposition system and processes
JPWO2020184473A1 (en) 2019-03-13 2020-09-17
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202104666A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202104667A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202111420A (en) 2019-05-22 2021-03-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (en) 2020-01-27 2023-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202131087A (en) 2020-01-27 2021-08-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202129401A (en) 2020-01-27 2021-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202141165A (en) 2020-03-27 2021-11-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (en) 2020-07-13 2022-01-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
JP7117445B1 (en) 2021-12-15 2022-08-12 株式会社トッパンフォトマスク Reflective photomask blanks and reflective photomasks

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002299227A (en) * 2001-04-03 2002-10-11 Nikon Corp Reflection mask, manufacturing method thereof and aligner
JP3806702B2 (en) * 2002-04-11 2006-08-09 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR MANUFACTURING METHOD
JP4635610B2 (en) * 2005-01-07 2011-02-23 凸版印刷株式会社 Reflective photomask blank, reflective photomask, and reflective photomask manufacturing method
JP4926523B2 (en) * 2006-03-31 2012-05-09 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

Also Published As

Publication number Publication date
JP2009099931A (en) 2009-05-07

Similar Documents

Publication Publication Date Title
JP5194888B2 (en) REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF
US9864267B2 (en) Reflective mask blank, reflective mask, and method for manufacturing semiconductor device
JP6050408B2 (en) Reflective mask, reflective mask blank and manufacturing method thereof
JP4961395B2 (en) Mask blank, photomask, and photomask manufacturing method
JP5282507B2 (en) Halftone EUV mask, halftone EUV mask manufacturing method, halftone EUV mask blank, and pattern transfer method
KR101809424B1 (en) Mask blanks, phase shift mask, and method for manufacturing semiconductor device
US20060222961A1 (en) Leaky absorber for extreme ultraviolet mask
KR100906026B1 (en) Reflective photomask blank, reflective photomask, and method for manufacturing semiconductor device using same
JP5233321B2 (en) Extreme ultraviolet exposure mask blank, extreme ultraviolet exposure mask, extreme ultraviolet exposure mask manufacturing method, and pattern transfer method using extreme ultraviolet exposure mask
JP4946296B2 (en) Reflective photomask blank and manufacturing method thereof, reflective photomask, and semiconductor device manufacturing method
JP5292747B2 (en) Reflective photomask for extreme ultraviolet
WO2017010452A1 (en) Mask blank, phase shift mask, phase shift mask manufacturing method, and semiconductor device manufacturing method
JP5266988B2 (en) Halftone EUV mask, halftone EUV mask blank, halftone EUV mask manufacturing method and pattern transfer method
JP7106492B2 (en) MASK BLANK, PHASE SHIFT MASK, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP5476679B2 (en) Halftone EUV mask and method of manufacturing halftone EUV mask
JP6441012B2 (en) REFLECTIVE MASK BLANK, REFLECTIVE MASK, MANUFACTURING METHOD THEREOF, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
JP4998082B2 (en) Reflective photomask blank and manufacturing method thereof, reflective photomask, and semiconductor device manufacturing method
JP5194547B2 (en) Extreme UV exposure mask and mask blank
JP4622504B2 (en) Mask blank for extreme ultraviolet exposure, mask and pattern transfer method
JP5018212B2 (en) Reflective photomask blank, reflective photomask, and semiconductor device manufacturing method
JP4529359B2 (en) Ultraviolet exposure mask, blank and pattern transfer method
JP6896694B2 (en) Mask blank, phase shift mask, phase shift mask manufacturing method and semiconductor device manufacturing method
JP2022098729A5 (en)
JP4300930B2 (en) Ultraviolet exposure mask, blank and pattern transfer method
JP4605284B2 (en) Extreme ultraviolet exposure mask, extreme ultraviolet exposure mask blank, and pattern transfer method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130121

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160215

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5194888

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250