KR101426011B1 - System for treatmenting substrate - Google Patents

System for treatmenting substrate Download PDF

Info

Publication number
KR101426011B1
KR101426011B1 KR1020080004502A KR20080004502A KR101426011B1 KR 101426011 B1 KR101426011 B1 KR 101426011B1 KR 1020080004502 A KR1020080004502 A KR 1020080004502A KR 20080004502 A KR20080004502 A KR 20080004502A KR 101426011 B1 KR101426011 B1 KR 101426011B1
Authority
KR
South Korea
Prior art keywords
rear plate
gas distribution
plasma electrode
processing apparatus
substrate processing
Prior art date
Application number
KR1020080004502A
Other languages
Korean (ko)
Other versions
KR20090078595A (en
Inventor
박재수
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020080004502A priority Critical patent/KR101426011B1/en
Publication of KR20090078595A publication Critical patent/KR20090078595A/en
Application granted granted Critical
Publication of KR101426011B1 publication Critical patent/KR101426011B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 전극을 반응공간의 내부에 위치시키고, 플라즈마 전극과 반응공간을 전기적으로 절연시키는 기판처리장치에 관한 것으로, 외부와 절연되고 진공상태를 유지하는 반응공간을 제공하는 챔버; 상기 반응공간 내부에 설치되고 상기 챔버와 절연되는 플라즈마 전극; 상기 플라즈마 전극의 대향 전극으로 사용되며, 기판이 안치되는 기판 안치대;를 포함하는 것을 특징으로 한다.The present invention relates to a substrate processing apparatus for placing a plasma electrode inside a reaction space and electrically insulating a plasma electrode from a reaction space, the chamber comprising: a chamber for providing a reaction space insulated from the outside and maintained in a vacuum state; A plasma electrode disposed inside the reaction space and insulated from the chamber; And a substrate table used as an opposite electrode of the plasma electrode and on which the substrate is placed.

기판처리장치, 플라즈마 전극, 후방 플레이트, 가스 분배판, 산화막 A substrate processing apparatus, a plasma electrode, a rear plate, a gas distribution plate,

Description

기판처리장치{System for treatmenting substrate}[0001] The present invention relates to a system for treating a substrate,

본 발명은 기판처리장치에 관한 것으로, 보다 구체적으로는 플라즈마 전극을 반응공간의 내부에 위치시키고, 플라즈마 전극과 반응공간을 전기적으로 절연시키는 기판처리장치에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus that places a plasma electrode inside a reaction space and electrically insulates a plasma electrode from a reaction space.

일반적으로, 액정표시장치 또는 박막 태양전지를 제조하기 위해서는 기판에 특정 물질의 박막을 증착하는 박막증착공정, 감광성 물질을 사용하여 이들 박막 중 선택된 영역을 노출 또는 은폐시키는 포토공정, 선택된 영역의 박막을 제거하여 패터닝하는 식각공정 등을 거치게 되며, 이들 각 공정은 해당공정을 위해 최적의 환경으로 설계된 기판처리장치의 내부에서 진행된다. Generally, in order to manufacture a liquid crystal display device or a thin film solar cell, a thin film deposition process for depositing a thin film of a specific material on a substrate, a photolithography process for exposing or hiding selected regions of the thin films using a photosensitive material, And an etching process for removing and patterning the substrate. These processes are performed inside a substrate processing apparatus designed for an optimal environment for the process.

도 1은 종래기술에 따른 기판처리장치의 개략도이다. 도 2는 도 1의 A 영역의 상세도이다.1 is a schematic view of a substrate processing apparatus according to the prior art. 2 is a detailed view of region A in Fig.

기판처리장치(10)는 밀폐공간을 제공하는 공정챔버(12), 공정챔버(12) 내부의 상부에 위치하며, 플라즈마 전극으로 사용되는 후방 플레이트(14), 후방 플레이 트(14)와 연결되며 공정챔버(12)의 내부에 소스가스를 공급하는 가스 공급관(36), 후방 플레이트(14)의 하부에 위치하며, 다수의 분사홀(16)을 가지는 알루미늄 재질의 가스분배판(18), 플라즈마 전극과 대향전극으로 사용되며 기판(20)이 안치되는 기판안치대(22), 공정챔버(12) 내부의 반응가스 및 부산물을 배출하기 위한 배출구(24)를 포함하여 구성된다. The substrate processing apparatus 10 includes a process chamber 12 for providing a confined space, a rear plate 14 located at the top inside the process chamber 12 and used as a plasma electrode, a rear plate 14, A gas supply pipe 36 for supplying a source gas to the inside of the process chamber 12; a gas distribution plate 18 made of aluminum having a plurality of injection holes 16 at a lower portion of the rear plate 14; And a discharge port 24 for discharging the reaction gas and the by-products in the process chamber 12, which are used as electrodes and counter electrodes and on which the substrate 20 is placed.

가스 공급관(36)은 RF 전원(30)과 연결되고, 가스 공급관(36)과 RF전원(30) 사이에는 임피던스 정합을 위한 매처(32)가 설치된다. 공정챔버(12)는 상부에 위치하는 상부리드(38), 상부리드(38)의 주변부에서 연결되는 측면리드(40), 및 측면리드(40)와 연결되는 챔버바디(42)로 구성되며, 오링과 같은 밀폐수단을 개재하여 연결시킨다. 측면리드(40)과 챔버바디(42)는 일체형으로 제작할 수 있다. 가스 분배판(18)은 후방 플레이트(14)와 연결되어 버퍼공간(26)을 정의하고, 가스 분배판(18)으로부터 연장된 연결부(28)가 후방 플레이트(14)에 고정된다. The gas supply pipe 36 is connected to the RF power supply 30 and a matcher 32 for impedance matching is provided between the gas supply pipe 36 and the RF power supply 30. The process chamber 12 is composed of an upper lead 38 located at the top, a side lead 40 connected at the periphery of the upper lead 38 and a chamber body 42 connected to the side lead 40, They are connected through a sealing means such as an O-ring. The side lead 40 and the chamber body 42 can be integrally formed. The gas distribution plate 18 is connected to the rear plate 14 to define a buffer space 26 and a connection 28 extending from the gas distribution plate 18 is secured to the rear plate 14. [

후방 플레이트(14)는 도 2와 같이, 측면리드(40)의 돌출부(44)와 후방 플레이트(14) 사이에 테프론으로 형성되는 제 1 절연부품(46)을 설치하고 오링(48)을 사용하여 결합한다. 후방 플레이트(14)의 위치를 고정하기 위해, 후방 플레이트(14)의 상부와 측면리드(40)의 측면에 고정부재(50)을 설치하고, 볼트(52)를 이용하여 측면리드(40)에 고정시킨다. 돌출부(44)의 하단부에서 절연성 물질의 세라믹으로 형성되는 확장부(54)가 연결되고, 확장부(54) 상에 제 2 절연부품(56)을 후 방 플레이트(14)의 체결구(58)에 삽입하여 설치한다. 제 2 절연부품(56)과 가스 분배판(18) 사이의 확장부(54) 상에 절연성 물질의 클램프(60)를 설치하고, 후방 플레이트(14)와 클램프(60)의 사이에 가스 분배판(18)의 연결부(28)를 삽입하고 볼트(62)에 의해 결합시킨다.2, the rear plate 14 is provided with a first insulation component 46 formed of Teflon between the protruding portion 44 of the side lead 40 and the rear plate 14, . A fixing member 50 is provided on the upper surface of the rear plate 14 and on the side surface of the side lead 40 and the bolts 52 are used to fix the position of the rear plate 14 to the side lead 40 . An extension 54 formed of ceramic of an insulating material is connected at the lower end of the projection 44 and a second insulation 56 is connected to the fastener 58 of the rear plate 14 on the extension 54. [ . A clamp 60 of an insulating material is provided on the extension portion 54 between the second insulating component 56 and the gas distribution plate 18 and a clamp 60 is formed between the rear plate 14 and the clamp 60, The connecting portion 28 of the connecting member 18 is inserted and joined by the bolt 62. [

도 1 및 도 2에서, 후방 플레이트(14)와 챔버바디(42)의 반응공간에서는 플라즈마를 발생시키기 위한 진공상태를 유지하지만, 상부리드(38)과 후방 플레이트(14)의 사이는 대기압을 유지한다. 그러나, 플라즈마 전극으로 사용되는 후방 플레이트(14)가 대기압 상태에 노출되어, 후방 플레이트(14)와 챔버바디(42)의 사이의 절연부위에서 리크가 발생하고, 전위차 발생에 의한 아킹(arcing)이 발생하여 절연부품이 변형 또는 파손되는 문제가 있다. 1 and 2, a vacuum state for generating plasma is maintained in the reaction space between the rear plate 14 and the chamber body 42. However, atmospheric pressure is maintained between the upper lead 38 and the rear plate 14 do. However, when the rear plate 14 used as the plasma electrode is exposed to the atmospheric pressure state, leakage occurs at the insulating portion between the rear plate 14 and the chamber body 42, and arcing due to the generation of the potential difference occurs There is a problem that the insulating part is deformed or broken.

상기와 같은 종래기술의 문제를 해결하기 위하여, 본 발명은 플라즈마 전극을 반응공간의 내부에 위치시키고, 플라즈마 전극과 반응공간을 전기적으로 절연시키는 기판처리장치를 제공하는 것을 목적으로 한다.In order to solve the problems of the prior art as described above, it is an object of the present invention to provide a substrate processing apparatus which places a plasma electrode inside a reaction space and electrically insulates a plasma electrode from a reaction space.

상기 목적을 달성하기 위한 본 발명에 따른 기판처리장치는, 외부와 절연되고 진공상태를 유지하는 반응공간을 제공하는 챔버; 상기 반응공간 내부에 설치되고 상기 챔버와 절연되는 플라즈마 전극; 상기 플라즈마 전극의 대향 전극으로 사용되며, 기판이 안치되는 기판 안치대;를 포함하는 것을 특징으로 한다.According to an aspect of the present invention, there is provided a substrate processing apparatus comprising: a chamber for providing a reaction space insulated from the outside and maintained in a vacuum state; A plasma electrode disposed inside the reaction space and insulated from the chamber; And a substrate table used as an opposite electrode of the plasma electrode and on which the substrate is placed.

상기와 같은 기판처리장치에 있어서, 상부리드, 상기 상부리드의 주변부에서 연결되는 측면리드, 및 상기 측면리드와 연결되는 챔버바디를 포함하는 상기 챔버; 상기 상부리드의 하부에 위치하고, 상기 챔버바디와 상기 반응공간을 정의하는 후방 플레이트; 상기 후방 플레이트의 하부에 설치되고, 상기 후방 플레이트 및 상기 챔버와 절연되며 상기 플라즈마 전극으로 사용되는 가스 분배판; 상기 가스 분배판과 대향하는 상기 후방 플레이트의 전면에 형성되는 산화막;을 포함하는 것을 특징으로 한다.The substrate processing apparatus as described above may further include: a chamber including an upper lead, a side lead connected to the periphery of the upper lead, and a chamber body connected to the side lead; A rear plate positioned below the upper lid and defining the chamber body and the reaction space; A gas distribution plate installed at a lower portion of the rear plate and insulated from the rear plate and the chamber and used as the plasma electrode; And an oxide film formed on the front surface of the rear plate facing the gas distribution plate.

상기와 같은 기판처리장치에 있어서, 상기 측면리드에서 연장되는 확장부; 상기 확장부 상에 설치되며, 상기 후방 플레이트와 연결되는 절연부품; 상기 절연부품과 연결되는 상기 가스 분배판의 연결부;를 포함하는 것을 특징으로 한다.The substrate processing apparatus may further include: an extension extending from the side lead; An insulating part installed on the extension part and connected to the rear plate; And a connection part of the gas distribution plate connected to the insulating part.

상기와 같은 기판처리장치에 있어서, RF 전원을 공급하는 피딩라인이 상기 후방 플레이트를 절연상태로 통과하여 상기 가스 분배판에 연결되는 것을 특징으로 한다.In the above-described substrate processing apparatus, a feeding line for supplying RF power passes through the rear plate in an insulated state and is connected to the gas distribution plate.

상기와 같은 기판처리장치에 있어서, 상기 후방 플레이트에 설치되는 통과홀; 상기 통과홀과 상기 통과홀과 대응되는 상기 후방 플레이트의 상부에 설치되며 중심을 상기 피딩라인이 관통하는 절연부품;을 포함하는 것을 특징으로 한다.The substrate processing apparatus may further include: a through hole provided in the rear plate; And an insulating part provided on the upper part of the through hole and the rear plate corresponding to the through hole, the center of which passes through the feeding line.

상기와 같은 기판처리장치에 있어서, 상부리드, 상기 상부리드의 주변부에서 연결되는 측면리드, 상기 상부리드와 대향하며 상기 측면리드에서 연장되는 판형의 중앙리드, 및 상기 측면리드와 연결되어 상기 중앙리드와 상기 반응공간을 정의하는 챔버바디를 포함하는 상기 챔버; 상기 중앙리드의 하부에서, 상기 챔버와 절연되고 상기 플라즈마 전극으로 사용되는 후방 플레이트; 상기 후방 플레이트와 대향하는 상기 중앙리드의 전면에 형성되는 산화막; 상기 후방 플레이트의 하부에 설치되는 가스 분배판;을 포함하는 것을 특징으로 한다.The substrate processing apparatus according to claim 1, further comprising: an upper lead, a side lead connected to the periphery of the upper lead, a plate-shaped central lead opposed to the upper lead and extending from the side lead, And a chamber body defining the reaction space; A rear plate, which is insulated from the chamber and used as the plasma electrode, at a lower portion of the central lead; An oxide film formed on a front surface of the center lead facing the rear plate; And a gas distribution plate installed at a lower portion of the rear plate.

상기와 같은 기판처리장치에 있어서, 상기 측면리드에서 연장되는 확장부; 상기 확장부 상에 설치되며, 상기 중앙리드와 연결되는 절연부품; 상기 절연부품과 연결되는 상기 후방 플레이트;를 포함하는 것을 특징으로 한다.The substrate processing apparatus may further include: an extension extending from the side lead; An insulating part installed on the extension part and connected to the center lead; And the rear plate connected to the insulating part.

상기와 같은 기판처리장치에 있어서, RF 전원을 공급하는 피딩라인이 상기 중앙리드를 절연상태로 통과하여 상기 후방 플레이트에 연결되는 것을 특징으로 한다.In the above-described substrate processing apparatus, a feeding line for supplying RF power is connected to the rear plate through the center lead in an insulated state.

상기와 같은 기판처리장치에 있어서, 상기 중앙리드에 설치되는 통과홀; 상 기 통과홀과 상기 통과홀과 대응되는 상기 중앙리드의 상부에 설치되며 중심을 상기 피딩라인이 관통하는 절연부품;을 포함하는 하는 것을 특징으로 한다.In the above-described substrate processing apparatus, a through hole provided in the central lead; And an insulation part installed on the upper part of the center lead corresponding to the through hole and passing through the feeding line.

상기와 같은 기판처리장치에 있어서, 상기 중앙리드를 통과하는 가스 공급관을 절연 가스관으로 형성하는 것을 특징으로 한다. In the above-described substrate processing apparatus, the gas supply pipe passing through the center lead is formed as an insulating gas pipe.

본 발명의 실시예에 따른 기판처리장치는 다음과 같은 효과가 있다. The substrate processing apparatus according to the embodiment of the present invention has the following effects.

플라즈마 전극을 반응공간의 내부에 위치시키고, 플라즈마 전극과 반응공간을 전기적으로 절연시키는 것에 의해 플라즈마 전극이 대기압 상태에 노출되지 않아 절연부위에서 리크와 전위차 발생에 의한 아킹이 발생하지 않는 효과가 있다. The plasma electrode is placed inside the reaction space and the plasma electrode is electrically insulated from the reaction space, so that the plasma electrode is not exposed to the atmospheric pressure state, so that the arc is not generated due to leakage and potential difference in the insulation portion.

이하에서는 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the drawings.

도 3은 본 발명의 제 1 실시예에 따른 기판처리장치의 개략도이고, 도 4는 본 발명의 제 1 실시예에 따른 도 3의 B의 확대도이고, 도 5는 도 3의 C의 확대도이다. 본 발명의 제 1 실시예는 플라즈마 전극으로 가스 분배판을 사용하며, 가스 분배판을 반응공간에 위치시키고 외부와 밀폐 및 절연 상태를 유지하는 것을 특징으로 한다. FIG. 3 is a schematic view of a substrate processing apparatus according to a first embodiment of the present invention, FIG. 4 is an enlarged view of B of FIG. 3 according to the first embodiment of the present invention, to be. The first embodiment of the present invention uses a gas distribution plate as a plasma electrode and places the gas distribution plate in the reaction space and maintains the sealed and insulated state from the outside.

기판처리장치(110)는 외부와 밀폐되어 진공상태의 반응공간을 제공하는 공정챔버(112), 공정챔버(112) 내부의 후방 플레이트(114), 후방 플레이트(114)와 연결 되며 공정챔버(112)의 내부에 소스가스를 공급하는 가스 공급관(136), 후방 플레이트(114)의 하부에 위치하며, 다수의 분사홀(116)을 가지며, 플라즈마 전극으로 사용되는 알루미늄 재질의 가스 분배판(118), 플라즈마 전극과 대향전극으로 사용되며 기판(120)이 안치되는 기판안치대(122), 및 공정챔버(112) 내부의 반응가스 및 부산물을 배출하기 위한 배출구(124)로 포함하여 구성된다. The substrate processing apparatus 110 includes a process chamber 112 which is sealed with the outside to provide a reaction space in a vacuum state, a rear plate 114 inside the process chamber 112, a rear plate 114, A gas distribution plate 118 made of an aluminum material and having a plurality of injection holes 116 and used as a plasma electrode, a gas supply pipe 136 for supplying a source gas into the inside of the rear plate 114, A substrate stand 122 used as a plasma electrode and an opposite electrode and on which a substrate 120 is placed, and a discharge port 124 for discharging reaction gas and by-products in the process chamber 112.

공정챔버(112)는 상부에 위치하는 상부리드(138), 상부리드(138)의 주변부에서 연결되는 측면리드(140), 및 측면리드(140)와 연결되는 챔버바디(142)로 구성되며, 오링과 같은 밀폐수단을 개재하여 연결시킨다. 측면리드(140)과 챔버바디(142)는 일체형으로 제작할 수 있다. 그리고, 가스 분배판(118)과 대향하는 후방 플레이트(114)의 전면에는 음극산화(anodizing)에 의한 산화막(150)을 형성하여, 후방 플레이트(114)를 플라즈마 전극으로 사용하는 가스 분배판(118)과 절연시킨다. 가스 분배판(118)과 RF전원(130) 사이에는 임피던스 정합을 위한 매처(132)가 설치된다. 가스 분배판(118)은 제 1 절연부품(162)을 개재하여 후방 플레이트(114)와 절연되어 연결되고, 버퍼공간(126)을 정의한다. The process chamber 112 is composed of an upper lead 138 located at the upper portion, a side lead 140 connected at the periphery of the upper lead 138 and a chamber body 142 connected to the side lead 140, They are connected through a sealing means such as an O-ring. The side lead 140 and the chamber body 142 may be integrally formed. An oxide film 150 is formed by anodizing on the front surface of the rear plate 114 facing the gas distribution plate 118 and the gas distribution plate 118 using the rear plate 114 as a plasma electrode ). A matcher 132 for impedance matching is installed between the gas distribution plate 118 and the RF power source 130. The gas distribution plate 118 is insulated from the rear plate 114 via a first insulating component 162 and defines a buffer space 126.

도 4를 참조하면, 후방 플레이트(114)의 외주연을 따라 후방 플레이트(114)의 하부에 융기부(152)가 설치되고, 융기부(152)는 측면리드(140)의 돌출부(154) 상에 위치하며, 오링을 사용하여 반응공간을 밀폐시킨다. 따라서, 플라즈마 전극으로 사용되는 가스 분배판(118)은 상부리드(138)와 후방 플레이트(114) 사이의 대기 압에 노출되지 않는다. 돌출부(154)의 하단부에는 절연물질의 세라믹으로 형성되는 확장부(156)가 연결되고, 확장부(156)와 후방 플레이트(114)의 사이에 볼트(164)로 고정되는 제 1 절연부품(162)을 설치한다. 제 1 절연부품(162)은 확장부(156) 상에 설치되는 제 1 절연부재(158) 및 제 1 절연부재(158)와 후방 플레이트(114)의 사이에 위치하는 제 2 절연부재(160)로 구성되고, 제 1 및 제 2 절연부재(158, 160)의 사이에는 가스 분배판(118)의 주연부를 따라 확장되는 연결부(166)가 삽입되고, 세라믹 핀(168)에 의해 고정된다. 4, a ridge 152 is provided on the lower portion of the rear plate 114 along the outer periphery of the rear plate 114, and the ridge 152 is formed on the protrusion 154 of the side lid 140 And an O-ring is used to seal the reaction space. Therefore, the gas distribution plate 118 used as the plasma electrode is not exposed to the atmospheric pressure between the upper lead 138 and the rear plate 114. A first insulation component 162 (not shown) is connected to the lower end of the protrusion 154 and is connected to an extension 156 formed of a ceramic of insulating material and fixed between the extension 156 and the rear plate 114 by bolts 164. ). The first insulation component 162 includes a first insulation member 158 disposed on the extension portion 156 and a second insulation member 160 positioned between the first insulation member 158 and the rear plate 114. [ And a connecting portion 166 extending along the periphery of the gas distribution plate 118 is inserted between the first and second insulating members 158 and 160 and fixed by the ceramic pin 168. [

후방 플레이트(114)의 하부에 음극산화에 의한 산화막(150)이 형성되고, 제 1 및 제 2 절연부재(158, 160)의 사이에 가스 분배판(118)의 연결부(166)가 삽입되어 고정되므로, 플라즈마 전극으로 사용되는 가스 분배판(118)은 후방 플레이트(114)와 완전히 절연된다. 도면에서 상세하게 도시하지 않았지만, 연결부(166)에는 세라믹 핀(168)이 삽입되는 연결구가 형성된다.An oxide film 150 is formed on the lower surface of the rear plate 114 by a cathode oxidation and a connection portion 166 of the gas distribution plate 118 is inserted between the first and second insulating members 158 and 160, So that the gas distribution plate 118 used as the plasma electrode is completely insulated from the rear plate 114. Although not shown in detail in the drawing, a connection port through which the ceramic pin 168 is inserted is formed in the connection part 166.

도 5를 참조하면, 플라즈마 전극으로 사용하는 가스 분배판(118)에 매처(132)의 피딩라인(168)이 후방 플레이트(114)와 절연되어 연결된다. 후방 플레이트(114)에 피딩라인(168)을 통과시키기 위한 통과홀(170)이 형성되고, 통과홀(170) 및 통과홀(170)과 대응되는 후방 플레이트(114)의 상부에는 세라믹으로 형성되는 제 2 절연부품(172)이 설치된다. 제 2 절연부품(172)의 중심을 피딩라인(168)이 통과한다. 제 2 절연부품(172)는 일체형으로 형성할 수 있지만, 제작을 용이하게 하 기 위하여, 통과홀(170)에 설치되는 홀 절연부재(180)와 통과홀(170)과 대응되는 후방 플레이트(114) 상에 형성되는 상부 절연부재(182)로 분리하여 제작할 수 있다. 버퍼공간(126)의 기밀성을 확보하기 위하여, 상부 절연부재(182)와 후방 플레이트(114) 사이와 상부 절연부재(182)를 통과하는 피딩라인(168)의 주변에 오링을 사용하여 밀폐시킨다. 그리고, 제 2 절연부품(172) 상에 기밀캡(174)을 설치한다. Referring to FIG. 5, a feeding line 168 of the matcher 132 is insulated from the rear plate 114 and connected to a gas distribution plate 118 used as a plasma electrode. A through hole 170 for passing the feeding line 168 is formed in the rear plate 114 and an upper portion of the rear plate 114 corresponding to the through hole 170 and the through hole 170 is formed of ceramic A second insulating member 172 is provided. The feeding line 168 passes through the center of the second insulating component 172. The second insulating member 172 may be formed integrally with the first insulating member 180. However, in order to facilitate fabrication, the second insulating member 172 may include a hole insulating member 180 provided in the through hole 170 and a rear plate 114 And an upper insulating member 182 formed on the upper insulating member 182. An O-ring is used to seal the periphery of the feeding line 168 passing between the upper insulating member 182 and the rear plate 114 and the upper insulating member 182 to ensure the airtightness of the buffer space 126. [ Then, an airtight cap 174 is provided on the second insulating member 172.

도 3 내지 도 5와 같이, 플라즈마 전극으로 사용되는 가스 분배판(118)이 대기압에 노출되지 않고, 반응공간에 위치하여 외부와 완전한 밀폐 상태를 유지할 수 있다. 따라서, 플라즈마 전극으로 사용되는 가스 분배판(118)이 대기압 상태에 노출되지 않기 때문에 절연부위에서 리크가 발생되거나 전위차에 의한 아킹 발생에 대한 문제를 원천적으로 차단할 수 있다.As shown in FIGS. 3 to 5, the gas distribution plate 118 used as the plasma electrode is not exposed to the atmospheric pressure, but can be located in the reaction space and can maintain a completely sealed state with the outside. Accordingly, since the gas distribution plate 118 used as the plasma electrode is not exposed to the atmospheric pressure, leakage may occur at the insulation portion or the arcing due to the potential difference may be prevented from origin.

도 6은 본 발명의 제 2 실시예에 따른 기판처리장치의 개략도이고, 도 7은 도 6의 D의 확대도이고, 도 8은 도 6의 E의 확대도이다. 본 발명의 제 2 실시예는 플라즈마 전극으로 후방 플레이트를 사용하며, 후방 플레이트를 반응공간에 위치시키고 외부와 밀폐 및 절연 상태를 유지하는 것을 특징으로 한다. FIG. 6 is a schematic view of a substrate processing apparatus according to a second embodiment of the present invention, FIG. 7 is an enlarged view of FIG. 6D, and FIG. 8 is an enlarged view of FIG. A second embodiment of the present invention is characterized in that a rear plate is used as a plasma electrode, and a rear plate is placed in a reaction space, and is kept sealed and insulated from the outside.

기판처리장치(210)는 외부와 밀폐되어 진공상태의 반응공간을 제공하는 공정챔버(212), 공정챔버(212)의 내부에서, 플라즈마 전극으로 사용되는 후방 플레이트(214), 후방 플레이트(214)와 연결되며 공정챔버(212)의 내부에 소스가스를 공급 하는 가스 공급관(236), 후방 플레이트(214)의 하부에 위치하며, 다수의 분사홀(216)을 가지는 알루미늄 재질의 가스 분배판(218), 플라즈마 전극과 대향전극으로 사용되며 기판(220)이 안치되는 기판안치대(222), 및 공정챔버(212) 내부의 반응가스 및 부산물을 배출하기 위한 배출구(224)로 포함하여 구성된다. The substrate processing apparatus 210 includes a processing chamber 212 which is sealed with the outside to provide a reaction space in a vacuum state, a rear plate 214 used as a plasma electrode, a rear plate 214, A gas supply pipe 236 connected to the process chamber 212 for supplying a source gas into the process chamber 212 and a gas distribution plate 218 made of aluminum having a plurality of injection holes 216 located under the rear plate 214, A substrate stand 222 used as a plasma electrode and an opposite electrode and on which a substrate 220 is placed and an outlet 224 for discharging reaction gas and by-products in the process chamber 212.

공정챔버(212)는 상부에 위치하는 상부리드(238), 상부리드(238)의 주변부에서 연결되는 측면리드(240), 상부리드(238)와 대향하며 측면리드(240)에서 연장되는 판형의 중앙리드(241), 및 측면리드(240)와 연결되는 챔버바디(242)로 구성되며, 오링과 같은 밀폐수단을 개재하여 연결시킨다. 후방 플레이트(214)와 대향하는 중앙리드(241)의 전면에는 음극산화(anodizing)에 의한 산화막(250)을 형성하여, 플라즈마 전극으로 사용하는 후방 플레이트(214)와 중앙리드(241)를 절연시킨다. 후방 플레이트(214)와 RF 전원(230) 사이에는 임피던스 정합을 위한 매처(232)가 설치된다. The process chamber 212 includes an upper lead 238 located at the top, a side lead 240 connected at the periphery of the upper lead 238, a plate- A central lead 241, and a chamber body 242 connected to the side lead 240, and is connected through a sealing means such as an O-ring. An oxide film 250 by anodizing is formed on the front surface of the central lead 241 facing the rear plate 214 to insulate the rear plate 214 used as a plasma electrode from the center lead 241 . A matcher 232 for impedance matching is provided between the rear plate 214 and the RF power source 230.

도 7을 참조하면, 측면리드(240)에서 세라믹으로 형성되는 확장부(256)가 연결되고, 확장부(256)와 중앙리드(241)의 사이에는 제 1 절연부품(262)을 설치한다. 제 1 절연부품(262)은 절연물질의 세라믹으로 형성되는 제 1 절연부재(258)과 제 1 절연부재(258)와 중앙리드(241)의 사이에 위치하며 테프론으로 형성되는 제 2 절연부재(260)로 구성된다. 제 1 및 제 2 절연부재(258, 260)는 제 1 볼트(281)를 사용하여 중앙리드(241)에 고정된다. 제 1 절연부재(258)와 가스 분배판(218) 사이의 확장부(256) 상에 테프론으로 형성되는 제 2 절연부품(280)이 설치된다. 7, an extended portion 256 formed of ceramics is connected to the side lead 240, and a first insulating component 262 is provided between the extended portion 256 and the center lead 241. The first insulating member 262 includes a first insulating member 258 formed of a ceramic of an insulating material and a second insulating member 258 disposed between the first insulating member 258 and the center lead 241 and formed of Teflon 260). The first and second insulating members 258 and 260 are fixed to the center lead 241 using the first bolt 281. [ A second insulating component 280 formed of Teflon is disposed on the extension 256 between the first insulating member 258 and the gas distribution plate 218.

제 2 절연부품(180) 상에 가스 분배판(218)의 주연부를 따라 확장되는 연결부(266)가 위치된다. 연결부(266) 상에 후방 플레이트(214)가 위치하며, 후방 플레이트(114)의 단부는 제 1 및 제 2 절연부재(258, 260)의 사이에 삽입되어 제 2 볼트(182)로 고정된다. 플라즈마 전극으로 사용되는 후방 플레이트(214)의 상부는 산화막(250)이 형성되어 있는 중앙리드(241)와 이격되어 절연되고, 후방 플레이트(214)의 측면은 제 1 절연부품(262)에 의해 절연된다. 그리고 후방 플레이트(214)는 가스 분배판(218)과 전기적으로 연결되어, 가스 분배판(218)도 플라즈마 전극으로 기능하게 된다.A connecting portion 266 extending along the periphery of the gas distribution plate 218 is located on the second insulating component 180. A rear plate 214 is located on the connecting portion 266 and the end of the rear plate 114 is inserted between the first and second insulating members 258 and 260 and fixed to the second bolt 182. The upper portion of the rear plate 214 used as the plasma electrode is insulated from the central lead 241 where the oxide film 250 is formed and the side surface of the rear plate 214 is insulated by the first insulating component 262 do. And the rear plate 214 is electrically connected to the gas distribution plate 218 so that the gas distribution plate 218 also functions as a plasma electrode.

도 8을 참조하면, 플라즈마 전극으로 사용하는 후방 플레이트(214)에 매처(232)의 피딩라인(268)이 중앙리드(241)를 절연상태에서 통과하여 연결된다. 중앙리드(241)에 피딩라인(268)을 통과시키기 위한 통과홀(270)이 형성되고, 통과홀(270) 및 통과홀(270)과 대응되는 중앙리드(241)의 상부에는 세라믹으로 형성되는 제 3 절연부품(272)이 설치된다. 제 3 절연부품(272)의 중심을 피딩라인(268)이 통과한다. 제 3 절연부품(272)은 통과홀(270)에 설치되는 홀 절연부재(290)와 통과홀(270)과 대응되는 중앙리드(241) 상에 위치하는 상부 절연부재(292)로 구성된다. Referring to FIG. 8, a feeding line 268 of the mattress 232 is connected to a rear plate 214 used as a plasma electrode by inserting the center lead 241 in an insulated state. A through hole 270 for passing the feeding line 268 is formed in the central lead 241 and an upper portion of the center lead 241 corresponding to the through hole 270 and the through hole 270 is formed of ceramic A third insulating part 272 is provided. The feeding line 268 passes through the center of the third insulating part 272. The third insulating member 272 is composed of a hole insulating member 290 provided in the through hole 270 and an upper insulating member 292 located on the center lead 241 corresponding to the through hole 270.

중앙리드(241)와 후방 플레이트(214) 사이에서 기밀성을 확보하기 위하여, 상부 절연부재(292)와 중앙리드(241) 사이와 상부 절연부재를 통과하는 피딩라인(268)에 오링을 설치하며 밀폐시킨다. 그리고, 제 3 절연부품(272) 상에 기밀캡(274)을 설치한다. 가스 유입관(236)과 중앙리드(241)의 절연을 위하여, 중앙리드(241)와 후방 프레이트(214) 사이에 절연물질의 절연 가스관(294)을 설치한다. 절연 가스관(294)은 세라믹으로 형성하며, 가스 유입관(236)과 오링을 개재하여 연결시킨다. O-rings are provided on the feeding line 268 passing between the upper insulating member 292 and the center lead 241 and the upper insulating member in order to ensure airtightness between the center lead 241 and the rear plate 214, . An airtight cap 274 is provided on the third insulating member 272. An insulating gas pipe 294 of an insulating material is provided between the central lead 241 and the rear plate 214 for insulation between the gas inlet pipe 236 and the center lead 241. [ The insulating gas pipe 294 is formed of ceramic and is connected to the gas inlet pipe 236 through an O-ring.

도 6 내지 도 8과 같이, 플라즈마 전극으로 사용되는 후방 플레이트(214)와 후방 플레이트(214)와 전기적으로 연결되는 가스 분배판(218)이 대기압에 노출되지 않고, 반응공간에 위치하여 외부와 완전한 밀폐 상태를 유지할 수 있다. 따라서, 플라즈마 전극으로 사용되는 후방 플레이트(214) 및 가스 분배판(218)이 대기압 상태에 노출되지 않기 때문에 절연부위에서 리크가 발생되거나 전위차에 의한 아킹 발생에 대한 문제를 원천적으로 차단할 수 있다.6 to 8, the rear plate 214 used as a plasma electrode and the gas distribution plate 218 electrically connected to the rear plate 214 are not exposed to atmospheric pressure, The sealed state can be maintained. Therefore, since the rear plate 214 and the gas distribution plate 218 used as the plasma electrodes are not exposed to the atmospheric pressure, leakage may occur at the insulation portion or the arcing due to the potential difference may be prevented.

도 1은 종래기술에 따른 기판처리장치의 개략도1 is a schematic view of a substrate processing apparatus according to the prior art;

도 2는 도 1의 A 영역의 상세도Fig. 2 is a detailed view of area A in Fig.

도 3은 본 발명의 제 1 실시예에 따른 기판처리장치의 개략도3 is a schematic view of a substrate processing apparatus according to the first embodiment of the present invention

도 4는 본 발명의 제 1 실시예에 따른 도 3의 B의 확대도4 is an enlarged view of B in Fig. 3 according to the first embodiment of the present invention; Fig.

도 5는 도 3의 C의 확대도5 is an enlarged view of C in Fig. 3

도 6은 본 발명의 제 2 실시예에 따른 기판처리장치의 개략도6 is a schematic view of a substrate processing apparatus according to a second embodiment of the present invention

도 7은 도 6의 D의 확대도7 is an enlarged view of D in Fig. 6

도 8은 도 6의 E의 확대도8 is an enlarged view of E in Fig. 6

Claims (10)

진공상태를 유지하는 반응공간을 제공하는 챔버;A chamber for providing a reaction space for maintaining a vacuum state; 상기 챔버 내에 위치하는 후방 플레이트;A rear plate positioned within said chamber; 상기 반응공간 내부에 설치되고 상기 후방 플레이트 하부에 위치하며, 상기 후방플레이트와 절연되고 플라즈마 전극으로 사용되는 가스 분배판;A gas distribution plate disposed inside the reaction space and positioned below the rear plate, the gas distribution plate being insulated from the rear plate and used as a plasma electrode; 상기 가스 분배판과 대향하는 상기 후방 플레이트의 전면에 형성되는 산화막;An oxide film formed on a front surface of the rear plate facing the gas distribution plate; 상기 플라즈마 전극의 대향 전극으로 사용되며, 기판이 안치되는 기판 안치대;A substrate table used as an opposite electrode of the plasma electrode and on which the substrate is placed; 를 포함하는 것을 특징으로 하는 기판처리장치.The substrate processing apparatus comprising: 제 1 항에 있어서, The method according to claim 1, 상기 챔버는 상부리드, 상기 상부리드의 주변부에서 연결되는 측면리드, 및 상기 측면리드와 연결되는 챔버바디를 포함하는 것을 특징으로 하는 기판처리장치.Wherein the chamber includes an upper lid, a side lid connected at a periphery of the upper lid, and a chamber body connected to the side lid. 삭제delete 제 1 항 내지 제 2 항 중 어느 하나에 있어서,3. The method according to any one of claims 1 to 2, RF 전원을 공급하는 피딩라인이 상기 후방 플레이트를 절연상태로 통과하여 상기 가스 분배판에 연결되는 것을 특징으로 하는 기판처리장치.And a feeding line supplying RF power is passed through the rear plate in an insulated state to be connected to the gas distribution plate. 제 4 항에 있어서, 5. The method of claim 4, 상기 후방 플레이트에 설치되는 통과홀;A through hole provided in the rear plate; 상기 통과홀과 상기 통과홀과 대응되는 상기 후방 플레이트의 상부에 설치되며 중심을 상기 피딩라인이 관통하는 절연부품;An insulating part installed at an upper portion of the through hole and the rear plate corresponding to the through hole, the center of which passes through the feeding line; 을 포함하는 것을 특징으로 하는 기판처리장치.And the substrate processing apparatus further comprises: 제 2 항에 있어서, 3. The method of claim 2, 상기 측면리드에서 연장되는 확장부;An extension extending from the side lead; 상기 확장부 상에 설치되며, 상기 후방 플레이트와 연결되는 절연부품;An insulating part installed on the extension part and connected to the rear plate; 상기 절연부품과 연결되는 상기 가스 분배판의 연결부;A connection part of the gas distribution plate connected to the insulating part; 를 포함하는 것을 특징으로 하는 기판처리장치.The substrate processing apparatus comprising: 삭제delete 삭제delete 삭제delete 삭제delete
KR1020080004502A 2008-01-15 2008-01-15 System for treatmenting substrate KR101426011B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080004502A KR101426011B1 (en) 2008-01-15 2008-01-15 System for treatmenting substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080004502A KR101426011B1 (en) 2008-01-15 2008-01-15 System for treatmenting substrate

Publications (2)

Publication Number Publication Date
KR20090078595A KR20090078595A (en) 2009-07-20
KR101426011B1 true KR101426011B1 (en) 2014-08-05

Family

ID=41336663

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080004502A KR101426011B1 (en) 2008-01-15 2008-01-15 System for treatmenting substrate

Country Status (1)

Country Link
KR (1) KR101426011B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101587054B1 (en) * 2009-11-23 2016-01-21 주성엔지니어링(주) Appratus for treating substrate
KR102230521B1 (en) * 2014-08-25 2021-03-23 주성엔지니어링(주) Substrate processing apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030023964A (en) * 2001-09-14 2003-03-26 주성엔지니어링(주) Remote plasma-Assisted CCP type PECVD apparatus
KR20040103556A (en) * 2003-05-29 2004-12-09 삼성전자주식회사 Deposition apparatus used in manufacturing semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030023964A (en) * 2001-09-14 2003-03-26 주성엔지니어링(주) Remote plasma-Assisted CCP type PECVD apparatus
KR20040103556A (en) * 2003-05-29 2004-12-09 삼성전자주식회사 Deposition apparatus used in manufacturing semiconductor devices

Also Published As

Publication number Publication date
KR20090078595A (en) 2009-07-20

Similar Documents

Publication Publication Date Title
KR101444873B1 (en) System for treatmenting substrate
KR100429581B1 (en) Universal vacuum chamber with equipment modules such as plasma generators, vacuum pumping devices and / or cantilever substrate supports
US7849815B2 (en) Plasma processing apparatus
CN109477221B (en) Plasma processing apparatus
CN101552188B (en) Plasma processing apparatus
KR101697970B1 (en) Plasma processing apparatus and method for cleaning chamber using the same
US20060037702A1 (en) Plasma processing apparatus
JP2009278062A (en) Vacuum container and plasma processing device
KR101426011B1 (en) System for treatmenting substrate
JP7340938B2 (en) Mounting table and substrate processing equipment
KR20090009369A (en) Plasma reactor having inductively coupled plasma source with heater
TWI442502B (en) Plasma processing containers and plasma processing devices
KR100782889B1 (en) Shield ring for vacuum processing apparatus and vacuum processing apparatus having same
KR20110054726A (en) Appratus for treating substrate
KR20150046739A (en) Gate valve apparatus and plasma processing apparatus
KR101627698B1 (en) Appratus for treating substrate
JP2011202744A (en) Vacuum seal structure, vacuum seal method, and vacuum device
JP7361588B2 (en) Edge ring and substrate processing equipment
US20110039414A1 (en) Plasma processing method and plasma processing apparatus
KR100683255B1 (en) Plasma processing apparatus and exhausting device
TW202101540A (en) Plasma processing apparatus and plasma processing method
JP2004095725A (en) Plasma processing apparatus
KR101490440B1 (en) System for treatmenting substrate
KR20050116230A (en) Plasma enhanced chemical vapor deposition apparutus
JPH051072Y2 (en)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170526

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 5