KR101321861B1 - Interferometer and method for measuring characteristics of optically unresolved surface features - Google Patents

Interferometer and method for measuring characteristics of optically unresolved surface features Download PDF

Info

Publication number
KR101321861B1
KR101321861B1 KR1020087014372A KR20087014372A KR101321861B1 KR 101321861 B1 KR101321861 B1 KR 101321861B1 KR 1020087014372 A KR1020087014372 A KR 1020087014372A KR 20087014372 A KR20087014372 A KR 20087014372A KR 101321861 B1 KR101321861 B1 KR 101321861B1
Authority
KR
South Korea
Prior art keywords
test object
information
unanalyzed
light
height
Prior art date
Application number
KR1020087014372A
Other languages
Korean (ko)
Other versions
KR20080070856A (en
Inventor
그루트 피터 드
마이클 제이. 다윈
로버트 스토너
그레그 엠. 갈라틴
레가 사비에르 콜론나 디
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/525,355 external-priority patent/US7324214B2/en
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20080070856A publication Critical patent/KR20080070856A/en
Application granted granted Critical
Publication of KR101321861B1 publication Critical patent/KR101321861B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/026Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness by measuring distance between sensor and object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/03Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness by measuring coordinates of points
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/0201Interferometers characterised by controlling or generating intrinsic radiation properties using temporal phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/20Dispersive element for generating dispersion

Abstract

시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하는 단계; 및 상기 비교에 기초하여 상기 분석되지 않은 표면 형상에 관한 정보를 출력하는 단계를 포함하고, 상기 복수의 모델은, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상과 관련된 일련의 특징에 의해 파라미터화 되어 있는, 방법을 개시한다.Comparing the information generateable from the plurality of scanning interferometry signals corresponding to different surface locations of the test object with information corresponding to the plurality of models for the test object; And outputting information about the unanalyzed surface shape based on the comparison, wherein the plurality of models are parameterized by a series of features associated with one or more unanalyzed lateral shapes of the test object. A method is disclosed.

Description

광학적으로 분석되지 않은 표면 형상의 특징을 측정하는 방법 및 간섭계 {INTERFEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES}INTERFEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES}

본 발명은 박막(들), 이종(異種) 물질의 이산 구조(discrete structure), 또는 간섭 현미경의 광학 해상도로 분석되지 않은 이산 구조와 같은, 복합 표면 구조를 갖는 물체의 표면 토포그래피(surface topography) 및/또는 다른 특징을 측정하기 위해 주사 간섭계를 사용하는 것에 관한 것이다. 이러한 측정은 평판 디스플레이 구성요소의 특징화, 반도체 웨이퍼 계측(metrology), 그리고 원 위치(in-situ)에서의 박막 및 이종 물질(dissimilar material) 분석에 관련된 것이다.Surface topography of objects with complex surface structures, such as thin film (s), discrete structures of dissimilar materials, or discrete structures not analyzed by the optical resolution of an interference microscope And / or using a scanning interferometer to measure other features. These measurements relate to the characterization of flat panel display components, semiconductor wafer metrology, and analysis of thin films and dissimilar materials in-situ.

관련출원의 상호 참조Cross reference of related application

본 출원은 35 U.S.C. 119(e)에 의해, "광학적으로 분석되지 않은 표면 형상의 특징을 측정하는 방법 및 간섭계(INTERPEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES)"라는 명칭으로 2005년 11월 15일에 출원된, 미국 가특허출원 제60/737,016호를 기초로 우선권 주장하고, 본 출원은 또한 35 U.S.C.120에 의한 이익을 주장하며, "높이 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링(PROFILING COMPLE SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY)"이라는 명칭으로 2004년 3월 8일에 출원된, 미국 특허출원 제10/795,579호의 일부계속출원이다. 상기한 미국 특허출원 제10/795,579호는 35 U.S.C. 119(e)에 의해, "높이 주사 간섭측정을 이용한 복합 표면 구조의 프로파일링(PROFILING COMPLEX SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY)"이라는 명칭으로 2003년 3월 6일에 출원된, 미국 가특허출원 제60/452,615호; "높이 주사 간섭측정으로부터의 신호를 이용한 복합 표면 구조의 프로파일링(PROFILING COMPLEX SURFACE STRUCTURES USING SIGNALS FROM HEIGHT SCANNING INTERFEROMETRY)"이라는 명칭으로 2003년 3월 6일에 출원된, 미국 가특허출원 제60/452,465호; 및 "간섭 패턴 매칭 템플릿을 이용한 표면 프로파일링(SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE)"이라는 명칭으로 2004년 1월 26일에 출원된, 미국 가특허출원 제60/539,437호를 기초로 우선권을 주장한다. 상기한 관련출원 모두는 참조에 의해 본 명세서에 포함된다.This application claims the benefit of 35 U.S.C. 119 (e), filed Nov. 15, 2005 under the name "INTERPEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES" Priority claims based on U.S. Provisional Patent Application No. 60 / 737,016, and the present application also claims benefit by 35 USC120, "PROFILING COMPLE SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY), filed on March 8, 2004, filed in part of US Patent Application Ser. No. 10 / 795,579. US Patent Application No. 10 / 795,579, supra, discloses 35 U.S.C. U.S. Provisional Patent Application No. 60, filed March 6, 2003, entitled "PROFILING COMPLEX SURFACE STRUCTURES USING HEIGHT SCANNING INTERFEROMETRY" by 119 (e). / 452,615; United States Provisional Patent Application 60 / 452,465, filed March 6, 2003, entitled "PROFILING COMPLEX SURFACE STRUCTURES USING SIGNALS FROM HEIGHT SCANNING INTERFEROMETRY". number; And US Provisional Patent Application No. 60 / 539,437, filed Jan. 26, 2004 entitled "SURFACE PROFILING USING AN INTERFERENCE PATTERN MATCHING TEMPLATE." do. All of the above related applications are incorporated herein by reference.

간섭측정 기술은 물체 표면의 프로파일을 측정하기 위해 보통 사용된다. 그렇게 하기 위해, 간섭계는 기준면으로부터 반사된 기준 등위상면(reference wavefronts)과 대상면(surface of interest)으로부터 반사된 측정 등위상면을 결합하여, 간섭영상(interferogram)을 생성한다. 간섭영상의 프린지(fringe)는 대상면과 기준면 사이의 공간 변화를 나타낸다.Interferometry techniques are commonly used to measure the profile of an object's surface. To do so, the interferometer combines reference wavefronts reflected from the reference plane and measurement isophase planes reflected from the surface of interest to produce an interferogram. The fringe of the interference image represents the spatial change between the object plane and the reference plane.

전형적으로, 주사 간섭계는 간섭 등위상면의 코히어런스 길이(coherence length)와 유사하거나 그보다 넓은 범위에 걸쳐 간섭계의 기준 구간(reference leg)과 측정 구간(measurement leg) 사이의 광 경로 길이차(optical path length difference, OPD)를 조사하여, 간섭영상을 측정하는데 사용된 각각의 카메라 픽셀에 대해 주사 간섭측정 신호를 생성한다. 예를 들어, 백색 광원을 사용하여 제한된 코히어런스 길이를 생성할 수 있는데, 이것은 주사 백색광 간섭측정법(scanning white light interferometry, SWLI)이라고 한다. 전형적인 주사 백색광 간섭측정(SWLI) 신호는 0(영, zero) 광 경로 길이차(OPD) 위치 가까이에 국부화(localized)된 소수의 프린지이다. 이 신호는 전형적으로 종모양의 프린지 콘트라스트 포락선(bellshaped fringe-contrast envelope)을 갖는 사인 캐리어 변조("프린지")에 특징이 있다. SWLI 계측학(metrology)의 기초를 이루는 종래의 사상은 표면 프로파일을 측정하기 위해 프린지의 국부화를 사용하는 것이다. Typically, a scanning interferometer has an optical path length between the reference leg and the measurement leg of the interferometer over a range similar to or wider than the coherence length of the interference isophase plane. length difference, OPD), to generate a scanning interferometry signal for each camera pixel used to measure the interfering image. For example, a white light source can be used to generate a limited coherence length, called scanning white light interferometry (SWLI). A typical scanning white light interferometry (SWLI) signal is a small number of fringes localized near the zero optical path length difference (OPD) position. This signal is typically characterized by sinusoidal carrier modulation ("fringe") with a bell shaped fringe-contrast envelope. The conventional idea underlying SWLI metrology is the use of fringe localization to measure surface profiles.

SWLI 처리 기술은 두 가지 원리 경향(principle trend)을 포함한다. 첫 번째 접근법은, 포락선의 최고점(peak) 또는 중앙을, 하나의 빔은 물체 표면으로부터 반사하는 것인 두 개의 빔 간섭계의 0 광 경로 길이차(OPD)에 대응하는 위치로 가정하여 배치하는 것이다. 두 번째 접근법은 필연적으로 직선 기울기가 물체 위치에 직접 비례하는 것으로 가정하여 신호를 주파수 도메인으로 변환하고 파장에 따른 위상의 변화율을 계산하는 것이다. 예를 들어, 피터 드 그루트(Peter de Groot)의 미국특허 제5,398,113호를 참조하기 바란다. 이 두 번째 접근법을 주파수 도메인 분석법(Frequency Domain Analysis, FDA)이라고 한다. SWLI processing technology includes two principal trends. The first approach is to place the peak or center of the envelope assuming a position corresponding to the zero optical path length difference (OPD) of two beam interferometers, where one beam reflects off the object surface. The second approach inevitably assumes that the linear slope is directly proportional to the position of the object, converting the signal into the frequency domain and calculating the rate of change of phase with respect to the wavelength. See, for example, US Pat. No. 5,398,113 to Peter de Groot. This second approach is called frequency domain analysis (FDA).

유감스럽게도, 이러한 가정은, 박막 상부면과 그 아래의 막/기판 계면에 의한 반사로 인해, 박막을 가지는 시험 물체에 적용될 때 깨질 수 있다. 최근에 이 러한 구조에 대한 방법이 에스. 더블유. 킴(S. W. Kim) 및 지. 에이치. 킴(G. H. Kim)의 미국특허 제6,545,763호에 개시되었다. 이 방법은 박막 구조에 대한 SWLI 신호의 주파수 도메인 위상 프로파일을 다양한 막 두께와 면 높이에 대한 추정된 주파수 도메인 위상 프로파일에 맞춘다. 동시 최적화법(simultaneous optimization)으로 보정 막 두께와 면 높이를 결정하였다.Unfortunately, this assumption can be broken when applied to a test object having a thin film due to reflection by the thin film top surface and the film / substrate interface below it. Recently, there has been a method for this structure. W. S. W. Kim and G. H. U.S. Patent No. 6,545,763 to G. H. Kim. This method fits the frequency domain phase profile of the SWLI signal for the thin film structure to the estimated frequency domain phase profile for various film thicknesses and plane heights. Simultaneous optimization was used to determine calibrated film thickness and face height.

복합 표면 구조, 예를 들어 패터닝된 반도체 웨이퍼는 mm에서 수십 nm까지 다양한 크기의 이종 물질(dissimilar material)의 형상(feature)들로 이루어질 수 있다. 표면 토포그래피를 정량적으로 측정하는 것은 현재 특정한 반도체 산업계를 포함하여, 몇몇 산업계에서 매우 중요한 것이다. 일반적인 칩 형상은 크기가 작기 때문에, 이들을 측정하는데 사용되는 장비는 일반적으로 칩 표면의 수평 방향 및 수직 방향 모두에 대해 높은 공간 해상도를 가져야한다. 엔지니어 및 과학자는 공정을 제어하고, 제조 과정, 특히 에칭, 연마, 세정, 및 패터닝과 같은 공정의 결과로서 발생할 수 있는 결함을 검출하기 위해, 표면 토포그래피 측정 시스템을 사용한다.Composite surface structures, for example patterned semiconductor wafers, may be comprised of features of dissimilar materials of various sizes ranging from mm to tens of nm. Quantitative measurement of surface topography is now very important in some industries, including certain semiconductor industries. Since typical chip shapes are small in size, the equipment used to measure them should generally have high spatial resolution for both the horizontal and vertical directions of the chip surface. Engineers and scientists use surface topography measurement systems to control the process and to detect defects that may occur as a result of manufacturing processes, especially processes such as etching, polishing, cleaning, and patterning.

반도체 산업계에서는, 패턴 및 토포그래피 정보를 얻기 위해, 하향식의 임계 치수(top down critical dimension, CD) 주사 전자 현미경(scanning electron microscopy, SEM) 및 원자력 현미경(atomic force microscopy, AFM)과 같은 비광학 계측 기구(non-optical metrology tool)가 널리 사용되고 있다. 이 기술들은 모두, 넓은 면적의 웨이퍼 전체에 대한 데이터 수집하는데에 상당한 시간을 필요로 할 정도로 매우 느린, 수평 해상도를 요구하였다. 원자력 현미경(AFM)이 특히 그 러하다. 하향식의 임계 치수 주사 전자 현미경(CD SEM)은 프로그램할 수 있어, 웨이퍼 세트의 특정한 영역으로부터 데이터를 자동으로 수집할 수는 있지만, 이 특징을 사용하더라도 완전한 웨이퍼 데이터를 수집하는데 필요한 시간은 엄청나다.In the semiconductor industry, non-optical measurements such as top down critical dimensions (CD) scanning electron microscopy (SEM) and atomic force microscopy (AFM) are used to obtain pattern and topography information. Non-optical metrology tools are widely used. All of these techniques required horizontal resolution, which is very slow, requiring considerable time to collect data across a large area of wafers. This is especially true of atomic force microscopy (AFM). Top-down critical dimension scanning electron microscopy (CD SEM) can be programmed to automatically collect data from specific areas of the wafer set, but even with this feature the time required to collect complete wafer data is enormous.

공초점 센서(confocal sensor), 간섭계 센서(interferometeric sensor) 또는 경사 센서(slope sensor)와 같은 종래의 광학 표면 프로파일러(profiler)는, 이러한 문제점 중 일부는 극복하지만, 표면 형상(surface feature)이, 적절히 분석하기에 너무 작거나, 간격이 너무 좁거나, 또는 이 모두에 해당하여 표면 높이 변화가 정확하지 않은 경우에는 일반적으로 사용할 수 없다.Conventional optical surface profilers, such as confocal sensors, interferometeric sensors, or slope sensors, overcome some of these problems, but surface features It is not generally available if it is too small for proper analysis, too narrow a gap, or both, and the surface height change is not accurate.

코히어런스 프로브 현미경, 레이저 레이더 및 수직 주사 간섭계라고도 알려져 있는, 주사 백색광 간섭 현미경(scanning white light interference microscope)은 백색광(또는 더욱 일반적으로는 광대역의 광) 조명의 제한된 코히어런스를 이용하여 표면 프로파일을 측정하여, 이산 표면 형상, 거친 표면 구조, 및 좁은 선의 표면 프로파일링을 보조한다. 주사 백색광 간섭 현미경은 일반적으로 조명 광원의 대략 1 파장으로 제한되는 횡방향 해상도(lateral resolution)를 가진다. 이 주사 백색광 간섭 현미경 중 일부는 막의 두께를 측정하도록 구성될 수 있다.Scanning white light interference microscopes, also known as coherence probe microscopes, laser radars, and vertical scanning interferometers, provide a surface profile using limited coherence of white light (or more generally broadband light) illumination. To aid in discrete surface morphology, rough surface structure, and narrow line surface profiling. Scanning white light interference microscopes generally have a lateral resolution that is limited to approximately one wavelength of the illumination light source. Some of these scanning white light interference microscopes can be configured to measure the thickness of the film.

스캐터로미터(scatterometer)는 산란되거나 회절된 광의 분포를, 명목상의 구조(nominal structure)로부터의 산란 분포 및 회절 분포에 대해 미리 계산된 라이브러리와 대조함으로써 표면 특징(surface characteristic)을 결정한다. 스캐터로미터는, 간섭계에서와 같이, 기준에 대해 표면 프로파일을 직접 측정하지 않는 다. 스캐터로미터는 또한 일반적으로 한정된 세트의 2D 구조만을 가지고 작업한다.Scatterometer determines the surface characteristic by contrasting the distribution of scattered or diffracted light with a library pre-calculated for scattering and diffraction distributions from the nominal structure. The scatterometer does not directly measure the surface profile with respect to the reference, as in an interferometer. Scatterometers also generally work with only a limited set of 2D structures.

공초점 현미경은 한정된 초점 깊이를 사용하여 물체를 수직으로 구획하여, 예를 들면 표면 프로파일을 측정한다.A confocal microscope uses a limited depth of focus to vertically partition an object, for example to measure the surface profile.

노마스키 현미경(Nomarski microscopy)과 다른 미분 기술은 표면 높이들을 서로 비교함으로써 표면 높이의 차를 측정한다.Nomarski microscopy and other differential techniques measure the difference in surface height by comparing the surface heights with each other.

타원편광 측정기(ellipsometer, 엘립소미터)는 프레넬 반사 계수와 높은 입사각의 편광을 사용하여 물체의 이종 물질 구조 및 박막을 측정한다. 일반적으로, 중요한(관심 대상) 형상(feature of interest)은 소스 파장과 크게 비교되고, 타원편광 측정기는 표면 프로파일 정보를 제공하지 않는다.Ellipsometers use Fresnel reflection coefficients and high incident angle polarization to measure heterogeneous material structures and thin films. In general, the feature of interest is greatly compared to the source wavelength, and the ellipsometer does not provide surface profile information.

본 발명자들은, 주사 간섭측정 신호 내에는 정보가 풍부한데, 그 중 많은 것이 종래의 처리에 있어 무시된다는 것을 알았다. 그런데 박막 또는 분석되지 않은 (under-resolved) 표면 형상(즉, 간섭 현미경의 공간 해상도보다 작은, 횡방향 표면 형상)과 같은 복합 표면 구조는, 프린지 콘트라스트 포락선의 최고점 위치를 식별하거나 또는 주파수 도메인 위상 프로파일에 대한 기울기를 계산하는 것에 기초한 종래의 처리 기술에 오류를 일으킬 수 있지만, 여기에 개시된 새로운 처리 기술은 면 높이 정보 및/또는 복합 면 구조에 대한 정보를 추출할 수 있다.The inventors found that the scan interferometric signal is rich in information, many of which are ignored in conventional processing. However, complex surface structures, such as thin films or under-resolved surface shapes (i.e., transverse surface shapes smaller than the spatial resolution of an interference microscope), can be used to identify peak positions of fringe contrast envelopes or to determine frequency domain phase profiles. While errors can occur in conventional processing techniques based on calculating the slope for, the new processing techniques disclosed herein can extract surface height information and / or information about composite face structures.

예를 들어, 면 높이 정보가 프린지 콘트라스트 포락선의 최고점과 직접적으로 관련된다고 가정하지 않더라도, 본 발명의 몇몇 실시예는 면 높이의 변화를 기준 주사 위치에 대한 주사 간섭측정 신호로 변화시키지만, 그렇지 않으면 주사 간섭측정 신호의 형상을 보존한다. 따라서, 주사 간섭측정 신호의 형상은 면 높이와 관계없기(독립적) 때문에 복합 표면 구조를 특징짓는 데 특히 유용하다. 유사하게, 주파수 도메인에서, 몇몇 실시예는 주파수 도메인 프로파일 그 자체가 선형이 아닐 수 있더라도 주파수 도메인 위상 프로파일에서 선형 항을 도입하는 면 높이의 변화를 가정한다. 그러나, 면 높이의 변화는 주파수 도메인 진폭 프로파일을 변화시키지 않은 채로 둔다. 따라서, 주파수 도메인 진폭 프로파일은 복합 표면 구조를 특징화 하는 데 특히 유용하다.For example, although it is not assumed that plane height information is directly related to the peak of the fringe contrast envelope, some embodiments of the present invention change the plane height change to a scan interferometry signal for a reference scan location, but otherwise scan Preserve the shape of the interferometry signal. Thus, the shape of the scan interferometry signal is particularly useful for characterizing composite surface structures because it is independent of plane height (independent). Similarly, in the frequency domain, some embodiments assume a change in plane height that introduces a linear term in the frequency domain phase profile, although the frequency domain profile itself may not be linear. However, the change in plane height leaves the frequency domain amplitude profile unchanged. Thus, the frequency domain amplitude profile is particularly useful for characterizing composite surface structures.

복합 표면 구조를 특징화한 이후에, 면 높이를 효율적으로 결정할 수 있다. 예를 들어, 주사 간섭측정 신호와 복합 표면 구조에 대응하는 형상을 갖는 모델 신호 사이의 교차 상관(cross-correlation)은 면 높이에 대응하는 주사 좌표에서 최고점을 생성할 수 있다. 마찬가지로, 주파수 도메인에서, 복합 표면 구조에 기인한 위상 기여분(phase contribution)은 주파수 도메인 위상 파일로부터 감산될 수 있으며, 면 높이는 종래의 FDA 분석법을 사용하여 추출할 수 있다. After characterizing the composite surface structure, the plane height can be determined efficiently. For example, cross-correlation between a scan interferometry signal and a model signal having a shape corresponding to the composite surface structure may produce a peak in scan coordinates corresponding to the plane height. Likewise, in the frequency domain, the phase contribution due to the composite surface structure can be subtracted from the frequency domain phase file, and the plane height can be extracted using conventional FDA analysis.

복합 표면 구조의 예는 단순한 박막(이 경우에, 예를 들어 중요한 가변 파라미터는 막 두께, 막의 굴절률, 기판의 굴절률 또는 이들의 임의의 조합일 수 있다); 다층 박막; 회절이나 아니면 복합 간섭 효과를 발생시키는 예리한 에지 및 표면 형상; 분석되지 않은 표면 거칠기; 예를 들어, 다른 매끈한 표면 상의 서브 파장 폭 그루브(sub-wavelength width groove)와 같은 분석되지 않은 표면 형상; 이종 물질(예를 들어, 표면이 박막과 고체 금속의 조합을 포함할 수 있고, 이 경우에 라이브러리는 양쪽의 표면 구조 형태를 포함할 수 있고 대응하는 주파수 도메인 스펙트럼을 대조하여 막 또는 고체 금속을 자동으로 식별할 수 있다); 형광 발광과 같은 광 활동의 근원이 되는 표면 구조; 컬러 및 파장 의존 반사율(reflectivity)과 같은 분광 특성(spectroscopic property); 표면의 편광 의존 특성; 및 간섭 신호의 섭동(perturbation)을 초래하는, 형 가능한 표면 형상 또는 표면의 편향이나 진동이나 움직임을 포함한다.Examples of composite surface structures include simple thin films (in this case, for example, an important variable parameter may be the film thickness, the refractive index of the film, the refractive index of the substrate, or any combination thereof); Multilayer thin film; Sharp edges and surface shapes that produce diffraction or otherwise complex interference effects; Unanalyzed surface roughness; Unresolved surface features such as, for example, sub-wavelength width grooves on other smooth surfaces; Heterogeneous materials (e.g., the surface may comprise a combination of thin film and solid metal, in which case the library may comprise both surface structure forms and automatically match the membrane or solid metal by matching the corresponding frequency domain spectrum). Can be identified); Surface structures that are the source of light activity, such as fluorescence; Spectroscopic properties such as color and wavelength dependent reflectivity; Polarization dependent properties of the surface; And deflectable surface shapes or surface deflections, vibrations or movements that result in perturbation of the interference signal.

몇몇 실시예에서, 주사 간섭측정 신호를 생성하기 위해 사용된 광의 제한된 코히어런스 길이는 백색 광원 또는 더욱 일반적으로는 광대역 광원에 기초한다. 다른 실시예에서, 광원은 단색성일 수 있고, 제한된 코히어런스 길이는 시험 물체로 향하는 광 및/또는 시험 물체로부터 수광한 광에 대해 높은 개구수(numerical aperture, NA)를 사용한 결과에 기인할 수 있다. 높은 NA는 광선이 각도 범위 이상으로 시험 표면에 접촉하도록 하고, OPD가 주사될 때 기록된 신호에 있어 상이한 공간 주파수 성분을 생성한다. 또 다른 실시예에서, 제한된 코히어런스는 두 가지 효과 모두의 조합에 기인할 수 있다.In some embodiments, the limited coherence length of the light used to generate the scan interferometry signal is based on a white light source or more generally a broadband light source. In other embodiments, the light source may be monochromatic, and the limited coherence length may be due to the use of high numerical apertures (NAs) for light directed to the test object and / or light received from the test object. have. High NA causes the light rays to contact the test surface over an angular range and produce different spatial frequency components in the recorded signal when the OPD is scanned. In another embodiment, the limited coherence may be due to the combination of both effects.

제한된 코히런스 길이의 기원은 또한 주사 간섭측정 신호에 정보가 존재하기 위한 물리적인 근거이다. 구체적으로, 주사 간섭측정 신호는 많은 상이한 파장 및/또는 많은 상이한 각도로 시험 표면에 접촉하는 광선에 의해 생성되기 때문에, 복합 표면 구조에 대한 정보를 포함한다.The origin of the limited coherence length is also the physical basis for the information present in the scan interferometry signal. Specifically, the scan interferometry signal contains information about the composite surface structure since it is generated by light rays that contact the test surface at many different wavelengths and / or many different angles.

여기에 기술한 처리 기술에서는, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호(주사 간섭측정 신호 그 자체를 포함)로부터 생성 가능한 정보를, 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교한다. 복수의 모델은 시험 물체의 일련의 특징에 의해 파라미터화 된다. 예를 들어, 시험 물체는 박막으로서 성형될 수 있으며, 일련의 특징은 박막의 두께에 대한 일련의 값일 수 있다. 비교되는 정보는 예를 들어 주파수 도메인 위상 프로파일에 관한 정보를 포함할 수 있는 한편, 주사 간섭측정 데이터의 형상에 관한 정보 및/또는 주파수 도메인 진폭 프로파일에 관한 정보를 포함할 수도 있다. 또한 제1 표면 위치에서의 표면 높이가 아니라 복합 표면 구조에 비교를 집중하기 위해, 복수의 모델은 모두 제1 표면 위치에서 시험 물체의 고정된 표면 높이에 대응할 수 있다. 비교 자체는 실제 주사 간섭측정 신호로부터의 정보와 각각의 모델로부터의 정보 사이의 유사성을 나타내는 메리트 함수(merit function)의 계산에 기초할 수 있다. 예를 들어, 메리트 함수는 주사 간섭측정 데이터로부터 생성 가능한 정보와 일련의 특징에 의해 파라미터화된 함수 사이의 적합성(fit)을 나타낼 수 있다.In the processing technique described herein, information that can be generated from a scanning interferometry signal (including the scanning interferometry signal itself) for the first surface position of the test object is compared with information corresponding to a plurality of models for the test object. do. Multiple models are parameterized by a series of features of the test object. For example, the test object may be shaped as a thin film, and the set of features may be a set of values for the thickness of the thin film. The information compared may include, for example, information about the frequency domain phase profile, while it may include information about the shape of the scan interferometric data and / or information about the frequency domain amplitude profile. Also, in order to focus the comparison on the composite surface structure rather than the surface height at the first surface location, the plurality of models may all correspond to a fixed surface height of the test object at the first surface location. The comparison itself may be based on the calculation of a merit function indicating the similarity between the information from the actual scan interferometry signal and the information from each model. For example, the merit function may represent a fit between information that can be generated from the scan interferometric data and a function parameterized by a series of features.

또한, 몇몇 실시예에서, 예를 들어 제1 표면 위치의 계면 신호에 기여하는 회절성의 표면 구조를 포함하는, 일련의 특징은 제1 위치와는 다른 제2 위치에서의 시험 물체의 특징에 대응한다. 따라서, 종종 복합 표면 구조를 주사 간섭측정 신호에 대응하는 제1 표면 위치에서의 표면 높이 이외의 다른 어떤 것이라고 하지만, 복합 표면 구조는 주사 간섭측정 신호에 대응하는 제1 표면 위치로부터 떨어져 있는(spaced) 표면 높이 형상에 대응할 수 있다.Further, in some embodiments, a series of features, including, for example, diffractive surface structures that contribute to the interfacial signal at the first surface location, correspond to the features of the test object at a second location different from the first location. . Thus, often the composite surface structure is something other than the surface height at the first surface location corresponding to the scanning interferometric signal, but the composite surface structure is spaced from the first surface location corresponding to the scanning interferometric signal. It may correspond to a surface height shape.

또 다른 실시예에서, 주사 간섭측정 신호로부터 생성 가능한 정보는 제1 위치에 대한 상대적인 표면 높이의 추정치(estimate)이다. 이 정보는, 실제의 표면 높이 프로파일의 상이한 값들을 간섭측정 시스템(interferometry system)으로 측정할 때(복수의 표면 위치에서의 분석되지 않은 형상을 고려함) 제1 표면 위치의 겉보기 높이(apparent height)가 얼마인지를 계산하는 모델들과 비교된다. 이 비교에 기초하여, 예를 들어 실제 측정한 높이와 가장 근사한 겉보기 높이를 생성한 모델에서 사용되는 실제 표면 높이를 선택함으로써, 표면 높이 측정의 정확도를 향상시킨다. In another embodiment, the information that can be generated from the scan interferometric signal is an estimate of the surface height relative to the first location. This information indicates that when measuring different values of the actual surface height profile with an interferometry system (considering the unresolved shape at multiple surface locations), the apparent height of the first surface location is determined. It is compared with models that calculate how much it is. Based on this comparison, the accuracy of the surface height measurement is improved, for example by selecting the actual surface height used in the model that produced the apparent height that is closest to the actual measured height.

더욱 일반적으로는, 복수의 표면 위치(이들 위치에 존재하는 표면 형상의 적어도 일부가 분석되지 않은 것이라고 해도)에서의 주사 간섭측정 신호로부터 정보를 생성할 수 있으며, 이 정보를 분석되지 않은 중요한 형상을 파라미터화 하는 상이한 값들의 함수일 것 같아야 하는 정보를 계산하는 모델들과 비교할 수 있다. 예를 들어, 생성 가능한 정보는 종래의 주사 간섭측정 알고리즘을 사용하여 측정한 관찰된 표면 프로파일에 대응할 수 있다. 분석되지 않은 중요한 형상은 이 표면 프로파일에서 불분명하게 되겠지만, 관찰된 표면 프로파일에 여전히 기여할 것이고, 분석되지 않은 형상에 관한 정보는 관찰된 표면 프로파일을 다른 모델들과 비교함으로써 관찰된 표면 프로파일로부터 추출될 수 있다.More generally, information can be generated from the scanning interferometry signals at a plurality of surface locations (even if at least some of the surface shapes present at these locations have not been analyzed), and the information can be retrieved from an important shape that has not been analyzed. It can be compared with models that compute information that should be a function of different values of parameterization. For example, the generateable information may correspond to the observed surface profile measured using conventional scanning interferometric algorithms. Important shapes that are not analyzed will become obscure in this surface profile, but will still contribute to the observed surface profile, and information about the unanalyzed shape can be extracted from the observed surface profile by comparing the observed surface profile with other models. have.

예를 들어, 분석되지 않은 격자 구조의 개개의 라인은, 복수의 표면 위치에서의 종래의 간섭측정 신호의 처리로부터 관찰된 표면 프로파일에서 불분명하게 될 것이다. 그럼에도 불구하고, 예를 들어, 본 발명자들은, 실제의 격자 구조의 변조 깊이(modulation depth)가 종래의 방식으로 처리된 주사 간섭측정 신호로부터 얻은 표면 프로파일 내의 분명한 공동(collective)의 격자 구조의 표면 높이와 서로 관련될 수 있다는 것을 발견하였다.For example, individual lines of the non-analyzed grating structure will become obscure in the surface profile observed from the processing of conventional interferometric signals at multiple surface locations. Nevertheless, for example, the inventors have found that the surface height of the grating structure of the apparent cavity in the surface profile obtained from the scanning interferometry signal of which the modulation depth of the actual grating structure is processed in a conventional manner. Has been found to correlate with.

공동 격자 구조의 관찰된 표면 높이는 시험 표면의 "겉보기(apparent)" 특성의 일례이다. 다시 말해, 시험 표면은 분석되지 않은 형상들을 포함하기 때문에, 이것은, 시험 표면이 측정 장비에 어떻게 나타나는지와 관련된 특성의 일례이다. 이러한 겉보기 특성(apparent property)들은, 시험 표면의 분석되지 않은 형상을 특징화하는 상이한 값들에 의해 파라미터화함으로써, 측정 장비의 기대 응답(expected response)을 상이한 모델들과 비교할 수 있다. 이 비교는 상이한 값들 중 어느 것이 관찰된 응답에 가장 근사한 기대 응답을 생성하는지를 밝힘으로써, 주사 간섭측정 신호(들)로부터 생성된 겉보기 특성에 기초하여, 시험 대상의 분석되지 않은 형상에 관한 정보를 제공할 수 있다. The observed surface height of the cavity lattice structure is an example of the "apparent" property of the test surface. In other words, because the test surface includes shapes that have not been analyzed, this is an example of a characteristic related to how the test surface appears in the measurement equipment. These apparent properties can be compared with different models by expecting the expected response of the measuring instrument by parameterizing with different values characterizing the unanalyzed shape of the test surface. This comparison reveals which of the different values produces the expected response that is closest to the observed response, thereby providing information about the unanalyzed shape of the test subject based on the apparent characteristics generated from the scan interferometric signal (s). can do.

여기에 기술한 측정 기술 및 측정 후의 분석 방법은 몇몇 반도체 공정 단계에 적용 가능하다. 광 근접 보정(optical proximity correction) 및 위상 시프트 마스크의 사용에 의해, 패터닝된 물체의 치수는 광학 리소그래피 기구에 의해 사용되는 파장보다 작을 수 있다. 예를 들어, 오늘날의 대량 제조 설비에 있어 193nm 리소그래피 기구는 물체를 65nm로 패터닝하며; 에칭 바이어스 단계 및 하드 마스크 구조의 사용은 하한을 45nm 이하까지로 할 수 있다. 서브 파장(sub wavelength)의 형상을 인쇄하는 능력의 사용은 이러한 형상과, 관련된 에칭 및 증착 단계의 감시를 필요로 하게 된다. 개시된 실시예들은 네스트형(nested)의 패턴닝된 구조의 측정을 가능하게 하며, 여기서 네스트형은 구조의 횡방향 치수와 비슷한 주기성(periodicity)을 가지는 알려진 형상의 표면 구조가 반복되는 것으로 규정된다. 특히, 이 네트스형 구조는 다음의 공정 단계를 감시하는데 사용될 수 있다: 아이솔레이션(isolation) 패터닝 및 에칭, 폴리실리콘 게이트 전극 패터닝 및 에칭, 소스/드레인 에칭 및 증착, 그리고 복수의 프론트 엔드 금속배선(front end metalization)의 패터닝, 에칭, 및 연마 공정. 추가적인 애플리케이션은 일부 막/기판 위의 레지스트의 측정을 포함한다. 노광 및 초점 곡선은 본 발명의 장치 및 방법으로 측정 가능한 라인 폭 및 라인 깊이의 변화에 특징이 있다.The measurement techniques and post-measurement analytical methods described herein are applicable to several semiconductor processing steps. By the use of optical proximity correction and a phase shift mask, the dimension of the patterned object may be smaller than the wavelength used by the optical lithography instrument. For example, in today's high volume manufacturing equipment, a 193 nm lithographic apparatus patterns an object at 65 nm; The use of the etching bias step and the hard mask structure can make the lower limit to 45 nm or less. The use of the ability to print shapes of sub wavelengths requires monitoring of these shapes and the associated etching and deposition steps. The disclosed embodiments allow the measurement of nested patterned structures, where the nested form is defined as a repeating surface structure of known shape with periodicity similar to the transverse dimension of the structure. In particular, this netted structure can be used to monitor the following process steps: isolation patterning and etching, polysilicon gate electrode patterning and etching, source / drain etching and deposition, and a plurality of front end metallizations (front). end metalization) patterning, etching, and polishing processes. Additional applications include the measurement of resist on some films / substrates. Exposure and focus curves are characterized by changes in line width and line depth that can be measured with the apparatus and method of the present invention.

반도체 칩의 이러한 공정중(in-process) 계측 측정(metrology measurement)의 일례는, 웨이퍼 상의 유전체층의 화학 기계적 연마(chemical mechanical polishing, CMP) 중에 반도체 웨이퍼의 비접촉 표면 토포그래피 측정에 주사 간섭계 측정의 사용하는 것을 포함한다. CMP는 유전체층의 표면을 매끄럽게 만들기 위해 사용되며, 정밀 광학 리소그래피에 적합하다. 간섭 토포그래피(interferometric topography)의 결과에 기초하여, CMP의 공정 조건(예를 들어, 패드 압력, 연마 슬러리 구성 등)은 표면 비균일성(non-uniformities)을 용인할 수 있는 한도 내로 유지하기 위해 조정될 수 있다.One example of such in-process metrology measurement of semiconductor chips is the use of scanning interferometer measurements for non-contact surface topography measurements of semiconductor wafers during chemical mechanical polishing (CMP) of dielectric layers on the wafer. It involves doing. CMP is used to smooth the surface of dielectric layers and is suitable for precision optical lithography. Based on the results of the interferometric topography, the process conditions of the CMP (eg, pad pressure, polishing slurry composition, etc.) to maintain surface non-uniformities within acceptable limits. Can be adjusted.

이제, 본 발명의 다양한 관점 및 특징을 개괄한다.The various aspects and features of the present invention are now outlined.

일반적으로, 하나의 관점에서, (i) 시험 물체의 상이한 표면 위치들에 대응하는 복수의 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하는 단계; 및 (ii) 상기 비교에 기초하여 상기 분석되지 않은 표면 형상에 관한 정보를 출력하는 단계를 포함하고, 상기 복수의 모델은 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상에 관련된 일련의 특징에 의해 파라미터화 되어 있는, 방법이 개시된다.In general, in one aspect, (i) comparing information that can be generated from a plurality of interferometric signals corresponding to different surface locations of a test object with information corresponding to a plurality of models for the test object; And (ii) outputting information about the unanalyzed surface shape based on the comparison, wherein the plurality of models are characterized by a series of features related to one or more unanalyzed lateral shapes of the test object. A parameterized method is disclosed.

본 방법의 실시예들은 다음의 특징 중 어느 것이든 포함할 수 있다.Embodiments of the method may include any of the following features.

상기 시험 물체의 상기 하나 이상의 분석되지 않은 횡방향 형상은, 상기 시험 물체 상의 분석되지 않은 패터닝된 횡방향 구조의 피치, 변조 깊이, 및 구성요소 폭(element width) 중 하나 이상에 대응할 수 있다. 예를 들어, 상기 일련의 특징은 상기 변조 깊이에 대한 상이한 값들을 포함할 수 있다. 또, 상기 복수의 모델은, 복수의 간섭측정 신호로부터 생성 가능한 정보의 가능한 결과들을 상기 변조 깊이의 상이한 값들 중에서 대응하는 것에 매핑(mapping)하는 상관 관계로 표현될 수 있으며, 상기 비교하는 단계는 변조 깊이의 상이한 값들 중에서 상기 복수의 간섭측정 신호로부터 생성 가능한 정보와 가장 부합하는 것을 결정하는 단계를 포함 것일 수 있다.The one or more unanalyzed lateral shapes of the test object may correspond to one or more of the pitch, modulation depth, and element width of the unanalyzed patterned lateral structure on the test object. For example, the series of features may include different values for the modulation depth. In addition, the plurality of models may be represented by a correlation that maps possible results of information that can be generated from a plurality of interferometric signals to corresponding ones of the different values of the modulation depth, wherein the comparing comprises modulating And determining among the different values of the depth that best matches information that can be generated from the plurality of interferometric signals.

상기 변조 깊이는 바이어스 오프셋 값에 관하여 표현될 수 있다.The modulation depth can be expressed in terms of the bias offset value.

간섭측정 신호들 중 적어도 일부는, 그 편광이 상기 패터닝된 횡방향 구조의 구성요소들에 대해 배향되는 상기 시험 물체의 조명으로부터 생성될 수 있다. 예를 들어, 상기 편광은 상기 패터닝된 횡방향 구조를 규정하는 개별 구성요소의 길이에 직교하는 방향으로 정렬된 선형 편광일 수 있다(본 명세서에서는 "x 편광"이라고 한다).At least some of the interferometric signals may be generated from illumination of the test object whose polarization is oriented with respect to the components of the patterned transverse structure. For example, the polarization may be linear polarization aligned in a direction orthogonal to the length of the individual components defining the patterned transverse structure (referred to herein as "x polarization").

상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상은 상기 시험 물체의 계단(step)의 위치 및 높이 중 하나 이상일 수 있다. 예를 들어, 상기 일련의 특징은 상기 계단의 위치 또는 높이의 상이한 값들을 포함할 수 있다.The one or more unanalyzed lateral shapes of the test object may be one or more of the position and height of the step of the test object. For example, the series of features may include different values of the position or height of the stairs.

상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 복수의 간섭측정 신호로부터 생성된 상기 시험 물체의 높이 프로파일로부터 추출된 하나 이상의 값을 포함할 수 있으며, 상기 분석되지 않은 표면 형상은 상기 추출된 높이 프로파일 내에서 모호하거나 분명하지 않다. 예를 들어, 상기 시험 물체는, 개별 구성요소가 상기 추출된 높이 프로파일 내에서 모호하거나 분명하지 않은 패터닝된 횡방향 구조를 포함할 수 있다.The information that can be generated from the plurality of interferometric signals may include one or more values extracted from the height profile of the test object generated from the plurality of interferometric signals, wherein the unanalyzed surface shape is the extracted height. Ambiguous or unclear within the profile. For example, the test object may include a patterned transverse structure in which individual components are not ambiguous or apparent in the extracted height profile.

상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 높이 프로파일로부터 추출된 상기 패터닝된 횡방향 구조 내의 분석되지 않은 구성요소들의 집합(collection)의 높이에 대한 값일 수 있다. 상기 분석되지 않은 표면 형상에 관한 정보는, 상기 패터닝된 횡방향 구조의 구성요소의 폭 및 변조 깊이 중 하나 이상에 대응할 수 있다.The information that can be generated from the plurality of interferometric signals may be a value for the height of the collection of unanalyzed components in the patterned transverse structure extracted from the height profile. The information about the unanalyzed surface shape may correspond to one or more of the width and modulation depth of the components of the patterned transverse structure.

상기 간섭측정 신호들에 대한 상이한 표면 위치들은, 상기 추출된 높이 프로파일의 기준 높이 값을 제공하는 상기 시험 물체의 기준부(reference portion)를 포함할 수 있다. 예를 들어, 상기 시험 물체는 에칭되어 상기 패터닝된 구조를 생성할 수 있고, 상기 시험 물체의 기준부는 상기 시험 물체의 에칭되지 않은 것으로 알려진 부분일 수 있다.Different surface locations for the interferometric signals may include a reference portion of the test object that provides a reference height value of the extracted height profile. For example, the test object may be etched to produce the patterned structure, and the reference portion of the test object may be a portion that is known to be unetched of the test object.

상기 높이 프로파일을 결정하는 상기 간섭측정 신호 중 적어도 일부는, 그 편광이 상기 패터닝된 횡방향 구조의 상기 구성요소들에 대해 배향되어 있는, 상기 시험 물체의 조명으로부터 생성될 수 있다. 예를 들어, 상기 편광은 상기 패터닝된 횡방향 구조를 규정하는 상기 개별 구성요소의 길이에 직교하는 방향으로 정렬된 선형 편광일 수 있다(x 편광).At least some of the interferometric signals that determine the height profile may be generated from illumination of the test object, whose polarization is oriented with respect to the components of the patterned transverse structure. For example, the polarization can be linear polarization aligned in a direction orthogonal to the length of the individual component defining the patterned transverse structure (x polarization).

상기 높이 프로파일은 상기 간섭측정 신호의 주파수 도메인 분석으로부터 취득될 수 있다. 다르게는, 상기 높이 프로파일은 각각의 간섭측정 신호에서의 코히어런스 최고점(coherence peak)의 상대적인 위치로부터 취득될 수 있다. 상기 높이 프로파일은 또한 다른 방법을 사용하여 취득될 수도 있다.The height profile may be obtained from frequency domain analysis of the interferometric signal. Alternatively, the height profile can be obtained from the relative position of the coherence peaks in each interferometric signal. The height profile may also be obtained using other methods.

상기 시험 물체의 상기 분석되지 않은 표면 형상은, 400nm보다 작거나, 200nm보다 작거나, 또는 100nm보다 작은 형상 크기를 가질 수 있다.The unanalyzed surface shape of the test object may have a shape size smaller than 400 nm, smaller than 200 nm, or smaller than 100 nm.

상기 모델들은 엄격한 결합파 분석법(rigorous coupled wave analysis, RCWA)을 사용하여 계산적으로 생성될 수 있다.The models can be generated computationally using rigorous coupled wave analysis (RCWA).

상기 모델들은 알려진 특성(property)들을 가지는 시험 물체들로부터 경험적으로 생성될 수 있다.The models can be empirically generated from test objects having known properties.

상기 분석되지 않은 표면 형상에 관한 정보는 사용자에게 출력될 수 있다.Information about the unshaved surface shape may be output to the user.

상기 분석되지 않은 표면 형상에 관한 정보는 반도체 제조용의 자동화된 공정 제어 시스템에 출력될 수 있다.Information about the unanalyzed surface shape can be output to an automated process control system for semiconductor manufacturing.

상기 간섭측정 신호는 주사 간섭측정 신호일 수 있다. 예를 들어, 상기 주사 간섭측정 신호는, 검출기 상에서 기준광과 간섭을 일으키도록 상기 시험 물체로부터 나오는 시험광을 결상하는(imaging) 단계, 및 상기 시험광과 기준광의 간섭 부분들 사이의 상기 공통 소스에서 상기 검출기까지의 광 경로 길이차를 변화시키는 단계에 의해 생성되고, 상기 시험광 및 상기 기준광은 상기 공통 소스로부터 얻을 수 있으며, 상기 주사 간섭측정 신호는 상기 광 경로 길이차가 변화될 때 상기 검출기에 의해 측정되는 간섭 세기에 대응한다. 상기 방법은 상기 주사 간섭측정 신호를 생성하는 단계를 더 포함할 수 있다.The interferometric signal may be a scan interferometric signal. For example, the scanning interferometry signal may image test light from the test object to cause interference with reference light on a detector, and at the common source between the interference portions of the test light and the reference light. Varying the optical path length difference to the detector, wherein the test light and the reference light are obtained from the common source, and the scanning interferometry signal is generated by the detector when the optical path length difference changes. Corresponds to the measured interference intensity. The method may further comprise generating the scan interferometry signal.

이러한 주사 간섭측정 신호는 낮은 코히어런스의 주사 간섭측정 신호일 수 있다. 예를 들어, 상기 시험광 및 상기 기준광은, 상기 시험광 및 상기 기준광의 중심 주파수의 5%보다 큰 스펙트럼 대역폭을 가질 수 있고, 상기 광 경로 길이차는, 상기 주사 간섭측정 신호를 생성하기 위해 상기 스펙트럼 코히어런스 길이보다 넓은 범위에 걸쳐 변화된다.Such a scan interferometry signal may be a low coherence scan interferometry signal. For example, the test light and the reference light may have a spectral bandwidth greater than 5% of the center frequencies of the test light and the reference light, and the optical path length difference is such that the spectrum is generated to generate the scanning interferometry signal. Vary over a wider range than the coherence length.

상기 낮은 코히어런스는 또한 상기 시험 물체 상에 시험광을 전달하여 상기 검출기에 결상하기 위해 사용되는 광학기(optics)는 상기 시험광에 대한 개구수를 0.8보다 크게 규정하도록 할 수 있다. 상기 코히어런스 길이를 줄이기 위해, 상기 공통 소스는 공간적으로 확장된 소스일 수 있다.The low coherence may also allow optics used to deliver test light onto the test object to image the detector so that the numerical aperture for the test light is greater than 0.8. To reduce the coherence length, the common source may be a spatially extended source.

다른 관련된 관점에 있어서, 컴퓨터 내의 프로세서로 하여금, 시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하게 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하는 장치가 개시되며, 상기 복수의 모델은, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상에 관련되는 일련의 특징에 의해 파라미터화 되어 있고, 상기 비교에 기초하여 상기 분석되지 않은 표면 형상에 관한 정보를 출력한다. In another related aspect, a processor in a computer may be configured to compare information generated from a plurality of scanning interferometry signals corresponding to different surface locations of a test object with information corresponding to a plurality of models for the test object. Apparatus comprising a computer readable medium having a program is disclosed, wherein the plurality of models are parameterized by a series of features related to one or more unanalyzed lateral shapes of the test object, the comparison Outputs information about the unanalyzed surface shape based on.

또 다른 관점에 있어서, (i) 시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호를 생성하도록 구성된 주사 간섭측정 시스템; 및 (ii) 상기 주사 간섭측정 신호를 수신하도록 상기 주사 간섭측정 시스템에 연결되고, 상기 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하도록 프로그램된 전자 프로세서를 포함하는 장치가 개시되며, 상기 복수의 모델은 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상과 관련된 일련의 특징에 의해 파라미터화 되어 있고, 상기 비교에 기초하여 상기 분석되지 않은 횡방향 표면 형상에 관한 정보를 출력한다.In yet another aspect, (i) a scanning interferometry system configured to generate a plurality of scanning interferometry signals corresponding to different surface locations of a test object; And (ii) coupled to the scanning interferometry system to receive the scanning interferometry signal, and programmed to compare information generated from the plurality of scanning interferometry signals with information corresponding to a plurality of models for the test object. An apparatus comprising an electronic processor is disclosed, wherein the plurality of models are parameterized by a series of features associated with one or more unanalyzed lateral shapes of the test object, and the unanalyzed lateral direction based on the comparison. Outputs information about the surface shape.

상기 두 장치의 실시예들은 대응하는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.Embodiments of both devices may include any of the features described above in connection with the corresponding method.

다른 관점에 있어서, 시험 물체 상의 격자 구조에 대한 하나 이상의 공간 특성(spatial peroperty)을 결정하는 방법이 개시되며, 상기 격자 구조는 간섭 현미경(interference microscpe)에 의해 완전히 분석되지 않은 400nm보다 가는 폭의 라인 구성요소들을 포함한다. 상기 방법은, (i) 상기 간섭 현미경에 의해 측정된 상기 시험 물체의 상이한 위치들에서의 간섭 신호들로부터 격자 라인들 중 적어도 일부를 수집하기 위해 겉보기 높이(apparent height)를 결정하는 단계; (ii) 상기 격자 구조의 특성들의 상이한 가능한 값(possible value)들에 대해 상기 간섭 현미경의 기대 응답을 제공하는 단계로서, 상기 기대 응답은 상기 격자 구조의 분석되지 않은 라인 구성요소들의 기여분을 포함하는, 상기 기대 응답을 제공하는 단계; (iii) 상기 겉보기 높이를 상기 상이한 가능한 값들의 기대 응답과 비교하여 격자 구조의 상기 공간 특성에 관한 정보를 결정하는 단계; 및 (iv) 상기 격자 구조의 상기 공간 특성에 관한 상기 결정된 정보를 출력하는 단계를 포함한다.In another aspect, a method of determining one or more spatial peroperties for a grating structure on a test object is disclosed, wherein the grating structure is a line of width narrower than 400 nm that is not fully analyzed by an interference microscpe. Contains components. The method includes (i) determining an apparent height to collect at least some of the grating lines from interference signals at different locations of the test object measured by the interference microscope; (ii) providing an expected response of the interference microscope for different possible values of the properties of the grating structure, wherein the expected response includes contributions of unanalyzed line components of the grating structure. Providing the expected response; (iii) comparing the apparent height with an expected response of the different possible values to determine information about the spatial characteristics of a lattice structure; And (iv) outputting the determined information about the spatial characteristics of the lattice structure.

상기한 특징들 외에, 본 방법의 실시예들은 다음의 특징 중 어느 것이든 포함할 수 있다.In addition to the above features, embodiments of the method may include any of the following features.

상기 겉보기 높이는 상기 시험 물체의 기준부를 참조하여 결정될 수 있다.The apparent height may be determined with reference to the reference portion of the test object.

상기 간섭 현미경은, 상기 겉보기 높이를 결정할 때, 각각의 상기 격자 라인의 길이에 직교하는 방향으로 편광된 광(x 편광)으로 상기 격자 구조를 조명할 수 있다.The interference microscope can illuminate the grating structure with polarized light (x polarization) in a direction orthogonal to the length of each grating line when determining the apparent height.

상기 격자 구조의 상기 공간 특성에 관한 상기 결정된 정보는 상기 격자 구조의 변조 깊이에 대응할 수 있다.The determined information about the spatial characteristics of the grating structure may correspond to the modulation depth of the grating structure.

상기 격자 구조는, 상기 시험 물체의 상기 라인들 사이의 부분들을 에칭함으로써 적어도 부분적으로 형성되는 주기적으로 간격을 둔 일련의 라인들일 수 있다. The grating structure may be a series of periodically spaced lines formed at least in part by etching portions between the lines of the test object.

상기 간섭 신호는, 검출기 상에서 기준광과 간섭을 일으키도록 상기 시험 물체로부터 나오는 시험광을 결상하는(imaging) 단계, 및 상기 시험광과 간섭광의 간섭 부분들 사이의 상기 공통 소스에서 상기 검출기까지의 광 경로 길이차를 변화시키는 단계에 의해 생성되는 주사 간섭 신호일 수 있으며, 상기 시험광 및 상기 기준광은 상기 공통 소스로부터 생성되며, 각각의 주사 간섭측정 신호는 상기 광 경로 길이차가 변화될 때 상기 검출기에 의해 측정된 간섭 세기에 대응한다. 상기 방법은 상기 주사 간섭측정 신호를 생성하는 단계를 더 포함할 수 있다. 예를 들어, 상기 광 경로 길이차는 상기 간섭 현미경의 코히어런스 길이보다 넓은 범위에 걸쳐 변화될 수 있다.The interference signal is configured to image test light coming from the test object to cause interference with reference light on a detector, and an optical path from the common source to the detector between the interference portions of the test light and the interference light. Scan interference signals generated by varying the length difference, wherein the test light and the reference light are generated from the common source, and each scan interferometry signal is measured by the detector when the optical path length difference is changed. Corresponding interference intensity. The method may further comprise generating the scan interferometry signal. For example, the optical path length difference may vary over a wider range than the coherence length of the interference microscope.

관련된 관점에 있어서, 시험 물체 상의 격자 구조에 대한 하나 이상의 공간 특성(spatial peroperty)을 결정하는 장치가 개시되며, 상기 격자 구조는 간섭 현미경(interference microscpe)에 의해 완전히 분석되지 않은 400nm보다 가는 폭의 라인 구성요소들을 포함하고, 상기 장치는, 1) 상기 간섭 현미경에 의해, 상기 시험 물체의 상이한 위치들에서 측정된 간섭 신호들로부터 격자 라인들 중 적어도 일부를 수집하기 위해 겉보기 높이를 결정하고; 2) 상기 격자 구조의 특성들의 상이한 가능한 값들에 대해 상기 간섭 현미경의 기대 응답을 제공하며, 상기 기대 응답은 상기 격자 구조의 분석되지 않은 라인 구성요소들의 기여분을 포함하고, 3) 상기 겉보기 높이를 상기 상이한 가능한 값들의 기대 응답과 비교하여 상기 격자 구조의 상기 공간 특성에 관한 정보를 결정하며; 4) 상기 격자 구조의 상기 공간 특성에 관한 상기 결정된 정보를 출력한다.In a related aspect, an apparatus for determining one or more spatial peroperties for a lattice structure on a test object is disclosed, wherein the lattice structure is a line of width narrower than 400 nm that is not fully analyzed by an interference microscpe. Components comprising: 1) determining, by the interference microscope, an apparent height to collect at least some of the grating lines from interference signals measured at different locations of the test object; 2) provide an expected response of the interference microscope for different possible values of the properties of the grating structure, wherein the expected response comprises contributions of the unresolved line components of the grating structure, and 3) the apparent height of the Determine information about the spatial characteristic of the lattice structure compared to the expected response of different possible values; 4) output the determined information about the spatial characteristics of the lattice structure.

다른 관련된 관점에 있어서, 시험 물체 상의 격자 구조에 대한 하나 이상의 공간 특성을 결정하는 장치가 개시되며, 상기 격자 구조는 간섭 현미경에 의해 완전히 분석되지 않는 400nm보다 가는 폭의 라인 구성요소들을 포함하고, 상기 장치는 상기 간섭 현미경; 및 상기 간섭 현미경에 연결되는 전자 프로세서를 포함하며, 상기 전자 프로세서는 1) 상기 간섭 현미경에 의해, 상기 시험 물체의 상이한 위치들에서 측정된 간섭 신호들로부터 적어도 일부의 격자 라인을 수집하기 위해 겉보기 높이를 결정하고; 2) 상기 격자 구조의 특성들의 상이한 가능한 값(possible value)들에 대해 상기 간섭 현미경의 기대 응답을 제공하며, 상기 기대 응답은 상기 격자 구조의 분석되지 않은 라인 구성요소들의 기여분을 포함하고; 3) 상기 겉보기 높이를 상기 상이한 가능한 값들의 기대 응답과 비교하여 격자 구조의 상기 공간 특성에 관한 정보를 결정하며; 4) 상기 격자 구조의 상기 공간 특성에 관한 상기 결정된 정보를 출력하도록 프로그램된다.In another related aspect, an apparatus for determining one or more spatial characteristics for a grating structure on a test object is disclosed, wherein the grating structure includes line components of widths less than 400 nm that are not fully analyzed by an interference microscope, and The apparatus includes the interference microscope; And an electronic processor coupled to the interference microscope, the electronic processor comprising: 1) an apparent height to collect at least some grating lines from the interference signals measured at different locations of the test object by the interference microscope; Determine; 2) provide an expected response of the interference microscope for different possible values of the properties of the grating structure, wherein the expected response includes contributions of unanalyzed line components of the grating structure; 3) compare the apparent height with the expected response of the different possible values to determine information about the spatial characteristics of the lattice structure; 4) is programmed to output the determined information about the spatial characteristics of the lattice structure.

상기 두 장치의 실시예들은 대응하는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.Embodiments of both devices may include any of the features described above in connection with the corresponding method.

다른 관점에 있어서, (i) 간섭측정 시스템에 의해 생성된 간섭측정 신호로부터 시험 표면의 하나 이상의 겉보기 특성을 결정하는 단계; (ii) 상기 간섭측정 신호로부터 결정된 상기 겉보기 특성을, 상기 시험 표면의 하나 이상의 분석되지 않은 형상의 상이한 가능한 값들에 대한 상기 간섭측정 시스템의 기대 응답과 비교하는 단계; (iii) 상기 비교에 기초하여, 상기 시험 표면의 상기 하나 이상의 분석되지 않은 형상에 관한 정보를 출력하는 단계를 포함하는 방법이 개시된다.In another aspect, (i) determining one or more apparent characteristics of a test surface from an interferometric signal generated by an interferometric system; (ii) comparing the apparent characteristic determined from the interferometric signal with an expected response of the interferometric system for different possible values of one or more unanalyzed shapes of the test surface; (iii) based on the comparison, a method comprising outputting information about the one or more unanalyzed shapes of the test surface.

상기한 특징들 외에, 본 방법의 실시예들은 다음의 특징들 중 어느 것이든 포함할 수 있다.In addition to the features described above, embodiments of the method may include any of the following features.

상기 간섭측정 시스템은 주사 간섭측정 시스템일 수 있다.The interferometric system may be a scanning interferometric system.

상기 시험 표면의 겉보기 특성들은 간섭 위상, 간섭 콘트라스트, 및 표면 반사율(reflectivity) 중 어느 것의 변화에 기초하여 상기 간섭측정 신호로부터 결정될 수 있다.The apparent characteristics of the test surface can be determined from the interferometric signal based on a change in any of the interference phase, interference contrast, and surface reflectivity.

상기 기대 응답은 표면 높이 및 표면 구성(composition) 중 하나 이상의 변화에 대해 계산될 수 있다.The expected response can be calculated for one or more changes in surface height and surface composition.

상기 시험 표면은 변조 깊이, 주기성, 및 폭을 가지는 구성요소들을 가지는 패터닝된 구조를 포함할 수 있으며, 상기 기대 응답은 상기 변조 깊이, 상기 주기성 및 구성요소의 폭 중 하나 이상의 변화에 대해 계산될 수 있고, 상기 기대 응답은 상기 변조 깊이의 변화에 대해 계산될 수 있다.The test surface may comprise a patterned structure having components having modulation depth, periodicity, and width, and the expected response may be calculated for a change in one or more of the modulation depth, periodicity, and width of the component. And the expected response can be calculated for the change in modulation depth.

상기 기대 응답에 대해 계산된 겉보기 변조(apparent modulation)와 실제 변조 깊이 사이의 대응 관계(correspondence)는, 실제 변조 깊이의 제1 범위에 걸친 양의 상관(positive correlation)과 실제 변조 깊이의 제2 범위에 걸친 음의 상관(negative correlation)을 포함할 수 있다.The correspondence between apparent modulation and actual modulation depth calculated for the expected response is a positive correlation over a first range of actual modulation depth and a second range of actual modulation depth. It may include negative correlation over.

상기 하나 이상의 분석되지 않은 형상에 관한 정보는 아이솔레이션 패터닝 및 에칭, 폴리실리콘 게이트 전극 패터닝 및 에칭, 소스/드레인 에칭 및 증착, 그리고 금속배선 패터닝, 에칭, 및 연마 공정과 같은, 하나 이상의 반도체 공정 단계를 감시하는데 사용될 수 있다.The information about the one or more unanalyzed shapes may include one or more semiconductor processing steps, such as isolation patterning and etching, polysilicon gate electrode patterning and etching, source / drain etching and deposition, and metallization patterning, etching, and polishing processes. Can be used to monitor.

다른 관련된 관점에 있어서, 컴퓨터 내의 프로세서로 하여금, 1) 간섭측정 시스템에 의해 생성된 간섭측정 신호로부터 시험 표면의 하나 이상의 겉보기 특성을 결정하도록 하고; 2) 상기 간섭측정 신호로부터 결정된 상기 겉보기 특성을, 상기 시험 표면의 하나 이상의 분석되지 않은 형상의 상이한 가능한 값들에 대한 상기 간섭측정 시스템의 기대 응답과 비교하도록 하며; 3) 상기 비교에 기초하여 상기 시험 표면의 상기 하나 이상의 분석되지 않은 형상에 관한 정보를 출력하도록 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하는 장치가 개시된다.In another related aspect, a processor in a computer is configured to: 1) determine one or more apparent characteristics of a test surface from an interferometric signal generated by an interferometric system; 2) compare the apparent characteristic determined from the interferometric signal with an expected response of the interferometric system for different possible values of one or more unanalyzed shapes of the test surface; 3) An apparatus is disclosed that includes a computer readable medium having a program for outputting information about the one or more unanalyzed shapes of the test surface based on the comparison.

다른 관련된 관점에서, 시험 물체의 상이한 표면 위치들에 대응하는 복수의 간섭측정 신호를 생성하도록 구성된 간섭측정 시스템; 및 상기 간섭측정 시스템에 연결되어 상기 간섭측정 신호들을 수신하는 전자 프로세서를 포함하는 장치가 개시되며, 상기 전자 프로세서는 1) 상기 간섭측정 신호들로부터 시험 표면의 하나 이상의 겉보기 특성을 결정하고; 2) 상기 간섭측정 신호들로부터 결정된 상기 겉보기 특성을, 상기 시험 표면의 하나 이상의 분석되지 않은 형상의 상이한 가능한 값들에 대한 상기 간섭측정 시스템의 기대 응답과 비교하며; 3) 상기 비교에 기초하여 상기 시험 표면의 상기 하나 이상의 분석되지 않은 형상에 관한 정보를 출력하도록 프로그램된다.In another related aspect, an interferometric system configured to generate a plurality of interferometric signals corresponding to different surface locations of a test object; And an electronic processor coupled to the interferometric system to receive the interferometric signals, the electronic processor comprising: 1) determining one or more apparent characteristics of a test surface from the interferometric signals; 2) comparing the apparent characteristic determined from the interferometric signals with the expected response of the interferometric system to different possible values of one or more unanalyzed shapes of the test surface; 3) is programmed to output information about the one or more unanalyzed shapes of the test surface based on the comparison.

상기 두 장치의 실시예들은 대응하는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.Embodiments of both devices may include any of the features described above in connection with the corresponding method.

다른 관점에 있어서, (i) 간섭측정 시스템에 의해 생성된 간섭측정 신호(예컨대, 주사 간섭측정 신호)로부터 시험 표면의 하나 이상의 겉보기 특성을 결정하는 단계; (ii) 상기 시험 표면의 상기 특징의 상이한 가능한 값들(예를 들어, 표면 높이 및 표면 구성 중 하나 이상의 변화)에 대한 상기 간섭측정 시스템의 기대 응답을 제공하는 단계로서, 상기 기대 응답은 상기 시험 표면의 분석되지 않은 형상으로부터의 기여분을 포함하는, 상기 제공하는 단계; 및 iii) 상기 간섭측정 신호로부터 결정된 상기 겉보기 특성을, 상기 특성들의 상기 상이한 가능한 값들에 대한 상기 기대 응답과 비교하여 상기 결정된 특성들의 정확도(accuracy)를 향상시키는 단계를 포함하는 방법이 개시된다.In another aspect, (i) determining one or more apparent characteristics of a test surface from an interferometric signal (eg, a scanning interferometric signal) generated by an interferometric system; (ii) providing an expected response of the interferometric system to different possible values of the feature of the test surface (eg, a change in one or more of surface height and surface configuration), wherein the expected response is the test surface. Providing said contribution from the unanalyzed shape of; And iii) comparing the apparent characteristic determined from the interferometric signal with the expected response to the different possible values of the characteristics to improve the accuracy of the determined characteristics.

본 방법의 실시예들은 다음의 특징들 중 어느 것이든 포함할 수 있다.Embodiments of the method may include any of the following features.

상기 시험 표면의 겉보기 특성들은 간섭 위상, 간섭 콘트라스트, 및 표면 반사율 중 어느 것의 변화에 기초하여 상기 간섭측정 신호로부터 결정될 수 있다.The apparent characteristics of the test surface can be determined from the interferometric signal based on a change in any of the interference phase, interference contrast, and surface reflectance.

상기 기대 응답은 표면 높이 및 표면 구성 중 하나 이상의 변화에 대해 계산될 수 있다. 예를 들어, 상기 시험 표면은 변조 깊이, 주기성, 및 폭을 가지는 구성요소들을 가지는 패터닝된 구조(예컨대, 격자)를 포함할 수 있으며, 상기 기대 응답은 상기 변조 깊이, 상기 주기성 및 구성요소의 폭 중 하나 이상의 변화에 대해 계산될 수 있다.The expected response can be calculated for one or more changes in surface height and surface composition. For example, the test surface can include a patterned structure (eg, a grating) having components having modulation depth, periodicity, and width, wherein the expected response is the modulation depth, periodicity, and component width. Can be calculated for one or more changes.

상기 간섭측정 신호에 따른 상기 겉보기 특성과 모델을 생성하는데 사용되는 상기 특성의 실제 값의 일치는, 실제 변조 깊이의 제1 범위에 걸친 양의 상관과 실제 변조 깊이의 제2 범위에 걸치 음의 상관을 포함할 수 있다. 예를 들어, 특정한 실시예에서, 상기 기대 응답은 상기 변조 깊이의 변화들에 대해 계산된다. 이러한 경우, 상기 실제 변조 깊이와 상기 기대 응답에 대해 계산된 겉보기 변조 사이의 대응 관계는 실제 변조 깊이들의 제1 범위에 걸친 양의 상관과 실제 변조 깊이들의 제2 범위에 걸친 음의 상관을 포함할 수 있다.The correspondence of the apparent characteristic with the interferometric signal and the actual value of the characteristic used to generate the model is such that a positive correlation over a first range of actual modulation depth and a negative correlation over a second range of actual modulation depth It may include. For example, in a particular embodiment, the expected response is calculated for changes in the modulation depth. In this case, the correspondence between the actual modulation depth and the apparent modulation calculated for the expected response may include a positive correlation over a first range of actual modulation depths and a negative correlation over a second range of actual modulation depths. Can be.

다른 실시예들에서, 상기 분석되지 않은 형상은 상기 패터닝된 구조 내에서와 같은 일련의 구성요소라기보다는, 단일 트렌치(single trench), 계단(step), 또는 돌기(protrusion)일 수 있다. 이러한 예들에서, 상기 실제의 신호로부터의, 모델들을 위한 정보는 상기 깊이(또는 상기 계단 또는 돌기인 경우에는 높이)와 상기 형상들의 위치 또는 폭 중 어느 것에 대응할 수 있다.In other embodiments, the unanalyzed shape may be a single trench, step, or protrusion, rather than a series of components as in the patterned structure. In such examples, the information for the models from the actual signal may correspond to either the depth (or height in the case of steps or projections) and the location or width of the shapes.

상기 방법은 또한 상기 시험 표면의 상기 측정된 특성들의 향상된 정확도를 사용하여 반도체 공정 단계를 감시하는 단계를 포함할 수 있다. 예를 들어, 이러한 공정 단계는, 아이솔레이션 패터닝 및 에칭, 폴리실리콘 게이트 전극 패터닝 및 에칭, 소스/드레인 에칭 및 증착, 그리고 금속배선 패터닝, 에칭, 및 연마 공정 중 어느 것을 포함할 수 있다.The method may also include monitoring semiconductor processing steps using the improved accuracy of the measured properties of the test surface. For example, such process steps may include any of isolation patterning and etching, polysilicon gate electrode patterning and etching, source / drain etching and deposition, and metallization patterning, etching, and polishing processes.

다른 관점에서, 컴퓨터 내의 프로세서로 하여금, 간섭측정 시스템에 의해 생성된 간섭측정 신호로부터 결정된 시험 물체의 겉보기 특성을, 상기 시험 표면의 상기 특성들의 상이한 가능한 값들에 대한 상기 간섭측정 시스템의 기대 응답과 비교하도록 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하는 장치가 개시되며, 상기 기대 응답은, 상기 시험 표면의 분석되지 않은 형상들로부터의 기여분을 포함하고, 상기 비교에 기초하여 상기 결정된 특성들의 정확도를 향상시킨다.In another aspect, a processor in a computer causes the processor to compare an apparent characteristic of a test object determined from an interferometric signal generated by an interferometric system with an expected response of the interferometric system to different possible values of the characteristics of the test surface. An apparatus is disclosed that includes a computer readable medium having a program for causing the expected response to include contributions from unanalyzed shapes of the test surface, and to determine the accuracy of the determined characteristics based on the comparison. To improve.

또 다른 관점에 있어서, 간섭측정 신호를 생성하도록 구성된 간섭측정 시스템; 및 상기 간섭측정 시스템에 연결되어 상기 간섭측정 신호들을 수신하고, 간섭측정 시스템에 의해 생성된 간섭 신호로부터 결정된, 시험 표면의 겉보기 특성들을 상기 시험 표면의 상이한 가능한 값들에 대한 상기 간섭측정 시스템의 기대 응답과 비교하도록 프로그램된 전자 프로세서를 포함하는 장치가 개시되며, 상기 기대 응답은 상기 시험 표면의 분석되지 않은 형상들로부터의 기여분을 포함하고, 상기 비교에 기초하여 결정된 특성들의 정확도를 향상시킨다.In another aspect, an interferometric system configured to generate an interferometric signal; And the expected response of the interferometric system to different possible values of the test surface, the apparent characteristics of the test surface being determined from the interfering signals generated by the interferometric system, the interferometric signals being connected to the interferometric system. An apparatus is disclosed that includes an electronic processor programmed to compare with and wherein the expected response includes contributions from unanalyzed shapes of the test surface and improves the accuracy of the properties determined based on the comparison.

이러한 장치의 실시예들은 또한 상기한 대응하는 방법과 관련하여 언급한 특징 중 어느 것에 대응하는 특징을 포함할 수 있다. 일반적으로, 다른 관점에서, 본 발명은 시험 물체의 제1 표면 위치의 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하는 단계를 포함하고, 상기 복수의 모델은 상기 시험 물체의 일련의 특징에 의해 파라미터화되는 방법에 특징이 있다.Embodiments of such an apparatus may also include features corresponding to any of the features mentioned in connection with the corresponding method described above. In general, in another aspect, the present invention includes comparing information that can be generated from a scanning interferometry signal at a first surface location of a test object with information corresponding to a plurality of models for the test object, wherein the plurality of The model is characterized by a method in which the model is parameterized by a series of features of the test object.

본 발명의 실시예들은 다음의 특징 중 어느 것이든 포함할 수 있다.Embodiments of the present invention may include any of the following features.

상기 방법은 상기 비교에 기초하여 상기 시험 물체의 정확한 특징을 결정하는 단계를 더 포함할 수 있다.The method may further comprise determining an exact feature of the test object based on the comparison.

상기 방법은 상기 비교에 기초하여 상기 제1 표면 위치의 상대적인 표면 높이를 결정하는 단계를 더 포함할 수 있다. 또, 상기 상대적인 표면 높이를 결정하는 단계는, 상기 비교에 기초하여 어느 모델이 상기 시험 물체의 특징 중 정확한 특징에 대응하는지를 결정하는 단계, 및 상기 상대적인 표면 높이를 계산하기 위해 상기 정확한 특징에 대응하는 모델을 사용하는 단계를 포함할 수 있다.The method may further comprise determining a relative surface height of the first surface location based on the comparison. In addition, determining the relative surface height may include determining which model corresponds to an accurate feature of the feature of the test object based on the comparison, and corresponding to the exact feature to calculate the relative surface height. And using the model.

예를 들어, 상기 정확한 특징에 대응하는 모델을 사용하는 단계는, 상기 정확한 특징에 의한 기여분을 감소시키기 위해 상기 주사 간섭측정 신호로부터의 데이터를 보상하는 단계를 포함할 수 있다. 상기 데이터를 보상하는 단계는, 상기 정확한 특징에 의한 위상 기여분을 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 위상 성분으로부터 제거하는 단계를 포함할 수 있고, 상기 정확한 특징에 대응하는 모델을 사용하는 단계는, 상기 정확한 특징에 의한 상기 위상 기여분을 제거한 후에 상기 변환의 상기 위상 성분으로부터 상기 상대적인 표면 높이를 계산하는 단계를 더 포함할 수 있다.For example, using a model corresponding to the correct feature may include compensating data from the scan interferometry signal to reduce the contribution by the correct feature. Compensating the data may include removing a phase contribution by the exact feature from the phase component of the transformation of the scanning interferometry signal of the test object, using a model corresponding to the exact feature. The step may further comprise calculating the relative surface height from the phase component of the transformation after removing the phase contribution by the exact feature.

다른 예에서, 상기 정확한 특징에 대응하는 모델의 사용하여 상기 상대적인 표면 높이를 계산하는 단계는, 상기 시험 물체의 정보를 상기 정확한 특징에 대응하는 상기 모델의 정보와 비교하는데 사용되는 상관 함수에서의 최고점의 위치를 결정하는 단계를 포함할 수 있다.In another example, calculating the relative surface height using a model corresponding to the correct feature comprises: a peak in a correlation function used to compare the information of the test object with the information of the model corresponding to the correct feature. Determining the position of may include.

상기 방법은, 추가적인 표면 위치에 대한 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 복수의 모델에 대응하는 정보와 비교하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 상기 비교에 기초하여 상기 시험 물체에 대한 표면 높이 프로파일을 결정하는 단계를 더 포함할 수 있다.The method may further comprise comparing information that can be generated from the scanning interferometry signal for additional surface location with information corresponding to the plurality of models. In addition, the method may further comprise determining a surface height profile for the test object based on the comparison.

상기 비교하는 단계는 상기 주사 간섭측정 신호로부터 생성 가능한 상기 정보와 상기 모델 각각에 대응하는 상기 정보 사이의 유사성을 나타내는 하나 이상의 메리트 함수(merit fuction)를 계산하는 단계를 포함할 수 있다.The comparing step may include calculating one or more merit fuctions indicating a similarity between the information that can be generated from the scan interferometry signal and the information corresponding to each of the models.

상기 비교 단계는 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 모델들에 대응하는 상기 정보에 대한 표현(expression)과 맞춰보는(fitting) 단계를 포함할 수 있다.The comparing step may include fitting information generated from the scan interferometry signal with an expression for the information corresponding to the models.

상기 복수의 모델에 대응하는 정보는 상기 시험 물체의 상기 모델 각각에 대응하는 주사 간섭측정 신호의 변환(예컨대, 푸리에 변환)의 적어도 하나의 진폭 성분에 대한 정보를 포함할 수 있다. 마찬가지로, 상기 주사 간섭측정 신호로부터 생성 가능한 상기 정보는 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 적어도 하나의 진폭 성분에 대한 정보를 포함한다.The information corresponding to the plurality of models may include information about at least one amplitude component of a transform (eg, a Fourier transform) of a scanning interferometry signal corresponding to each of the models of the test object. Similarly, the information that can be generated from the scan interferometry signal includes information about at least one amplitude component of the transformation of the scan interferometry signal of the test object.

상기 비교하는 단계는 상기 시험 물체의 상기 적어도 하나의 진폭 성분의 상대적인 세기를 상기 모델 각각의 상기 적어도 하나의 진폭 성분의 상대적인 세기와 비교하는 단계를 포함한다.The comparing step includes comparing the relative intensity of the at least one amplitude component of the test object with the relative intensity of the at least one amplitude component of each of the model.

상기 복수의 모델에 대응하는 상기 정보는 상기 변환에 대한 좌표의 함수일 수 있다. 예를 들어, 상기 복수의 모델에 대응하는 상기 정보는 상기 모델 각각의 상기 변환의 진폭 프로파일을 포함할 수 있다. 또한, 상기 비교하는 단계는 상기 시험 물체의 상기 주사 간섭측정 신호의 변환의 진폭 프로파일을 상기 모델의 진폭 프로파일 각각에 비교하는 단계를 포함할 수 있다.The information corresponding to the plurality of models may be a function of coordinates for the transformation. For example, the information corresponding to the plurality of models may include an amplitude profile of the transform of each of the models. The comparing may also include comparing an amplitude profile of the transformation of the scanning interferometry signal of the test object to each of the amplitude profiles of the model.

상기 비교하는 단계는 또한 상기 시험 물체의 상기 주사 간섭측정 신호의 상기 변환의 위상 프로파일 내의 정보를, 상기 모델 각각의 상기 변환의 위상 프로파일 내의 정보와 비교하는 단계를 포함할 수 있다. 예를 들어, 상기 프로파일 내의 상기 정보는 상기 변환 좌표에 대한 상기 위상 프로파일의 비선형성에 관한 정보 및/또는 위상 갭 값(phase gap value)에 관한 정보를 포함할 수 있다.The comparing may also include comparing information in the phase profile of the transform of the scan interferometry signal of the test object with information in the phase profile of the transform of each of the models. For example, the information in the profile may include information about nonlinearity of the phase profile with respect to the transform coordinates and / or information about a phase gap value.

상기 주사 간섭측정 신호로부터 생성 가능하고 비교되는 정보는 수(number)일 수 있다. 다르게는, 상기 주사 간섭측정 신호로부터 생성 가능하고 비교되는 정보는 함수일 수 있다. 예를 들어, 정보는 주사 위치의 함수 또는 공간 주파수의 함수일 수 있다.Information that can be generated and compared from the scanning interferometry signal can be a number. Alternatively, the information that can be generated and compared from the scan interferometry signal can be a function. For example, the information may be a function of scan position or a function of spatial frequency.

상기 시험 물체에 대한 정보는 상기 시험 물체의 상기 주사 간섭측정 신호를 공간 주파수 도메인으로의 변환(예컨대, 푸리에 변환)으로부터 생성될 수 있다. 상기 시험 물체에 대한 정보는 상기 변환의 진폭 프로파일 및/또는 상기 변환의 위상 프로파일에 관한 정보를 포함할 수 있다.Information about the test object may be generated from the scan interferometry signal of the test object into a spatial frequency domain (eg, a Fourier transform). The information about the test object may include information about the amplitude profile of the transform and / or the phase profile of the transform.

상기 시험 물체에 대한 정보는 제1 위치에서의 상기 시험 물체에 대한 상기 주사 간섭측정 신호의 형상에 관련이 있을 수 있다. 예를 들어, 상기 시험 물체에 대한 정보는 상기 주사 간섭측정 신호의 상기 형상에 있어 프린지 콘트라스트 크기(fringe contrast magnitude)에 관련이 있을 수 있다. 상기 시험 물체에 대한 정보는 또한 상기 주사 간섭측정 신호의 상기 형상에 있어 0 교차(zero-crossings)들 사이의 상대적인 거리에 관련이 있을 수 있다. 상기 시험 물체에 대한 정보는 또한 주사 위치의 함수로서 표현될 수 있으며, 상기 함수는 상기 주사 간섭측정 신호의 상기 형상으로부터 얻는다.The information about the test object may be related to the shape of the scanning interferometry signal for the test object at the first location. For example, the information about the test object may be related to fringe contrast magnitude in the shape of the scan interferometry signal. The information about the test object may also be related to the relative distance between zero-crossings in the shape of the scanning interferometry signal. Information about the test object can also be expressed as a function of scanning position, which function is obtained from the shape of the scanning interferometry signal.

상기 비교하는 단계는 상기 물체에 대한 상기 정보와 상기 모델 각각에 대한 상기 정보 사이의 상관 함수(예컨대, 복소 상관 함수(complex correlation function))를 계산하는 단계를 포함할 수 있다. 상기 비교하는 단계는 각각의 상기 상관 함수에서 하나 이상의 최고점 값을 결정하는 단계를 더 포함할 수 있다. 상기 방법은 그 후 상기 최대 최고점 값(largest peak value)에 대응하는 상기 모델의 파라미터화에 기초하여 상기 시험 물체에 대한 정확한 특징을 결정하는 단계를 더 포함할 수 있다. 이와는 달리 또는 이에 더해, 상기 방법은 상기 상관 함수의 적어도 하나의 최고점 값에 대한 좌표에 기초하여, 상기 제1 표면 위치에서의 상기 시험 물체에 대한 상대적인 표면 높이를 결정하는 단계를 더 포함할 수 있다.The comparing may include calculating a correlation function (eg, a complex correlation function) between the information about the object and the information about each of the models. The comparing may further comprise determining one or more peak values in each of the correlation functions. The method may then further comprise determining an accurate feature for the test object based on parameterization of the model corresponding to the largest peak value. Alternatively or in addition, the method may further comprise determining a relative surface height for the test object at the first surface location based on the coordinates for at least one peak value of the correlation function. .

상기 복수의 모델은 상기 제1 위치에서의 상기 시험 물체의 고정된 표면 높이에 대응할 수 있다.The plurality of models may correspond to a fixed surface height of the test object at the first position.

상기 일련의 특징은 상기 시험 물체에 대한 적어도 하나의 물리적 파라미터에 대한 일련의 값을 포함할 수 있다. 예를 들어, 상기 시험 물체는 두께가 있는 박막층을 포함할 수 있으며, 상기 물리적 파라미터는 제1 위치에서의 상기 박막의 두께일 수 있다.The series of features may comprise a series of values for at least one physical parameter for the test object. For example, the test object may comprise a thin film layer with a thickness, and the physical parameter may be the thickness of the thin film at a first location.

상기 일련의 특징은 상기 제1 표면 위치와 상이한 제2 표면 위치에서의 상기 시험 물체의 일련의 특징을 포함할 수 있다. 예를 들어, 상기 시험 물체는 상기 제1 표면 위치에 대한 상기 주사 간섭측정 신호에 기여하도록 광을 회절시키는 상기 제2 표면 위치에 구조를 포함할 수 있다. 일례에서, 상기 제2 표면 위치에서의 일련의 특징은, 상기 제2 위치에서의 계단높이(step height)와 상기 제2 위치의 지점(position)의 크기의 치환(permutations)을 포함할 수 있다. 다른 예에서, 상기 제2 표면 위치에서의 일련의 특징은, 격자의 변조 깊이와 상기 격자의 오프셋 지점의 치환을 포함할 수 있으며, 상기 격자는 상기 제2 위치를 넘어 확장된다.The series of features may include a series of features of the test object at a second surface location that is different from the first surface location. For example, the test object may include a structure at the second surface location that diffracts light to contribute to the scanning interferometric signal relative to the first surface location. In one example, the series of features at the second surface location may include permutations of the step height at the second location and the magnitude of the position of the second location. In another example, the series of features at the second surface location may include substitution of a modulation depth of the grating and an offset point of the grating, wherein the grating extends beyond the second location.

또한, 상기 간섭측정 신호로부터 생성 가능한 상기 정보는 상기 제1 표면 위치에 대한 상기 상대적인 표면 높이의 추정치에 대응할 수 있다. 예를 들어, 상기 제1 위치에 대한 상대적인 표면 높이의 추정치는 상기 간섭측정 신호의 주파수 도메인 분석에 기초할 수 있거나, 또는 상기 제1 위치에 대한 상대적인 표면 높이의 추정치는 상기 간섭측정 신호 내의 코히어런스 최고점의 상대적인 위치에 기초할 수 있다.Further, the information that can be generated from the interferometric signal may correspond to an estimate of the relative surface height relative to the first surface location. For example, an estimate of the surface height relative to the first location may be based on a frequency domain analysis of the interferometric signal, or an estimate of the surface height relative to the first location is determined by a coherent signal in the interferometric signal. It may be based on the relative position of the highest peak.

상기 방법은, 상기 시험 물체의 상기 제2 표면 위치를 포함하는 하나 이상의 추가적인 표면 위치에 대한 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체의 상기 복수의 모델에 대응하는 정보와 비교하는 단계를 더 포함할 수 있다.The method further comprises comparing the information that can be generated from an interferometric signal for one or more additional surface locations including the second surface location of the test object with information corresponding to the plurality of models of the test object. It may include.

예를 들어, 상기 제1 표면 위치 및 상기 추가적인 표면 위치에 대한 상기 간섭측정 신호로부터 생성 가능한 정보는, 상기 제1 표면 위치 및 상기 추가적인 표면 위치를 포함하는 표면 위치의 범위에 대한 상기 시험 물체의 표면 높이 프로파일에 대응할 수 있다. 또한, 상기 복수의 모델에 대응하는 상기 정보는, 상기 시험 물체의 상기 복수의 모델 각각에 대한 간섭측정 신호를 종래의 처리 방법을 사용하여 처리하는 경우에, 상기 간섭측정 신호를 생성하기 위해 사용되는 간섭측정 시스템에 의해 생성될 것으로 예상되는 표면 높이 프로파일을 포함할 수 있으며, 상기 예상되는 표면 높이 프로파일은, 상기 제1 표면 위치의 간섭 신호가 상기 제2 표면 위치에서의 시험 물체 형상들과 관련된 기여분을 포함하도록 하는 분석되지 않은 형상들로부터의 기여분을 포함한다. 예를 들어, 상기 시험 물체는 상기 제1 표면 위치에 대한 상기 주사 간섭측정 신호에 기여하도록 광을 회절시키는 상기 제2 표면 위치에 구조를 포함할 수 있다. For example, information that can be generated from the interferometric signal for the first surface location and the additional surface location may include a surface of the test object with respect to a range of surface locations including the first surface location and the additional surface location. May correspond to a height profile. Further, the information corresponding to the plurality of models is used to generate the interferometric signal when the interferometric signal for each of the plurality of models of the test object is processed using a conventional processing method. And a surface height profile that is expected to be generated by an interferometric system, wherein the expected surface height profile is such that an interference signal of the first surface location is associated with test object shapes at the second surface location. Include contributions from unanalyzed shapes to include. For example, the test object may include a structure at the second surface location that diffracts light to contribute to the scanning interferometric signal relative to the first surface location.

일례에서, 상기 시험 물체는 상기 제1 표면 위치 및 상기 추가적인 표면 위치에 걸쳐 연장되는 패터닝된 구조를 포함하고, 상기 제1 표면 위치 및 상기 추가적인 표면 위치에 대한 상기 주사 간섭측정 신호로부터 생성 가능한 상기 정보는, 상기 패터닝된 구조의 변조 깊이, 상기 패터닝된 구조의 주기성, 및 상기 패터닝된 구조의 구성요소 각각의 폭 중 적어도 하나에 대한 추정치를 포함한다.In one example, the test object includes a patterned structure extending over the first surface location and the additional surface location, the information that can be generated from the scanning interferometry signal for the first surface location and the additional surface location. Includes an estimate for at least one of the modulation depth of the patterned structure, the periodicity of the patterned structure, and the width of each of the components of the patterned structure.

마찬가지로, 상기 패터닝된 구조의 예로서, 상기 제2 표면 위치에서의 상기 일련의 특징은, 상기 패터닝된 구조의 변조 깊이, 상기 패터닝된 구조의 주기성, 및 상기 제1 표면 위치에서의 상기 패터닝된 구조의 구성요소 각각의 폭 중 적어도 하나 각각에 대한 상이한 값들을 포함할 수 있다.Similarly, as an example of the patterned structure, the series of features at the second surface location include the modulation depth of the patterned structure, the periodicity of the patterned structure, and the patterned structure at the first surface location. It may include different values for each of at least one of the widths of each of the components of.

다른 실시예들에서, 상기 분석되지 않은 형상은 상기 패터닝된 구조 내에서와 같은 일련의 구성요소라기보다는, 단일 트렌치, 계단(step), 또는 돌기일 수 있다. 이러한 예들에서, 상기 실제의 신호로부터의, 모델들을 위한 정보는 상기 깊이(또는 상기 계단 또는 돌기의 경우에는 높이)와 상기 형상들의 위치 또는 폭 중 어느 것에 대응할 수 있다.In other embodiments, the unanalyzed shape may be a single trench, step, or protrusion rather than a series of components as in the patterned structure. In such examples, the information for the models from the actual signal may correspond to either the depth (or height in the case of the steps or projections) and the location or width of the shapes.

상기 간섭측정 신호로부터 생성 가능한 정보는 상기 제1 표면 위치의 상기 상대적인 표면 높이에 대한 추정치에 대응할 수 있고, 상기 제2 표면 위치에서의 상기 일련의 특징은 상기 제2 표면 위치에서의 상대적인 표면 높이에 대한 일련의 값에 대응할 수 있다.The information generateable from the interferometric signal may correspond to an estimate of the relative surface height of the first surface location, and the series of features at the second surface location may be relative to the relative surface height at the second surface location. For a series of values.

예를 들어, 상기 시험 물체는 상기 제1 표면 위치 및 상기 제2 표면 위치에 걸친 패터닝된 구조를 포함할 수 있으며, 상기 제1 표면 위치의 상기 상대적인 표면 높이에 대한 추정치는 상기 패터닝된 구조의 변조 깊이에 대한 추정치에 대응하고, 상기 제2 표면 위치에서의 상기 상대적 표면 위치에 대한 일련의 값은 상기 패터닝된 구조의 상기 변조 깊이에 대응한다. 상기 복수의 모델은 상기 변조 깊이의 상이한 추정치들을 상기 값들 중 대응하는 값에 서로 관련시킬 수 있다.For example, the test object may comprise a patterned structure spanning the first surface location and the second surface location, wherein an estimate of the relative surface height of the first surface location is a modulation of the patterned structure. And a series of values for the relative surface position at the second surface position correspond to the modulation depth of the patterned structure. The plurality of models may correlate different estimates of the modulation depth to corresponding ones of the values.

몇몇 실시예에서, 상기 복수의 모델은 상기 간섭측정 신호로부터 생성 가능한 정보를 상기 복수의 모델에 대한 상기 정보의 대응하는 값에 서로 관련시킬 수 있으며, 상기 대응(correspondence)은 양의 상관에서 음의 상관까지 변화한다.In some embodiments, the plurality of models may correlate information that can be generated from the interferometric signal to a corresponding value of the information for the plurality of models, wherein the correspondence is negative in a positive correlation. Change until correlation

예를 들어, 상기 패터닝된 구조의 경우에, 상기 변조 깊이에 대한 상이한 추정치들과 상기 모델들로부터의 변조 깊이에 대한 상기 대응하는 값들 사이의 상관 관계는, 양의 상관에서 음의 상관까지 변화한다. For example, in the case of the patterned structure, the correlation between the different estimates for the modulation depth and the corresponding values for the modulation depth from the models varies from positive to negative correlation. .

상기 방법은 상기 비교에 기초하여, 상기 제1 표면 위치의 상대적인 표면 높이와 같은, 상기 시험 물체의 정확한 특징을 결정하는 단계를 더 포함할 수 있다. 예를 들어, 상기 상대적인 표면 높이를 결정하는 단계는, 상기 비교에 기초하여 어느 모델이 상기 시험 물체의 특징 중 정확한 특징에 대응하는지를 결정하는 단계, 및 상기 상대적인 표면 높이를 결정하기 위해 상기 정확한 특징에 대응하는 상기 모델을 사용하는 단계를 포함할 수 있다. 상기 일련의 특징은 상기 시험 물체에 대한 일련의 표면 재료일 수 있다.The method may further include determining an exact feature of the test object, such as relative surface height of the first surface location, based on the comparison. For example, determining the relative surface height may include determining which model corresponds to the correct one of the features of the test object based on the comparison, and determining the relative surface height to determine the relative surface height. Using the corresponding model. The series of features may be a series of surface materials for the test object.

상기 일련의 특징은 상기 시험 물체에 대한 일련의 표면층 구성일 수 있다.The series of features may be a series of surface layer configurations for the test object.

상기 주사 간섭측정 신호는 주사 간섭측정 시스템에 의해 생성될 수 있으며, 상기 비교하는 단계는 상기 주사 간섭측정 시스템으로부터 생성되는 상기 주사 간섭측정 신호에 대한 계통적인 기여분(systematic contributions)을 고려하는 단계를 포함할 수 있다. 예를 들어, 상기 계통적인 기여분은 상기 주사 간섭측정 시스템의 구성요소로부터의 반사 상의 위상 변화에 있어서의 분산(dispersion)에 관한 정보를 포함할 수 있다. 또한 상기 방법은 추가적인 표면 위치들에 대한 상기 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 복수의 모델에 대응하는 정보와 비교하는 단계를 또한 포함할 수 있으며, 이 경우에 상기 체계적인 기여분은 상기 표면 위치들에 대한 복수의 기여분으로 분해될 수 있다. 상기 방법은 알려져 있는 특성을 갖는 다른 시험 물체를 사용하여 상기 주사 간섭측정 시스템의 상기 계통적인 기여분을 교정하는(calibrating) 단계를 더 포함할 수 있다.The scan interferometry signal may be generated by a scan interferometry system, and the comparing includes considering systematic contributions to the scan interferometry signal generated from the scan interferometry system. can do. For example, the systematic contribution may include information regarding dispersion in phase shift of reflections from components of the scanning interferometry system. The method may also include comparing information generateable from the scanning interferometry signal for additional surface locations with information corresponding to the plurality of models, in which case the systematic contribution is the surface locations It can be broken down into multiple contributions to. The method may further comprise calibrating the systematic contribution of the scanning interferometry system using another test object having known properties.

상기 주사 간섭측정 신호는, 검출기 상에 기준광과 간섭을 일으키도록 상기 시험 물체로부터 나오는 시험광을 결상하는(imaging) 단계, 및 상기 시험광과 간섭광의 간섭 부분들 사이의 상기 공통 소스에서 상기 검출기까지의 광 경로 길이차를 변화시키는 단계에 의해 생성될 수 있고, 상기 시험광 및 상기 기준광은 상기 공통 소스(예컨대, 공간적으로 확장되는 소스)로부터 얻을 수 있으며, 상기 주사 간섭측정 신호는 상기 광 경로 길이차가 변화될 때 상기 검출기에 의해 측정된 간섭 세기에 대응한다.The scanning interferometry signal is configured to image test light from the test object to cause interference with reference light on a detector, and from the common source to the detector between the interference portions of the test light and the interference light. By varying the optical path length difference of the test light and the reference light can be obtained from the common source (e.g., spatially extending source), and the scanning interferometric signal is obtained by the optical path length It corresponds to the interference intensity measured by the detector when the difference is changed.

상기 시험광 및 상기 기준광은, 상기 시험광 및 상기 기준광의 중심 주파수의 5%보다 큰 스펙트럼 대역폭을 가질 수 있다. The test light and the reference light may have a spectral bandwidth greater than 5% of a center frequency of the test light and the reference light.

상기 공통 소스는 스펙트럼 코히어런스 길이를 가질 수 있으며, 상기 광 경로 길이차는 상기 주사 간섭측정 신호를 생성하기 위해 상기 스펙트럼 코히어런스 길이보다 넓은 범위에 걸쳐 변화된다.The common source may have a spectral coherence length, and the optical path length difference is varied over a wider range than the spectral coherence length to produce the scan interferometry signal.

시험 물체 상에 시험광을 전달하여 상기 검출기에 결상하기 위해 사용된 광학기(optics)는 상기 시험광에 대한 개구수를 약 0.8로 정할 수 있다.Optics used to deliver test light onto a test object to form an image on the detector can set the numerical aperture for the test light to about 0.8.

상기 방법은 또한 주사 간섭측정 신호를 생성하는 단계를 더 포함할 수 있다.The method may also further comprise generating a scan interferometry signal.

다른 관점에 있어서, 본 발명은 컴퓨터 내의 프로세서로 하여금, 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하게 하는 프로그램을 구비하는 컴퓨터로 판독 가능한 매체를 포함하는 장치에 특징이 있으며, 상기 복수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있다.In another aspect, the invention provides a program that allows a processor in a computer to compare information that can be generated from a scanning interferometry signal for a first surface location of a test object with information corresponding to a plurality of models for the test object. And a plurality of models are parameterized by a series of properties of the test object.

상기 장치는 상기 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.The apparatus may include any of the features described above in connection with the method.

또 다른 관점에 있어서, 본 발명은 주사 간섭측정 신호를 생성하도록 구성된 주사 간섭측정 시스템; 및 상기 주사 간섭측정 신호를 수신하도록 상기 주사 간섭측정 시스템에 연결되고 시험 물체의 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하도록 프로그램된 전자 프로세서를 포함하는 장치에 특징이 있으며, 상기 복수의 모델은 상기 시험 물체의 일련의 특성에 의해 파라미터화 되어 있다.In another aspect, the present invention provides a scanning interferometry system configured to generate a scanning interferometry signal; And compare information that is coupled to the scanning interferometry system to receive the scanning interferometry signal and that is generateable from the scanning interferometry signal for a first surface location of a test object with information corresponding to a plurality of models for the test object. It is characterized by an apparatus comprising a programmed electronic processor, wherein the plurality of models are parameterized by a series of characteristics of the test object.

상기 장치는 방법과 관련하여 앞서 설명한 임의의 특징을 포함할 수 있다.The apparatus may include any of the features described above in connection with the method.

일반적으로, 또 다른 관점에 있어서, 본 발명은 시험 물체를 화학 기계적으로 연마하는 단계; 상기 시험 물체의 표면 토포그래피에 대한 주사 간섭측정 데이터를 수집하는 단계; 및 상기 시험 물체를 화학 기계적으로 연마하는 단계의 공정 조건을, 상기 주사 간섭측정 신호로부터 얻은 정보에 기초하여 조정하는 단계를 포함하는 방법에 특징이 있다. 예를 들어 상기 공정 조건은 패드 압력, 및/또는 연마 슬러리 구성일 수 있다. 바람직한 실시예에서, 상기 주사 간섭측정 신호로부터 얻은 정보 기초하여 상기 공정 조건을 조정하는 단계는, 시험 물체의 적어도 제1 표면 위치에 대한 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하는 단계를 포함할 수 있으며, 상기 복수의 모델은 상기 시험 물체에 대한 일련의 특성에 의해 파라미터화 되어 있다. 상기 주사 간섭측정 신호의 분석은 첫 번째 언급한 방법에서 설명한 임의의 특징을 더 포함할 수 있다.In general, in another aspect, the present invention provides a method of chemical mechanical polishing of a test object; Collecting scanning interferometry data for surface topography of the test object; And adjusting the process conditions of the chemical mechanical polishing of the test object based on information obtained from the scanning interferometry signal. For example, the process conditions can be pad pressure, and / or polishing slurry configuration. In a preferred embodiment, adjusting the process conditions based on information obtained from the scan interferometry signal comprises: generating information from the scan interferometry signal for at least a first surface location of a test object, wherein Comparing the information corresponding to the model, wherein the plurality of models are parameterized by a series of characteristics for the test object. The analysis of the scan interferometric signal may further comprise any of the features described in the first mentioned method.

다르게 규정되지 않는 한, 본 명세서에서의 모든 기술 및 과학 용어는 본 발명이 속하는 기술분야의 당업자가 통상 알고 있는 것과 같은 동일한 의미를 가진다. 참조에 의해 여기에 포함되는 전술한 간행물(publication), 특허출원, 특허 및 기타 참조문헌과 충돌되는 경우, 본 명세서는 정의들을 포함하여 밝힐 것이다.Unless defined otherwise, all technical and scientific terms herein have the same meaning as commonly known to one of ordinary skill in the art to which this invention belongs. In case of conflict with the foregoing publications, patent applications, patents, and other references incorporated herein by reference, the present specification will include definitions.

예를 들어, 가장 빈번하게 주사 간섭계는 기준 구간과 측정 구간 사이의 상대적인 광 경로 길이를 기계적으로 주사하는 것을 포함하지만, 여기에서 사용된 바와 같이, 주사 간섭계는 상대적인 광 경로 길이를 변화시키기 위한 추가적인 비기계적(non-mechanical) 수단을 포함하도록 의도된다. 예를 들어, 간섭측정 신호는 광원의 중심 주파수를 부동의 경로 길이 간섭계(즉, 기준 경로와 측정 경로의 명목상(nominal)의 광 경로 길이가 상이함)의 파장 범위에 걸쳐 변화시킴으로써 생성할 수 있다. 상기 상이한 파장들은 상이한 광 경로 길이를 가지기 때문에 상기 기준 경로 및 상기 측정 경로에 대해 상이한 위상 시프트(phase shift)를 초래하여, 상기 위상 시프트들을 상기 경로들 사이에서 변화시킨다.For example, most often the scanning interferometer involves mechanically scanning the relative optical path length between the reference interval and the measurement interval, but as used herein, the scanning interferometer adds an additional ratio to change the relative optical path length. It is intended to include non-mechanical means. For example, an interferometric signal can be generated by varying the center frequency of the light source over a wavelength range of a floating path length interferometer (ie, the nominal optical path lengths of the reference path and the nominal path of the measurement path). . The different wavelengths have different optical path lengths, resulting in different phase shifts for the reference path and the measurement path, thus changing the phase shifts between the paths.

또, 용어 "광"은 때로 가시 스펙트럼 내의 전자기 방사(eletromagnetic radiation)로 한정되는 것으로 이해될 수 있지만, 여기에서 사용된 바와 같이, 용어 "광"은 자외 스펙트럼 영역, 가시 스펙트럼 영역, 근적외 스펙트럼 영역, 및 적외 스페트럼 영역 중 어느 것이든 포함하도록 의도된다.Further, the term "light" may sometimes be understood to be limited to electromagnetic radiation in the visible spectrum, but as used herein, the term "light" refers to an ultraviolet spectral region, a visible spectral region, a near infrared spectral region. It is intended to include any of, and infrared spectrum regions.

본 발명의 다른 특징들, 목적들, 및 이점들은 이하의 상세한 설명으로부터 명백해질 것이다.Other features, objects, and advantages of the invention will be apparent from the following detailed description.

도 1은 간섭측정 방법의 흐름도이다.1 is a flowchart of an interferometry method.

도 2는 도 1의 간섭측정 방법의 변형예를 나타내는 흐름도이다.FIG. 2 is a flowchart illustrating a modification of the interferometric method of FIG. 1.

도 3은 리닉 타입(Linnik-type) 주사 간섭계의 개략도이다. 3 is a schematic diagram of a Linnik-type scanning interferometer.

도 4는 미라우 타입(Mirau-type) 주사 간섭계의 개략도이다.4 is a schematic diagram of a Mirau-type scanning interferometer.

도 5는 대물렌즈를 통한 시험 샘플의 조명을 나타낸 것이다.5 shows the illumination of the test sample through the objective lens.

도 6은 두 개의 극한(limit) 내의 주사 간섭측정 데이터에 대한 이론적인 푸리에 진폭 스펙트럼을 나타낸 것이다.6 shows the theoretical Fourier amplitude spectrum for scanning interferometric data within two limits.

도 7은 박막을 구비하는 것과 박막을 구비하지 않는 것의 두 개의 표면 타입을 나타낸 것이다. Figure 7 shows two surface types, with and without a thin film.

도 8은 박막 두께 0(영)인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.FIG. 8 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with thin film thickness of zero.

도 9는 박막 두께 50nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.9 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with a thin film thickness of 50 nm.

도 10은 박막 두께 100nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.10 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with a thin film thickness of 100 nm.

도 11은 박막 두께 300nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.11 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with a thin film thickness of 300 nm.

도 12는 박막 두께 600nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.12 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with a thin film thickness of 600 nm.

도 13은 박막 두께 1200nm인 Si 기판 상의 Si02 막을 시뮬레이션하기 위한 메리트 함수 검색 절차를 나타낸 것이다.13 shows a merit function search procedure for simulating a Si0 2 film on a Si substrate with a thin film thickness of 1200 nm.

도 14는 최상부 면을 항상 0(영)으로 하여, 막 두께가 0에서 1500nm까지 픽셀당 10nm 증분으로 일정하게 변화하는 Si 박막 상의 Si02의 시뮬레이션을 위해 결정된 표면 및 기판 프로파일을 나타낸 것이다.FIG. 14 shows the surface and substrate profiles determined for the simulation of Si0 2 on a Si thin film whose top surface is always 0 (zero), the film thickness constantly varying from 0 to 1500 nm in 10 nm increments per pixel.

도 15는 랜덤 노이즈가 부가된 것(평균 128 세기 비트 중에서 2비트 rms)을 제외하고는 도 14와 동일한 시뮬레이션을 위해 결정된 표면 기판 프로파일을 나타낸 것이다.FIG. 15 shows the surface substrate profile determined for the same simulation as FIG. 14 except for the addition of random noise (2 bits rms out of the average 128 intensity bits).

도 16은 종래의 FDA 분석법을 사용하여 결정된 표면 높이 프로파일(도 16 (a)) 및 실제 최고점 대 최저점(peak-to-valley) 변조 깊이가 120nm인 mm당 2400 라인 격자에 대한, 여기에 개시된 라이브러리 검색 방법(도 16 (b))을 나타낸 것이다.FIG. 16 is a library disclosed herein for a surface height profile (FIG. 16 (a)) determined using a conventional FDA assay and 2400 line gratings per mm with an actual peak-to-valley modulation depth of 120 nm. The search method (Fig. 16 (b)) is shown.

도 17은 스텝 높이 가까이 여러 표면 위치에 대응하는 픽셀들의 주사 간섭측정 신호 내의 분석되지 않은 계단 높이에 의해 발생된 왜곡을 나타낸 것이다.FIG. 17 illustrates distortions caused by unanalyzed step heights in the scanning interferometry signal of pixels corresponding to various surface locations near the step height.

도 18은 도 17의 분석되지 않은 계단 높이의 왼쪽(도 18 (a)) 및 오른쪽(도 18 (b)) 표면 위치에 대응하는 픽셀에 대한 주파수 도메인 위상 스펙트럼 내의 비선형 왜곡을 나타낸 것이다.FIG. 18 shows nonlinear distortion in the frequency domain phase spectrum for pixels corresponding to the left (FIG. 18 (a)) and right (FIG. 18 (b)) surface positions of the unanalyzed step height of FIG. 17.

도 19는 종래의 FDA 분석법을 사용하여 결정된 표면 높이 프로파일(도 19 (a)), 및 분석되지 않은 계단 높이에 대한, 여기에 개시된 라이브러리 검색 방법((도 19 (b))를 나타낸 것이다.FIG. 19 shows the library search method disclosed herein (FIG. 19 (b)) for surface height profiles (FIG. 19 (a)) determined using conventional FDA assays, and step heights that were not analyzed.

도 20은 박막이 없는 베이스 Si 기판의 실제 주사 간섭측정 신호를 나타낸 것이다.20 shows the actual scanning interferometry signal of the base Si substrate without the thin film.

도 21 및 도 22는 베어(bare) Si 기판, 및 Si 상에 1 미크론의 SiO2를 구비하는 박막 구조에 대한 간섭 템플릿 패턴을 각각 나타낸 것이다. 21 and 22 show interference template patterns for a bare Si substrate and a thin film structure with 1 micron SiO 2 on Si, respectively.

도 23 및 도 24는 메리트 함수를 도 21 및 도 22의 템플릿 함수에 대한 주사 위치의 함수로 각각 나타낸 것이다.23 and 24 show the merit function as a function of the scan position for the template function of FIGS. 21 and 22, respectively.

도 25는 분석되지 않은 표면 형상(격자 패턴)을 가지는 물체의 개략도이다.25 is a schematic diagram of an object having an unanalyzed surface shape (lattice pattern).

도 26은 종래의 간섭계 분석에 기초한 도 25의 격자 패턴에 대한 예측된(predicted) 표면 프로파일의 그래프이다.FIG. 26 is a graph of the predicted surface profile for the grating pattern of FIG. 25 based on conventional interferometer analysis. FIG.

도 27은 종래의 간섭계 분석에 있어 격자의 실제 변조 깊이의 함수인, 도 25의 격자의 겉보기 변조 깊이(apparent modulation depth)의 그래프이다.FIG. 27 is a graph of the apparent modulation depth of the grating of FIG. 25 as a function of the actual modulation depth of the grating in conventional interferometer analysis.

도 28은 일반적인 백색광 주사 간섭계의 이론적 및 실험적 매개 전달 함수(instrument transfer function)를 나타내는 그래프이다.FIG. 28 is a graph showing the theoretical and experimental instrument transfer function of a typical white light scanning interferometer.

도 29a 내지 도 29c는 본 명세서에서 개시된 분석되지 않은 표면 측정 기술의 일 실시예 및 격자 구조의 개략도이다.29A-29C are schematic diagrams of one embodiment and grating structure of the unanalyzed surface measurement techniques disclosed herein.

도 30a는 폭 W = 120nm이고 피치 L = 320nm인 5개의 순수 Si 격자 라인(상부 막층 없음)의 모델 구조를 개략적으로 나타낸 도면이다. 30A is a schematic representation of the model structure of five pure Si lattice lines (without upper layer) with a width W = 120 nm and a pitch L = 320 nm.

도 30b는 상기 모델 구조에 대한 주사 간섭측정 신호(z 방향)의 엄밀한 결합파 분석(RCWA)을 나타낸 도면이다. 이것은 라인에 평행한 y 편광에 대한 것이고, 영역의 상부보다 라인의 상부에 더 민감하다. Fig. 30B is a diagram showing the rigorous combined wave analysis (RCWA) of the scanning interferometry signal (z direction) for the model structure. This is for y polarization parallel to the line and is more sensitive to the top of the line than to the top of the area.

도 31a 및 도 31b는, 도 30a의 중심 픽셀에 대한 주사 간섭측정 신호의 x 편광 및 y 편광을 각각 나타낸 도면이다.31A and 31B are diagrams showing x-polarized light and y-polarized light of the scanning interferometry signal with respect to the center pixel of FIG. 30A, respectively.

도 32a 및 도 32b는 각각 x 편광(격자 라인에 직교함) 및 y 편광(격자 라인에 평행함)에 대해 도 30a의 신호의 FDA 분석으로부터 얻은 표면 높이 프로파일을 나타낸 도면이다.32A and 32B show surface height profiles obtained from FDA analysis of the signal of FIG. 30A for x polarization (orthogonal to grid line) and y polarization (parallel to grid line), respectively.

도 33a 및 도 33b는 각각, x 편광(격자 라인에 직교함) 및 y 편광(격자 라인에 평행함)에 대해 상이한 실제 에칭 깊이 E의 함수인 시뮬레이팅된 주사 간섭측정 데이터로부터 구한 겉보기 에칭 깊이 E' = H' - E를 RCWA 분석한 결과를 나타낸 그래프이다. E' = E 라인은 기준용이다.33A and 33B show apparent etch depths E obtained from simulated scanning interferometry data, which is a function of different actual etch depths E for x polarization (orthogonal to grid line) and y polarization (parallel to grid line), respectively. RCWA analysis of '= H'-E. E '= E line is for reference only.

도 34a 및 도 34b는 각각, x 편광(격자 라인에 직교함) 및 y 편광(격자 라인에 평행함)에 대해 상이한 실제 에칭 깊이 E에 대응하는 신호 세기를 나타낸 그래프이다.34A and 34B are graphs showing signal intensities corresponding to different actual etch depths E for x polarization (orthogonal to the grid line) and y polarization (parallel to the grid line), respectively.

도 35는, 도 33b에서와 동일한 데이터에 대한 다른 그래프로서, 측정 바이어스 또는 오프셋 E-E'을 실리콘 격자의 측정된 계단 높이 -E'의 함수로서 나타낸 그래프이다.FIG. 35 is another graph for the same data as in FIG. 33B, showing the measurement bias or offset E−E ′ as a function of the measured step height −E ′ of the silicon grating.

도 36은 측정된 에칭 깊이 E' = H' - E의 RCWA 예측을, 일대일 대응 라인과 비교하여, 원형 편광을 사용하여, 실리콘 격자의 실제 에칭 깊이 E의 함수로서 나타낸 그래프이다.FIG. 36 is a graph showing the RCWA prediction of measured etch depth E '= H'-E as a function of the actual etch depth E of the silicon grating, using circular polarization, compared to a one-to-one corresponding line.

도 37a 및 도 37b는 각각, y 편광 및 x 편광용의 5 라인 실리콘 격자에 대해, 에칭 깊이 E = 100nm 및 피치 L = 320nm인 경우에, 측정된(겉보기) 에칭 깊이 E' = H' - E의 RCWA 예측을, 형상 폭 W의 함수로서 나타낸 그래프이다. 두 그래프에 있어 실선 마크는 기준용 에칭 깊이이다.37A and 37B show the measured (apparent) etch depth E '= H'-E, for etch depth E = 100 nm and pitch L = 320 nm, respectively, for a 5-line silicon grating for y polarization and x polarization. Is a graph showing the RCWA prediction as a function of shape width W. In both graphs the solid mark is the reference etch depth.

도 38은 간섭측정 시스템의 여러 구성요소를 자동 제어할 수 있는 방법을 나타낸 간섭 측정 시스템의 개략도이다.38 is a schematic diagram of an interferometric measurement system illustrating a method for automatically controlling various components of an interferometric system.

상이한 도면들 내의 동일한 도면 부호는 공통된 요소를 가리킨다. Like reference numerals in the different drawings indicate common elements.

도 1은 주사 간섭측정 데이터의 분석을 공간 주파수 도메인에서 수행하는 본 발명의 일 실시예를 전체적으로 설명하는 흐름도를 나타낸 것이다.1 is a flow diagram illustrating an embodiment of the invention as a whole performing analysis of scanning interferometric data in the spatial frequency domain.

도 1을 참조하면, 시험 물체 표면으로부터 데이터를 측정하기 위해, 간섭계를 사용하여 기준 경로와 측정 경로 사이의 광 경로 길이차(OPD)를 기계적으로 또는 전기 광학적으로 주사한다. 측정 경로는 물체 표면에 똑바로 나아간다. 주사를 시작할 때의 광 경로 길이차는 물체 표면의 국부적인 높이(local height)의 함수이다. 컴퓨터는 물체 표면의 상이한 표면 위치들에 대응하는 복수의 카메라 픽셀 각각에 대해 광 경로 길이차를 주사하는 동안에 간섭 세기 신호를 기록한다. 상이한 표면 위치 각각에 대한 광 경로 길이차 주사 위치의 함수인 간섭 세기 신호를 저장한 다음, 컴퓨터는 변환(예컨대, 푸리에 변환)을 수행하여 그 신호의 주파 수 도메인 스펙트럼을 생성한다. 주파수 도메인 스펙트럼은 주사 치수(scanning dimension) 내의 신호의 공간 주파수의 함수로서 크기 및 위상 정보 모두를 포함한다. 예를 들어, 스펙트럼 등을 생성하기 위한 적당한 주파수 도메인 분석법은, 피터 드 그루트(Peter de Groot)가 소유하고 명칭이 "간섭영상의 공간 주파수 분석에 의한 표면 토포그래피를 측정하는 방법 및 장치(Method and Apparatus for Surface Topography Measurements by Spatial-Frequency Analysis of Interferegrams)"인 미국특허 제5,398,113호에 개시되어 있으며, 그 개시 내용 전부는 참조에 의해 본 명세서에 포함된다.Referring to FIG. 1, to measure data from the test object surface, an interferometer is used to mechanically or electro-optically scan the optical path length difference (OPD) between the reference path and the measurement path. The measuring path goes straight to the object surface. The optical path length difference at the start of scanning is a function of the local height of the object surface. The computer records the interference intensity signal while scanning the optical path length difference for each of the plurality of camera pixels corresponding to different surface positions of the object surface. After storing the interference intensity signal, which is a function of the optical path length differential scanning position for each of the different surface locations, the computer performs a transform (eg, a Fourier transform) to generate a frequency domain spectrum of that signal. The frequency domain spectrum includes both magnitude and phase information as a function of the spatial frequency of the signal within the scanning dimension. For example, suitable frequency domain analysis methods for generating spectra, etc., are owned by Peter de Groot and are entitled "Methods and Apparatuses for Measuring Surface Topography by Spatial Frequency Analysis of Interfering Images (Method) and Apparatus for Surface Topography Measurements by Spatial-Frequency Analysis of Interferegrams, "US Pat. No. 5,398,113, the entire disclosure of which is incorporated herein by reference.

별개의 단계에서, 컴퓨터는 여러 표면 파라미터의 주파수 도메인 스펙트럼 및 간섭계의 모델에 대한 이론적 예측의 라이브러리를 생성한다. 이들 스펙트럼은, 예를 들어 가능한 박막 두께, 표면 재료 및 표면 조직(texture)의 범위에 미친다. 바람직한 실시예에서, 컴퓨터는 일정한 표면 높이(예를 들어, 높이 = 0)에 대한 라이브러리 스펙트럼을 생성한다. 따라서, 이러한 실시예에서, 라이브러리는 표면 토포그래피에 관한 정보를 포함하지 않고, 주파수 도메인 시스템의 특이한 특징들(distinctive features)을 생성할 때의 표면 구조 및 이 표면 구조의 상호작용의 타입, 광학 시스템, 조명 및 검출 시스템에 관련된 정보만을 포함한다. 대안으로, 예측 라이브러리는 샘플 가공품들(sample artifacts)을 사용하여 경험적으로 생성될 수 있다. 다른 대안으로, 예측 라이브러리는 미지의 표면 파라미터의 수를 줄이기 위해, 예를 들어 타원편광 측정기와 같은 다른 장비에 의해 제공된, 물체 표면의 이전의 추가 측정으로부터의 정보, 및 물체 표면의 알려진 특성에 대해 사 용자의 기타 입력을 사용할 수 있다. 라이브러리 생성, 이론적인 모델링, 경험적인 데이터 또는 추가 측정에 의한 강화된(augmented) 이론에 대한 이러한 기술은 무엇이든지, 라이브러리 생성의 일부로서 또는 라이브러리 검색 중에 실시간으로 중간값(intermediate value)을 생성하기 위해 보간(interpolation)에 의해 확장될 수 있다.In a separate step, the computer generates a library of theoretical predictions for the frequency domain spectrum of the various surface parameters and the model of the interferometer. These spectra extend, for example, to the range of possible thin film thicknesses, surface materials and surface textures. In a preferred embodiment, the computer generates a library spectrum for a constant surface height (eg, height = 0). Thus, in this embodiment, the library does not contain information about surface topography, but the surface structure when generating distinctive features of the frequency domain system and the type of interaction of the surface structure, the optical system Include only information relevant to lighting, detection and detection systems. Alternatively, prediction libraries can be empirically generated using sample artifacts. Alternatively, the prediction library can be used to reduce the number of unknown surface parameters, such as information from previous further measurements of the object surface, provided by other equipment, such as ellipsometers, and known properties of the object surface. The user's other inputs can be used. Any of these techniques for augmented theories by library generation, theoretical modeling, empirical data, or additional measurements, to generate intermediate values in real time as part of library generation or during library searches. It can be extended by interpolation.

다음 단계에서, 라이브러리 검색을 이용하여 실험 데이터를 예측 라이브러리와 비교한다. 막의 두께가 미지인 경우의 예에 있어, 단일 표면 타입(예를 들어, Si 상의 SiO2)의 라이브러리는 상부면(top surface) 높이를 항상 0으로 하는 많은 가능한 막 두께 범위에 미칠 것이다. 다른 예의 경우는, 조정 가능한 파라미터는 거칠기의 깊이(roughness depth) 및/또는 공간 주파수일 수 있는 표면 거칠기일 것이다. 라이브러리 검색은, 예를 들어 표면의 전체 반사율에 관계되는 크기 스펙트럼의 평균값, 또는 반사된 광의 산란 각도에 관계가 있는 단색성(monochromatic)의 높은 개구수 시스템에서 공간 주파수의 함수로서 크기의 변화와 같은, 표면 높이에 독립한 FDA 스펙트럼의 특성들에 일치(match)하는 것을 찾아낸다(lead).In the next step, a library search is used to compare the experimental data with the prediction library. In the example where the thickness of the film is unknown, a library of single surface type (eg, SiO 2 on Si) will span many possible film thickness ranges where the top surface height is always zero. In another example, the adjustable parameter would be surface roughness, which can be a roughness depth and / or spatial frequency. Library searches may be performed, for example, with changes in magnitude as a function of spatial frequency in a monochromatic high numerical aperture system related to the mean value of the magnitude spectrum related to the total reflectance of the surface or to the scattering angle of the reflected light. It matches the characteristics of the FDA spectrum independent of the surface height.

이 분석은 또한 시스템 특징화를 포함할 수 있다. 시스템 특징화는 이론적인 모델에는 포함될 수 없는 효율, 분산, 및 시스템 등위상면 오차(system wavefront error)와 같은 파라미터들을 결정하도록, 예를 들어 기지의 표면 구조 및 표면 토포그래피를 갖는 하나 이상의 기준 가공품의 측정을 포함한다.This analysis may also include system characterization. System characterization can be used to determine parameters such as efficiency, dispersion, and system wavefront error that cannot be included in the theoretical model, for example, of one or more reference workpieces with known surface structures and surface topography. Include measurement

또한, 이 분석은 전체 교정(calibration)을 포함할 수 있다. 이 교정은, 라 이브러리 검색에 의해 결정된 때의 막 두께와 같은 측정된 표면 파라미터들과, 예를 들어 타원편광 측정 분석법에 의해 독립적으로 결정된 때의 이들 파라미터의 값 사이의 교정을 결정하기 위해, 예를 들어 하나 이상의 기준 가공품의 측정을 포함할 수 있다.In addition, this analysis may include a full calibration. This calibration is used to determine the calibration between measured surface parameters, such as film thickness as determined by library search, and the value of these parameters as determined independently, for example, by an ellipsometry assay. For example, measurement of one or more reference workpieces.

실험 데이터와 예측 라이브러리의 비교에 기초하여, 컴퓨터는 가장 일치하는 것에 대응하는 표면 모델을 식별한다. 그런 다음, 추가적인 분석 또는 데이터 저장을 위해, 표면 파라미터 결과를 수치 또는 그래픽으로 사용자에게 또는 호스트 컴퓨터에 디스플레이 또는 전송할 수 있다. 표면 파라미터 결과를 사용하여, 컴퓨터는 그 후 표면 높이 정보에 부가하여 라이브러리 검색에 의해 식별된 특징들을 결정할 수 있다. 몇몇 실시예에서, 컴퓨터는, 예를 들어 대응하는 이론 위상 스펙트럼을 실험 위상 스펙트럼에서 직접 감산함으로써 보상된 위상 스펙트럼을 생성한다. 그런 다음 컴퓨터는 공간 주파수의 함수인 보상된 위상의 분석에 의해, 예를 들어 선형 맞춤(linear fit)에 의해 생성된 계수들의 분석에 의해, 하나 이상의 표면 지점에 대한 국부적인 표면 높이를 결정한다. 이 후에, 컴퓨터는 라이브러리 검색에 의해 결정된 바와 같은 표면 특징의 그래픽 또는 수치적인 표시와 함께, 높이 데이터와 대응하는 이미지 평면 좌표들로 구성되는 완성된 3차원 이미지를 생성한다.Based on the comparison of the experimental data and the prediction library, the computer identifies the surface model corresponding to the best match. The surface parameter results can then be displayed or transmitted numerically or graphically to the user or to a host computer for further analysis or data storage. Using the surface parameter results, the computer can then determine the features identified by the library search in addition to the surface height information. In some embodiments, the computer produces a compensated phase spectrum, for example by subtracting the corresponding theoretical phase spectrum directly from the experimental phase spectrum. The computer then determines the local surface height for one or more surface points by analysis of the compensated phase as a function of spatial frequency, for example by analysis of the coefficients produced by a linear fit. Thereafter, the computer generates a completed three-dimensional image consisting of the height data and the corresponding image plane coordinates, along with a graphical or numerical representation of the surface features as determined by library search.

몇몇 경우에, 결과를 더욱 향상시키기 위해 라이브러리 검색 및 데이터 수집을 반복하여 수행할 수 있다. 구체적으로, 라이브러리 검색은 픽셀 단위(pixel-by-pixel) 또는 지역 단위(regional basis)로 세분할 수 있다. 예를 들어, 예비 라이브러리 검색에서 표면이 대략 1미크론의 박막을 가진다는 것을 알았으면, 컴퓨터는 검색을 더욱 세분하기 위해 1미크론에 가까운 예시적인 값의 미세형(fine-grain) 라이브러리를 생성할 수 있다.In some cases, library searches and data collection may be repeated to further improve results. In detail, the library search may be subdivided on a pixel-by-pixel or regional basis. For example, if a preliminary library search found that the surface had a thin film of approximately 1 micron, the computer could generate a fine-grain library of exemplary values close to 1 micron to further refine the search. have.

다른 실시예들에서, 사용자는 표면 높이가 아니라, 예측 라이브러리에 의해 모델링된 표면 특징에만 관심이 있을 수 있고, 이 경우에 표면 높이를 결정하는 단계를 수행하지 않는다. 반대로, 사용자는 예측 라이브러리에 의해 모델링된 표면 특징이 아니라, 표면 높이에만 관심이 있을 수 있고, 이 경우에 컴퓨터는 시험 데이터와 예측 라이브러리의 비교를 사용하여 표면 특징의 기여분에 대해 실험 데이터를 보상하여, 표면 높이를 더욱 정확하게 결정하지만, 표면 특징들을 명시적으로 결정하거나 표시할 필요는 없다.In other embodiments, the user may only be interested in the surface features modeled by the prediction library, not the surface height, in which case the step of determining the surface height is not performed. Conversely, a user may only be interested in the surface height, not the surface features modeled by the prediction library, in which case the computer compensates the experimental data for the contributions of the surface features using the comparison of the test data and the prediction library. However, the surface height is more accurately determined, but there is no need to explicitly determine or display the surface features.

이 분석법은 단순한 박막(이 경우에, 예를 들어 중요한 가변 파라미터는 막 두께, 막의 반사율, 기판의 반사율, 또는 이들 몇몇의 조합일 수 있다); 다층 박막; 회절 또는 다른 복합 간섭 효과를 발생시키는 예리한 에지 및 표면 형상; 분석되지 않은(unresolved) 표면 거칠기; 예를 들어 다른 매끈한 표면상의 서브 파장폭(sub-wavelength width)의 그루브와 같은 분석되지 않은 표면 형상; 이종 물질(예를 들어, 표면은 박막과 금속을 포함할 수 있고, 이 경우에 라이브러리는 표면 구조 타입과 대응하는 주파수 도메인 스펙트럼과 대조하여 막 또는 고체 금속을 자동 식별하는 것 둘 다를 포함할 수 있다); 형광 발광과 같은 광 활동; 컬러 및 파장 의존 반사율과 같은 표면의 분광 특성들; 표면의 편광 의존 특성들; 간섭 신호의 섭동을 초래하는 표면의 휨(deflection), 진동 또는 이동이나 변형 가능한 표면 형상 ; 그리고 예컨대, 간섭 세기 데이터를 완전히 포함하지 않는 데이터 수집 영역(data acquisition window)과 같은 데이터 수집 절차에 관련된 데이터 왜곡을 포함하는, 다양한 표면 분석 문제들에 적용될 수 있다.This assay is a simple thin film (in this case, for example, an important variable parameter can be the film thickness, the reflectance of the film, the reflectance of the substrate, or some combination thereof); Multilayer thin film; Sharp edge and surface shapes that produce diffraction or other complex interference effects; Unresolved surface roughness; Unanalyzed surface shapes such as, for example, grooves of sub-wavelength width on other smooth surfaces; Heterogeneous materials (eg, the surface may include thin films and metals, in which case the library may include both automatic identification of the film or solid metal against the frequency structure spectrum corresponding to the surface structure type). ); Optical activity such as fluorescence; Spectral characteristics of the surface, such as color and wavelength dependent reflectance; Polarization dependent properties of the surface; Surface deflection, vibration, or movement or deformation of the surface resulting in perturbation of the interference signal; And may be applied to a variety of surface analysis problems, including, for example, data distortions associated with data collection procedures such as data acquisition windows that do not completely contain interference intensity data.

간섭계는 높은 개구수(NA)의 대물 렌즈를 구비한 스펙트럼 협대역(spectrally narrow-band) 광원; 스펙트럼 광대역 광원; 높은 개구수의 대물 렌즈와 스펙트럼 광대역 광원의 조합; 간섭 현미경 대물 렌즈; 예를 들어 마이켈슨(Michelson), 미라우(Mirau) 또는 리닉(Linnik) 기하(geometry)에 있어 기름/물 담금 및 고체 담금 타입; 다중 파장에서의 일련의 측정; 편광되지 않은 광(unpolarized light); 그리고 선형, 원형 또는 조직화된(structured) 것을 포함하는 편광(polarized light)과 같은, 특징 중에서 어느 것이든 포함할 수 있다. 예를 들어, 조직화된 편광된 광은 표면 특징에 기인할 수 있는 편광 의존 광학 효과를 밝히기 위해, 예컨대 조명 또는 결상 동공(imaging pupil)의 상이한 세그먼트들에 대해 상이한 편광을 생성하는 편광 마스크(polarization mask)를 포함할 수 있다. 간섭계는 또한 전술한 바와 같이 시스템 전체의 교정을 포함할 수 있다.The interferometer includes: a spectrally narrow-band light source having a high numerical aperture (NA) objective lens; Spectral broadband light sources; The combination of a high numerical aperture objective and a spectral broadband light source; Interference microscope objective lens; Oil / water immersion and solid immersion types, for example in the Michelson, Mirau or Linnik geometries; Series of measurements at multiple wavelengths; Unpolarized light; And any of the features, such as polarized light, including linear, circular or structured. For example, organized polarized light can be used to reveal polarization dependent optical effects that can be attributed to surface features, such as polarization masks that produce different polarizations for different segments of illumination or imaging pupils. ) May be included. The interferometer may also include calibration of the entire system as described above.

이론적인 데이터와 실험적인 데이터를 비교할 때, 라이브러리 검색은 평균 크기와 평균 위상, 평균 크기 자체, 및 평균 위상 자체의 곱 또는 이들 간의 차를 포함하는, 주파수 스펙트럼의 크기 및/또는 위상 데이터의 곱 또는 차; 크기 스펙트럽의 기울기, 폭 및/또는 높이; 간섭 콘트라스트; DC 또는 0(zero) 공간 주파수에서의 주파수 스펙트럼의 데이터; 크기 스펙트럼의 비선형성 또는 형상; 위상의 0(zero) 주파수 절편(intercept); 위상 스펙트럼의 비선형성 또는 형상; 및 이들 기준의 임의의 조합 중, 어느 것에 기초할 수 있다. 본 명세서에 사용된 것처럼 크기와 진폭은 상호 교환하여 사용될 수 있는 것에 유의하기 바란다.When comparing theoretical and experimental data, the library search includes the product of the average magnitude and the average phase, the average magnitude itself, and the average phase itself, or the difference between them, or the product of the magnitude and / or phase data of the frequency spectrum, or car; Slope, width, and / or height of the size spectrum; Interference contrast; Data in the frequency spectrum at DC or zero spatial frequency; Nonlinearity or shape of the magnitude spectrum; Zero frequency intercept of phase; Nonlinearity or shape of the phase spectrum; And any combination of these criteria. Note that magnitude and amplitude may be used interchangeably as used herein.

도 2는 주사 간섭측정 데이터의 분석에 대한 다른 실시예를 전체적으로 설명하는 흐름도이다. 이 분석 방법은 실험적 데이터와 예측 라이브러리의 비교가 주사 좌표 영역에서의 정보에 기초하는 것을 제외하고는 도 1에 대해 기술한 것과 유사하다. 실험 신호는 주사 좌표에 대한 포락선 함수(envelope function)에 의해 진폭으로 변조된 준주기적 캐리어(quasi-periodic carrier)에 특징이 있을 수 있다. 이론적인 신호와 실험 신호를 비교할 때, 라이브러리 검색은 평균 신호 세기; 예컨대, 가우시안과 같은 몇몇 이상적인 또는 기준 형상으로부터의 일탈(deviation)을 포함하는, 신호 포락선의 형상; 포락선 함수에 대한 캐리어 신호의 위상; 0 교차들 및/또는 신호 최대값들 및 최소값의 상대적인 간격; 최적의 상대적 주사 위치의 조정 후, 라이브러리와 측정된 신호들 사이의 상관의 최고점 값; 및 이들 기준의 임의의 조합 중 어느 것에 기초할 수 있다.FIG. 2 is a flow diagram generally describing another embodiment of an analysis of scanning interferometric data. FIG. This analysis method is similar to that described for FIG. 1 except that the comparison of experimental data and prediction library is based on information in the scan coordinate area. The experimental signal may be characterized by a quasi-periodic carrier modulated with amplitude by an envelope function for scan coordinates. When comparing the theoretical and experimental signals, the library search includes the average signal strength; The shape of the signal envelope, including deviations from some ideal or reference shapes such as, for example, Gaussian; Phase of the carrier signal with respect to the envelope function; The relative spacing of zero crossings and / or signal maximums and minimums; After adjustment of the optimal relative scan position, the peak value of the correlation between the library and the measured signals; And any combination of these criteria.

또한 추가적인 실시예들에서, 라이브러리 모델들과 비교되는 정보는 복수의 표면 위치에서의 실험 신호들로부터 얻을 수 있다. 이것은, 라이브러리 모델들과 비교된 실험 정보가 간섭 측정(interferometric measurement)에 있어 시험 표면의 집합적인 표면 응답에 대응할 수 있기 때문에, 시험 물체가 분석되지 않은 표면 형상을 포함하는 경우에 특히 유용할 수 있다. 예를 들어, 복수의 표면 위치에서의 실험 신호들로부터 얻은 정보는 종래의 간섭측정 신호의 처리 방법으로부터 취득한 시험 표면의 표면 프로파일, 또는 표면 프로파일로부터 취득된 정보일 수 있다. 이러한 처리 방법은, 분석되지 않은 형상들이 분명하지 않을 것이기 때문에, 시험 표면의 겉보기 특성들만을 얻을 수 있다. 그럼에도 불구하고, 분석되지 않은 형상들은, 분석되지 않은 형상들을 특징짓는 값들에 의해 파라미터화되는 시험 물체에 대한 대응하는 모델들과 겉보기 특성들을 비교함으로써 분석되지 않은 형상들에 관한 더욱 정확한 정보와 상관될 수 있는 겉보기 표면 프로파일 내에 서명(signature)을 남길 수 있다.Also in further embodiments, information compared to library models may be obtained from experimental signals at a plurality of surface locations. This may be particularly useful if the test object includes an unanalyzed surface shape because experimental information compared to library models may correspond to the collective surface response of the test surface in interferometric measurement. . For example, the information obtained from the experimental signals at the plurality of surface positions may be a surface profile of a test surface obtained from a conventional method of processing an interferometric signal, or information obtained from a surface profile. This treatment method can only obtain the apparent properties of the test surface since the unanalyzed shapes will not be apparent. Nevertheless, the unanalyzed shapes may be correlated with more accurate information about the unanalyzed shapes by comparing the apparent properties with the corresponding models for the test object parameterized by the values characterizing the unanalyzed shapes. It can leave a signature within the apparent surface profile that can.

게다가, 시험 물체는 또한 겉보기 형상들과 라이브러리 모델들의 비교에 관여할 수 있는 일정한 기준 구조를 포함할 수 있다. 예를 들어, 개개의 라인이 분석되지 않은 에칭된 격자 패턴의 겉보기 표면 높이 프로파일을 여러 모델과 비교할 때, 에칭되지 않을 것으로 알려져 있는 시험 물체의 부분은 시험 물체의 격자 부분에서의 겉보기 표면 높이에 대한 기준점을 제공할 수 있다.In addition, the test object may also include certain reference structures that may be involved in the comparison of apparent shapes and library models. For example, when comparing the apparent surface height profile of an etched grating pattern in which individual lines are not analyzed with several models, the portion of the test object that is known to not be etched is compared to the apparent surface height in the grating portion of the test object. A reference point can be provided.

따라서, 일정한 실시예들에서, 측정빔 및 기준빔 모두를 가지는 간섭 프로파일러(interferometric profiler), 예를 들어 광대역 또는 낮은 코히어런스 간섭계는 패터닝된 반도체 웨이퍼 상에서 발견될 수 있는 것 같은 복잡한, 분석되지 않은 표면 구조의 특징을 측정하는데 사용된다. 이 프로파일러는 간섭 위상, 콘트라스트 및/또는 표면 반사율의 변화를 측정된 겉보기 표면 높이의 변화로 해석한다. 개별 단계에서, 데이터 처리 수단은, 분석되지 않은 표면 구조를 포함하는, 표면의 실제 표면 높이 및/또는 표면 구성의 가능한 변화에 대해 프로파일러의 기대 응답을 계산한다. 그 후, 데이터 처리 수단은 측정된 겉보기 표면 높이를, 실제 표면 높이, 분석되지 않은 표면 구조 및/또는 표면 구성의 가능한 변화에 대한 간섭 프 로파일러의 기대 응답과 비교함으로써 참(true) 표면 특징을 결정한다.Thus, in certain embodiments, an interferometric profiler having both a measuring beam and a reference beam, such as a wideband or low coherence interferometer, may not be as complex or analyzed as can be found on a patterned semiconductor wafer. Used to measure the characteristics of surface structures. This profiler interprets the change in interference phase, contrast and / or surface reflectance as the measured apparent surface height change. In a separate step, the data processing means calculates the expected response of the profiler for possible changes in the actual surface height and / or surface composition of the surface, including the unanalyzed surface structure. The data processing means then compares the true surface characteristics by comparing the measured apparent surface height with the expected response of the interference profile to possible changes in the actual surface height, unanalyzed surface structure, and / or surface composition. Decide

상기한 데이터를 취득하기 전에, 취득하는 동안에, 또는 취득한 후에, 데이터 프로세서는 분석되지 않은 표면 구조를 포함하는, 표면의 실제 표면 높이 및/또는 표면 구성의 가능한 변화에 대해 기기(instrument)의 프로파일의 기대 응답(expected response)을 계산한다. 도 25는 가시 파장(400-700nm 파장) 간섭측정에 대해 분석되지 않은 표면 형상을 가지는 물체의 일례이다. 구체적으로, 도 25는 소자 어레이(본 명세서에 패터닝된 구조 또는 격자 구조라고도 함)를 나타낸다. 분석되지 않은 표면 형상은 인접한 표면(S) 위의 높이 H, 간격 l, 및 폭 d를 가진다. 높이 H는, 본 명세서에서는 패터닝된 구조의 변조 깊이라고도 한다. "분석되지 않은(unresolved)"는, 개별 형상들이 표면 프로파일 이미지에서 완전히 구별되지 않는 것을 의미하므로, 기기의 횡방향 해상도가 한정되어 있기 때문에, 개별의 형상들은 부정확한 프로파일을 가지고/가지거나 높이 H가 부정확하다.Before acquiring, during, or after acquiring the data, the data processor may determine the profile of the instrument for possible changes in the actual surface height and / or surface composition of the surface, including the unstructured surface structure. Calculate the expected response. 25 is an example of an object having a surface shape that was not analyzed for visible wavelength (400-700 nm wavelength) interferometry. Specifically, FIG. 25 shows a device array (also referred to herein as a patterned structure or a grating structure). Unanalyzed surface shapes have a height H, a spacing l, and a width d on adjacent surfaces S. The height H is also referred to herein as the modulation depth of the patterned structure. "Unresolved" means that the individual shapes are not fully distinguished in the surface profile image, so that the individual shapes have an incorrect profile and / or have a height H because the instrument's lateral resolution is limited. Is incorrect.

도 26은 레일 가설 기법(Rayleigh Hypothesis technique)(이하에서 더 설명함)을 사용하는, 가시 파장 간섭계(560nm 중심 주파수, 110nm 대역폭 반치전폭(bandwidth full width half maximum, FWHM))이고 대물 렌즈 개구수(NA)가 0.8인 경우에, 도 25의 물체의 분석되지 않은 형상들에 대한 예측된 응답(predicted response)를 나타낸다. 이 표면 구조는, 실제 표면 구조와 전혀 닮지 않은 측정된 겉보기 표면 프로파일로 인해, 분석되지 않은이다. 이 계산의 경우, 높이 H = 200nm, 간격 l = 200nm, 및 폭 d = 120nm이다. 도 27은, 도 26의 분석되지 않은 측정된 프로파일을 형상들의 실제 높이 H의 함수로서 나타낸다. 주목할 만한 것 은, 측정된 높이와 참 높이 사이의 관계가 복잡하고, 심지어 40nm 이상 음의 상관 관계를 가진다는 것이다. 이 음의 상관 현상은 좁은, 서브 파장의 트렌치 내로 광을 결합시킬 때의 어려움으로 설명될 수 있다.FIG. 26 is a visible wavelength interferometer (560 nm center frequency, 110 nm bandwidth full width half maximum (FWHM)) using the Railleigh Hypothesis technique (described below) and an objective lens numerical aperture ( When NA) is 0.8, it represents the predicted response to the unanalyzed shapes of the object of FIG. 25. This surface structure is unanalyzed due to the measured apparent surface profile that does not resemble the actual surface structure at all. For this calculation, height H = 200 nm, spacing l = 200 nm, and width d = 120 nm. FIG. 27 shows the unanalyzed measured profile of FIG. 26 as a function of the actual height H of the shapes. It is noteworthy that the relationship between measured height and true height is complex and even has a negative correlation above 40 nm. This negative correlation can be explained by the difficulty in coupling light into a narrow, sub-wavelength trench.

데이터 수집 후 및 예상된 시스템 응답의 계산 후, 데이터 프로세서는 실제 표면 높이, 분석되지 않은 표면 구조 및/또는 표면 구성의 가능한 변화에 대한 본 발명의 장치의 기대 응답과 측정된 겉보기 표면 높이를 비교함으로써, 참 표면 특징을 결정한다. 다음의 도 25 내지 도 27의 예는, 도 27에 나타낸 바와 같이, 실제 높이 H와 측정된 겉보기 높이의 관계를 결정하는 단계, 및 이 관계로 알려진 정보를 사용하여 측정 결과로부터 실제 높이를 결정하는 단계를 포함한다. 다른 측정 방식(modality)으로 폭 d 및 간격 l을 결정하는 것을 들 수 있다.After data collection and calculation of the expected system response, the data processor compares the measured apparent surface height with the expected response of the device of the present invention for possible changes in actual surface height, unanalyzed surface structure and / or surface composition. Determine true surface characteristics. The following example of FIGS. 25-27 is as shown in FIG. 27, determining the relationship between the actual height H and the measured apparent height, and using the information known in this relationship to determine the actual height from the measurement results. Steps. Other modalities include determining width d and spacing l.

이하에 더 설명하는 바와 같이, 대물 렌즈의 분극화(polarizing)도 다른 광원을 사용하여 조명 파장을 변경하여 감도 범위를 조절할 수 있기 때문에, 에칭 깊이와 같은 특정한 파라미터에 대한 감도를 향상시킬 수 있다.As will be described further below, polarizing the objective lens can also adjust the sensitivity range by changing the illumination wavelength using another light source, thereby improving sensitivity to specific parameters such as etch depth.

많은 처리 기술이 주사 간섭측정 데이터로부터 겉보기 특성 또는 특성들(예컨대, 겉보기 표면 프로파일)을 추출하기 위해 사용될 수 있다. 예를 들어, 종래 기술은, 각각의 픽셀에 대해 프린지 콘트라스트 포락선의 최고점이나 중심에 대응하는 위치의 식별 또는 각각의 픽셀에 대해 주파수 도메인 분석법(FDA)의 사용을 포함하고, 표면 높이에 정비례인 파장을 가지는 위상의 변화율과 연관된다(예를 들어, 미국특허 제5,398,133호 참조). 또, 참조에 의해 출원 내용이 본 명세서에 포함되는, 피터 드 그루트(Peter de Groot)가 출원하고 명칭이 "표면 및 관련 애플리 케이션을 간섭 분석하는 방법 및 시스템(METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS)"인, 미국 특허공개공보 제2005-0078318 Al호, 또는 피터 드 그루트(Peter de Groot) 등의 공동 소유이고 2006년 5월 18일 출원되었으며 명칭이 "박막 구조에 관한 정보에 대한 낮은 코히어런스 간섭측정 신호를 분석하는 방법 및 시스템(METHOD AND SYSTEM FOR ANALYZING LOW-COHERENCE INTERFEROMETRY SIGNALS FOR INFORMATION ABOUT THIN FILM STRUCTURES)"인, 미국 특허출원 제11/437,002호에 개시된 것과 같은, 간섭측정 신호로부터 박막 효과를 제거하고자 시도하는 더욱 진보된 처리 기술을 사용하는 것도 가능하다. 물론, 비교에 사용된 모델 라이브러리는 실험적으로 얻은 정보를 추출하는데 사용된 처리 기술을 고려하여야 한다. 이하에 분석에 대한 상세한 수학적인 설명과 예를 제공한다. 첫 번째, 주사 간섭계의 예를 설명한다. 두 번째, 주사 간섭측정 데이터의 수학적인 모델을 결정한다. 세 번째, 표면의 광 특성을 설명하고, 상이한 표면 특징에 대한 주사 간섭측정 데이터의 정확한 모델을 생성하기 위해 이러한 정보를 사용하는 방법을 설명한다. 네 번째, 시험 물체에 관한 정보를 제공하기 위해 실험적인 간섭 데이터를 예측 라이브러리와 비교할 수 있는 방법을 설명한다. 처음에, 박막 응용예에 대해 설명하고, 나중에 다른 복합 표면 구조, 특히 광학적으로 분석되지 않은 계단 높이 및 격자 패턴에 대해 설명한다. 또한 처음에는 공간 주파수 도메인에서의 분석에 초점을 맞춰 설명하고, 나중에 주사 좌표 도메인에서의 분석에 대해 설명한다. 그 후, 도 25 내지 도 27의 예에서와 같은 간섭측정 신호로부터 분석되지 않은 표면 형상에 관한 정보를 추출하는 기술에 대한 추가적 인 예들을 설명한다.Many processing techniques can be used to extract an apparent characteristic or properties (eg, an apparent surface profile) from scanning interferometric data. For example, the prior art includes the identification of a position corresponding to the highest or center of the fringe contrast envelope for each pixel or the use of frequency domain analysis (FDA) for each pixel, and a wavelength directly proportional to the surface height. It is associated with the rate of change of phase with (see, eg, US Pat. No. 5,398,133). METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES, filed by Peter de Groot, which is hereby incorporated by reference, and entitled "Methods and Systems for Interferometric Analysis of Surfaces and Related Applications." AND RELATED APPLICATIONS ", co-owned by US Patent Publication No. 2005-0078318 Al, or Peter de Groot, filed May 18, 2006, and entitled" Information on Thin Film Structure. " METHOD AND SYSTEM FOR ANALYZING LOW-COHERENCE INTERFEROMETRY SIGNALS FOR INFORMATION ABOUT THIN FILM STRUCTURES, "Interference Measurement, It is also possible to use more advanced processing techniques that attempt to remove thin film effects from the signal. Of course, the model library used for comparison should take into account the processing techniques used to extract experimentally obtained information. The following provides a detailed mathematical description and examples of the analysis. First, an example of a scanning interferometer will be described. Second, a mathematical model of the scan interferometric data is determined. Third, describe the optical properties of the surface and describe how to use this information to generate accurate models of scanning interferometry data for different surface features. Fourth, we describe how experimental interference data can be compared with prediction libraries to provide information about test objects. First, thin film applications are described, and later, other composite surface structures, particularly step heights and grating patterns that are not optically analyzed, are described. It also focuses initially on analysis in the spatial frequency domain and later on analysis in the scan coordinate domain. Subsequently, further examples of techniques for extracting information about the unresolved surface shape from the interferometric signal as in the example of FIGS. 25 to 27 are described.

도 3은 리닉 타입의 주사 간섭계를 나타낸 것이다. 소스(도시되지 않음)로부터의 조명광(102)은 빔 스플리터(104)에 의해 부분적으로 투과되어 기준광(reference light)(106)을 규정하고, 빔 스플리터(104)에 의해 부분적으로 반사되어 측정광(measurement light)(108)을 규정한다. 측정광은 측정 대물 렌즈(110)에 의해 시험 샘플(112)(예를 들어, 단일 박막층 또는 하나 이상의 이종 물질의 다중 박막층을 포함하는 샘플) 상에 집속된다. 유사하게, 기준광은 기준 대물 렌즈(114)에 의해 기준 미러(116) 상에 집속된다. 바람직하게는 측정 대물 렌즈 및 기준 대물 렌즈는 공통된 광 특성들(예를 들어, 정합된 개구수)을 가지고 있다. 시험 샘플(112)로부터 반사(또는 산란 또는 회절)된 측정광은 측정 대물 렌즈(110)를 통해 다시 전파되어 빔 스플리터(104)에 의해 투과되고 결상 렌즈(118)에 의해 검출기(120) 상에 결상된다. 마찬가지로, 기준 거울(116)로부터 반사된 기준광은 기준 대물 렌즈(114)를 통해 다시 전파되어 빔 스플리터(104)에 의해 반사되고 결상 렌즈(118)에 의해 검출기(120) 상에 결상되며, 거기서 측정광과 간섭을 일으킨다.3 shows a scanning interferometer of the linic type. Illumination light 102 from a source (not shown) is partially transmitted by beam splitter 104 to define a reference light 106, and is partially reflected by beam splitter 104 to measure measurement light ( measurement light) 108. The measurement light is focused on the test sample 112 (eg, a sample comprising a single thin film layer or multiple thin film layers of one or more heterogeneous materials) by the measuring objective lens 110. Similarly, the reference light is focused on the reference mirror 116 by the reference objective lens 114. Preferably the measurement objective lens and the reference objective lens have common optical properties (eg matched numerical aperture). The measurement light reflected (or scattered or diffracted) from the test sample 112 propagates back through the measuring objective lens 110, transmitted by the beam splitter 104, and on the detector 120 by the imaging lens 118. It is formed. Similarly, the reference light reflected from the reference mirror 116 propagates back through the reference objective lens 114, is reflected by the beam splitter 104, and is imaged on the detector 120 by the imaging lens 118, where the measurement is made. Cause interference with light.

간결하도록, 도 3은 측정광과 기준광이 시험 샘플과 기준 미러 상의 특정 지점에 각각 집속되고, 이어서 검출기 상의 대응하는 지점에서 간섭하는 것으로 나타낸다. 이러한 광은 간섭계의 측정 구간과 기준 구간의 동공면들(pupil planes)에 수직으로 전파하는 조명 광의 부분에 대응한다. 조명광의 다른 부분들은 결국 시험 샘플과 기준 미러의 다른 부분들을 조명하며, 그런 다음 검출기 상의 대응하는 지점에 결상된다. 도 3에서, 이것은 점선(122)으로 도시되어 있으며, 검출기 상의 대응하는 지점들에 결상되는, 시험 샘플 상의 상이한 지점들에서 나오는 주요 광선들(chief rays)에 대응한다. 주요 광선들은 측정 구간의 동공면(124)의 중앙에서 교차하며, 측정 구간은 측정 대물 렌즈(110)의 후방 집속면(back focal plane)이다. 주요 광선의 각도와 상이한 각도로 시험 샘플로부터 나오는 광은 동공면(124)의 상이한 위치에서 교차한다.For brevity, FIG. 3 shows that the measurement light and the reference light are focused at specific points on the test sample and the reference mirror, respectively, and then interfere at corresponding points on the detector. This light corresponds to the portion of the illumination light that propagates perpendicular to the pupil planes of the measurement interval and reference interval of the interferometer. The other parts of the illumination light eventually illuminate the other parts of the test sample and the reference mirror, and then are imaged at the corresponding points on the detector. In FIG. 3, this is shown by dashed line 122 and corresponds to chief rays coming from different points on the test sample, which are imaged at corresponding points on the detector. The principal rays intersect at the center of the pupil plane 124 of the measurement zone, which is the back focal plane of the measurement objective lens 110. Light exiting the test sample at an angle different from that of the main beam intersects at different locations on the pupil plane 124.

바람직한 실시예에서, 검출기(120)는 시험 샘플과 기준 미러 상의 상이한 지점에 대응하는 측정광과 기준광 사이의 간섭을 독자적으로 측정하기 위한(즉, 간섭 패턴의 공간 해상도를 제공하기 위한) 복수의 소자(예를 들어, 복수의 픽셀)를 갖는 카메라이다. In a preferred embodiment, the detector 120 comprises a plurality of devices for independently measuring the interference between the measurement light and the reference light corresponding to different points on the test sample and the reference mirror (i.e. to provide spatial resolution of the interference pattern). (For example, a plurality of pixels).

시험 샘플(112)과 연결된 주사 스테이지(scanning stage)(126)는 도 3에서 주사 좌표 ζ로 표시한 바와 같이, 측정 대물 렌즈(110)에 상대적인 시험 샘플의 위치를 주사한다. 예를 들어, 주사 스테이지는 압전 변환기(piezoelectric transducer, PZT)에 기초할 수 있다. 검출기(120)는 시험 샘플의 상대적인 위치가 주사될 때, 검출기의 하나 이상의 픽셀에서의 광 간섭의 세기를 측정하여, 그 정보를 분석을 위해 컴퓨터(128)에 전송한다.Scanning stage 126 associated with test sample 112 scans the position of the test sample relative to measurement objective lens 110, as indicated by scan coordinate ζ in FIG. 3. For example, the scanning stage can be based on a piezoelectric transducer (PZT). The detector 120 measures the intensity of optical interference at one or more pixels of the detector when the relative position of the test sample is scanned and transmits the information to the computer 128 for analysis.

측정광이 시험 샘플 상에 집속되고 있는 영역(region)에서 주사가 일어나기 때문에, 이 주사는 소스로부터 검출기로 가는 측정광의 광 경로를, 시험 샘플에 입사하는 측정광의 각도와 시험 샘플로부터 나오는 측정광의 각도에 따라 다르게 변화시킨다. 그 결과, 측정광과 기준광의 간섭 부분들 사이의 소스에서 검출기까지 의 광 경로 길이차(OPD)는 시험 샘플에 입사하는 측정광의 각도와 시험 샘플로부터 나오는 측정광의 각도에 따라 주사 좌표 ζ가 다르다. 본 발명의 다른 실시예에서, 측정 대물 렌즈(110)에 대한 시험 샘플(112)을 주사하는 대신에 기준 대물 렌즈(114)에 대한 기준 미러의 위치를 주사함으로써 동일한 결과를 얻을 수 있다.Since the scanning takes place in the region where the measurement light is focused on the test sample, the scanning causes the optical path of the measurement light from the source to the detector to be measured by the angle of the measurement light incident on the test sample and the angle of the measurement light exiting the test sample. Change it differently. As a result, the optical path length difference OPD from the source to the detector between the interference portions of the measurement light and the reference light differs in scan coordinate ζ depending on the angle of the measurement light incident on the test sample and the angle of the measurement light coming out of the test sample. In another embodiment of the present invention, the same result can be obtained by scanning the position of the reference mirror relative to the reference objective lens 114 instead of scanning the test sample 112 against the measurement objective lens 110.

주사 좌표 ζ에 따라 광 경로 길이차(OPD)를 변화시키는 방법에 있어 이러한 차이는 검출기의 각 픽셀에서 측정된 간섭 신호의 제한된 코히어런스 길이를 도입한다. This difference in the method of varying the optical path length difference (OPD) according to the scan coordinate ζ introduces a limited coherence length of the interference signal measured at each pixel of the detector.

예를 들어, 주사 좌표의 함수인 간섭 신호는 전형적으로 대략 λ/2(NA)2의 공간 코히어런스 길이를 갖는 포락선에 의해 변조되며, 위에서 λ는 조명광의 명목상의(공칭) 파장이고, NA는 측정 대물 렌즈 및 기준 대물 렌즈의 개구수이다. 이하에 더 설명하는 바와 같이, 간섭 신호의 변조는 시험 샘플의 반사율에 관한 각도 의존 정보를 제공한다. 제한된 공간 코히어런스를 증가시키기 위해, 주사 간섭계의 대물 렌즈들은 큰 개구수, 예를 들어 약 0.7 이상(또는 더욱 바람직하게는 약 0.8 이상 또는 약 0.9 이상)으로 정하는 것이 바람직하다. 간섭 신호는 또한 조명 소스의 스펙트럼 대역폭과 연관된 제한된 시간 코이런스 길이에 의해 변조될 수 있다. 간섭계의 구성에 따라서는, 이들 제한된 코히런스 길이 효과들 중 하나 또는 그 나머지가 우위를 차지할 수 있고, 또는 그들 모두가 전체 코히어런스 길이에 실질적으로 기여할 수 있다. For example, an interference signal that is a function of scan coordinates is typically modulated by an envelope having a spatial coherence length of approximately λ / 2 (NA) 2 , where λ is the nominal (nominal) wavelength of the illumination light, and NA Is the numerical aperture of the measurement objective lens and the reference objective lens. As further described below, the modulation of the interfering signal provides angle dependent information regarding the reflectance of the test sample. In order to increase the limited spatial coherence, it is desirable to set the objective lenses of the scanning interferometer to a large numerical aperture, for example, about 0.7 or more (or more preferably about 0.8 or more or about 0.9 or more). The interfering signal can also be modulated by the limited time correlation length associated with the spectral bandwidth of the illumination source. Depending on the configuration of the interferometer, one or the other of these limited coherence length effects may take the lead, or they may all contribute substantially to the overall coherence length.

주사 간섭계의 다른 예는 도 4에 도시된 미라우 타입 간섭계이다.Another example of a scanning interferometer is the Mirau type interferometer shown in FIG.

도 4를 참조하면, 소스 모듈(205)은 빔 스플리터(208)에 조명광(206)을 제공하며, 조명광을 미라우 간섭 대물 렌즈 조립체(210)에 전달한다. 조립체(210)는 대물 렌즈(211), 기준 미러(215)를 규정하는 소규모의 중앙부 상에 반사 코팅을 구비하는 기준 평면(reference flat)(212), 및 빔 스플리터(213)를 포함한다. 동작중에, 대물 렌즈(211)는 기준 평면(212)을 통해 조명광을 시험 샘플(220)에 집속한다. 빔 스플리터(213)는 집속광(focusing light)의 제1 부분을 기준 거울(215)로 반사하여 기준광(222)을 규정하고, 집속광의 제2 부분을 시험 샘플(220)로 투과하여 측정광(224)을 규정한다. 그런 다음, 빔 스플리터(213)는 시험 샘플(220)로부터 반사된(또는 산란된) 측정광과 기준 미러(215)로부터 반사된 기준광을 재결합시키고, 대물 렌즈(211)와 결상 렌즈(230)는 결합된 광을 검출기(예를 들어, 다중 픽셀 카메라)(240) 상에 간섭을 일으키도록 결상한다. 도 3의 시스템에서와 같이, 검출기로부터의 측정 신호(들)은 컴퓨터(도시되지 않음)로 전송된다.Referring to FIG. 4, source module 205 provides illumination light 206 to beam splitter 208 and delivers illumination light to Mirae interference objective lens assembly 210. Assembly 210 includes an objective lens 211, a reference flat 212 with a reflective coating on a small central portion defining a reference mirror 215, and a beam splitter 213. In operation, objective lens 211 focuses illumination light to test sample 220 through reference plane 212. The beam splitter 213 reflects the first portion of the focused light to the reference mirror 215 to define the reference light 222, and transmits the second portion of the focused light to the test sample 220 to measure the measurement light ( 224). The beam splitter 213 then recombines the measurement light reflected (or scattered) from the test sample 220 with the reference light reflected from the reference mirror 215, and the objective lens 211 and the imaging lens 230 The combined light is imaged to cause interference on detector (eg, multi-pixel camera) 240. As in the system of FIG. 3, the measurement signal (s) from the detector are sent to a computer (not shown).

도 4의 실시예에서의 주사는 미라우 간섭 대물 렌즈 조립체(210)에 연결된 압전 변환기(PZT)(260)를 포함하며, 이것은 카메라의 각 픽셀에서의 주사 간섭측정 데이터 I(ζ, h)를 제공하기 위해 대물 렌즈(211)의 광축을 따라 시험 샘플(220)에 대해 전체로서 조립체(210)를 주사하도록 구성된다. 다르게는, 압전 변환기(PZT)는 압전 변환기 액추에이터(270)로 나타낸 바와 같이, 그 사이의 상대적인 움직임을 제공하기 위해 조립체(210)가 아니라 시험 샘플에 연결될 수 있다. 또 다른 실시예에서, 주사는 대물 렌즈(211)의 광축을 따른 대물 렌즈(211)에 대한 기준 미러(215)와 빔 스플리터(213) 중 하나 또는 둘 다의 이동에 의해 제공될 수 있다.Scanning in the embodiment of FIG. 4 includes a piezoelectric transducer (PZT) 260 coupled to the Mirau interference objective lens assembly 210, which scans the interferometric data I (ζ, h) at each pixel of the camera. And to scan the assembly 210 as a whole relative to the test sample 220 along the optical axis of the objective lens 211 to provide. Alternatively, the piezoelectric transducer PZT may be connected to the test sample, not the assembly 210, to provide relative movement there between, as represented by the piezoelectric transducer actuator 270. In another embodiment, scanning may be provided by the movement of one or both of the reference mirror 215 and the beam splitter 213 relative to the objective lens 211 along the optical axis of the objective lens 211.

소스 모듈(205)은 공간적으로 확장되는 소스(201), 렌즈(202, 203)로 형성된 망원경, 렌즈(202)의 전방 집속면(렌즈(203)의 후방 집속면과 일치함)에 위치된 조리개(stop)(204)를 포함한다. 이 장치는 공간적으로 확장되는 소스를 미라우 간섭 대물 렌즈 조립체(210)의 동공면(245)에 결상하며, 이것이 콜러 결상(Koehler imaging)의 일례이다. 조리개의 크기는 시험 샘플(220) 상의 조명 필드의 크기를 조절한다. 이 시스템은 또한 빔 스플리터(208)와 간섭 대물 렌즈 조립체(210) 사이에 위치된 개구 조리개(aperture stop)(도시하지 않음)를 포함할 수 있다. 다른 실시예에서, 소스 모듈은 공간적으로 확장되는 소스를 시험 샘플 상에 직접 결상하는 장치를 포함하는데, 이것은 임계 결상으로 알려져 있다. 어떤 형태의 소스 모듈이든 도 3의 리닉 타입의 주사 간섭측정 시스템과 함께 사용될 수 있다.The source module 205 includes a source 201 that is spatially expanded, a telescope formed of the lenses 202 and 203, and an aperture located at the front focusing surface of the lens 202 (which coincides with the rear focusing surface of the lens 203). (stop) 204. The device forms a spatially expanding source at the pupil plane 245 of the Mirae interference objective lens assembly 210, which is an example of Koehler imaging. The size of the aperture controls the size of the illumination field on the test sample 220. The system may also include an aperture stop (not shown) positioned between the beam splitter 208 and the interference objective lens assembly 210. In another embodiment, the source module includes an apparatus for imaging the spatially expanding source directly on the test sample, which is known as critical imaging. Any type of source module can be used with the linic type scanning interferometry system of FIG.

본 발명의 다른 실시예에서, 주사 간섭측정 시스템은 시험 샘플에 대한 각도 의존 산란 또는 회절 정보, 즉 산란(scatterometry)을 결정하기 위하여 사용될 수 있다. 예를 들어, 주사 간섭측정 시스템은 시험 샘플에 의해 산란 또는 회절될 수 있는, 오직 매우 좁은 입사 각도에 걸친 시험 입사(예를 들어, 실질적으로 수직 입사 또는 그렇지 않을 경우 시준됨)로 시험 샘플을 조사하는데 사용될 수 있다. 샘플로부터 나오는 광은 전술한 바와 같이 기준광과 간섭하도록 카메라에 결상된다. 주사 간섭측정 신호의 각 성분의 공간 주파수는 시험 샘플로부터 나오는 시험광의 각도에 따라 변화할 것이다. 따라서, 수직 주사(즉, 대물 렌즈의 광축을 따른 주사)에 이은 푸리에 변환은 대물 렌즈의 후방 집속면을 직접 액세스하거나 결상하지 않고, 나오는 각도의 함수로서 회절 및/또는 산란된 광을 측정할 수 있게 해준다. 실질적으로 수직 입사 조명을 제공하기 위하여, 예를 들어 소스 모듈은, 점 소스(point source)를 동공면 상에 결상하도록, 또는 그렇지 않을 경우 조명광이 측정 대물 렌즈의 개구수를 채우는 정도를 감소시키도록 구성될 수 있다. 산란측정 기술(scatterometry technique)은, 광을 더 큰 각도로 회절 및/또는 산란시키는 격자 라인, 에지, 또는 일반 표면의 거칠기와 같은 샘플 표면의 이산 구조를 분석하는데 유용할 수 있다.In another embodiment of the present invention, a scanning interferometry system can be used to determine angle dependent scattering or diffraction information, ie scatterometry, for a test sample. For example, a scanning interferometry system examines a test sample with test incidence (eg, substantially vertical incidence or otherwise collimated) over only very narrow incidence angles that can be scattered or diffracted by the test sample. It can be used to Light from the sample is imaged in the camera to interfere with the reference light as described above. The spatial frequency of each component of the scanning interferometry signal will vary with the angle of the test light coming from the test sample. Thus, the Fourier transform following vertical scanning (i.e., scanning along the optical axis of the objective lens) can measure diffracted and / or scattered light as a function of the exiting angle without directly accessing or imaging the rear focusing plane of the objective lens. To make it possible. In order to provide substantially perpendicular incident illumination, for example, the source module may be configured to form a point source on the pupil plane, or otherwise reduce the extent to which the illumination light fills the numerical aperture of the measuring objective lens. Can be configured. Scatterometry techniques may be useful for analyzing the discrete structure of a sample surface, such as grating lines, edges, or general surface roughness that diffracts and / or scatters light at greater angles.

여기에서의 많은 분석법에 있어, 동공면에서의 광의 편광 상태는 랜덤한 것으로, 즉 s 편광(입사면에 직교함)과 p 편광(입사면에 직교함) 모두와 대략 동일한 양으로 이루어지는 것으로 가정한다. 동공면(예를 들어, 리닉 간섭계의 경우에 측정 대물 렌즈의 후방 집속면과, 미라우 간섭계에의 공통 대물 렌즈의 후방 집속면)에 위치한 방사 편광자(polarizer)를 이용하여 구현될 수 있는 것과 같은, 순수한 s 편광을 포함하는 다른 편광도 가능하다. 다른 가능한 편광은 방사 p 편광, 원형 편광, 및 타원 편광 측정(ellipsometric measurement)을 위한 변조된(예를 들어, 한 상태가 다른 상태에 뒤따르는 두 상태) 편광을 포함한다. 다시 말해, 시험 샘플의 광 특성은 각도 또는 파장 의존성에 대해서 뿐 아니라, 편광 의존성 또는 선택된 편광에 대해서도 분석될 수 있다. 이러한 정보는 또한 박막 구조 특징화의 정확도를 개선하는데 사용될 수 있다.In many of the analyses herein, it is assumed that the polarization state of light at the pupil plane is random, i.e., made up of approximately the same amount as both s-polarized light (orthogonal to the incidence plane) and p-polarized light (orthogonal to the incidence plane). . As can be realized using a radiating polarizer located at the pupil plane (e.g., the rear focusing surface of the measuring objective lens in the case of a nic interferometer, and the rear focusing surface of the common objective lens to the Mirau interferometer). Other polarizations are also possible, including pure s polarization. Other possible polarizations include radiated p polarization, circular polarization, and modulated polarization (eg, two states where one state follows another) for ellipsometric measurements. In other words, the optical properties of the test sample can be analyzed not only for angle or wavelength dependence, but also for polarization dependence or selected polarization. This information can also be used to improve the accuracy of thin film structure characterization.

이러한 타원 편광 측정을 위하여, 주사 간섭측정 시스템은 동공면 내에 고정또는 가변 편광자를 포함할 수 있다. 다시 도 4를 참조하면, 미라우 타입의 간섭측정 시스템은, 예를 들어 시험 샘플에 입사하는 광과, 시험 샘플로부터 나오는 광 에 대한 원하는 편광을 선택하기 위하여, 동공면 내에 편광 광학기(polarization optic)(280)를 포함한다. 또한, 편광 광학기는 선택된 편광을 변화시키기 위하여 재구성될 수 있다. 편광 광학기는 편광자, 파장판, 어포다이제이션 개구(apodization aperture), 및/또는 주어진 편광을 선택하기 위한 변조 소자를 포함한 하나 이상의 소자를 포함할 수 있다. 또한, 편광 광학기는 타원 편광 측정기의 데이터와 유사한 데이터를 생성하기 위하여, 고정, 조직화 또는 재구성될 수 있다. 예를 들어, s 편광에 대해 방사 방향으로 편광된 동공을 사용한 제1 측정은 p 편광에 대해 방사 방향으로 편광된 동공을 수반한다. 다른 예에서, 임의의 원하는 선형 편광 상태를 물체로 향하게 하도록 동공면 내에서 회전할 수 있는, 예를 들어 슬릿이나 쐐기 모양의 선형으로 편광된 광을 갖는 어포다이즈된(apodized) 동공면을 사용할 수 있거나, 또는 액정 디스플레이와 같은 재구성 가능한 스크린을 사용할 수 있다.For such elliptical polarization measurements, the scanning interferometry system may include fixed or variable polarizers in the pupil plane. Referring again to FIG. 4, a Mirau-type interferometry system includes, for example, a polarization optic in the pupil plane to select the desired polarization for light incident on the test sample and light exiting the test sample. ) 280. In addition, polarizing optics can be reconfigured to change the selected polarization. The polarizing optics can include one or more elements, including a polarizer, a wave plate, an apodization aperture, and / or a modulation element for selecting a given polarization. In addition, the polarizing optics can be fixed, organized or reconstructed to produce data similar to the data of an elliptical polarimeter. For example, a first measurement using a pupil polarized in the radial direction for s polarization involves a pupil polarized in the radial direction for p polarization. In another example, use an apodized pupil plane with a linearly polarized light that can rotate within the pupil plane to direct any desired linear polarization state to the object, eg, slit or wedge shaped. Or a reconfigurable screen such as a liquid crystal display may be used.

또한, 편광 광학기는 (예를 들어, 다중 편광자 또는 공간 변조기를 포함함으로써) 동공면에 걸쳐 가변적인 편광을 제공할 수 있다. 따라서, 예를 들어 얕은 각도보다도 더 큰 입사각도에 대해 다른 편광을 제공함으로써, 공간 주파수에 따라 편광 상태를 "구별(tag)"할 수 있다.In addition, polarizing optics can provide variable polarization across the pupil plane (eg, by including multiple polarizers or spatial modulators). Thus, for example, by providing different polarizations for angles of incidence greater than shallow angles, it is possible to " tag " the polarization state according to spatial frequency.

또 다른 실시예에서, 선택 가능한 편광은 편광의 함수로서 위상 시프트와 결합될 수 있다. 예를 들어, 선형 편광자를 포함할 수 있는 편광 광학기는 동공면에 위치하고, 대향하는 사분 동공면에 2개의 파장판(예를 들어, 8개의 파장판)을 수반한다. 선형 편광은 대물 렌즈의 입사면에 대해 전 범위의 편광 각도를 초래한다. 예를 들어 s 편광된 광이 주도적으로 고정된 위상 시프트를 갖도록 파장판이 정렬된다면, 방사 s 편광된 광 및 p 편광된 광 모두 동시에 제공되지만, 서로에 대해 동위상으로 예를 들어, π(pi)만큼 이동되어, 간섭계가 이들 두 편광 상태 사이의 차이를 기본 신호로서 효과적으로 검출한다. In yet another embodiment, the selectable polarization can be combined with a phase shift as a function of polarization. For example, polarizing optics, which may include linear polarizers, are located in the pupil plane and carry two waveplates (eg, eight waveplates) in opposing quadrant pupil planes. Linear polarization results in a full range of polarization angles with respect to the plane of incidence of the objective lens. For example, if the waveplate is aligned such that the s polarized light has a predominantly fixed phase shift, both the radiated s polarized light and the p polarized light are provided simultaneously, but in phase with respect to each other, for example π (pi) Moved so that the interferometer effectively detects the difference between these two polarization states as the fundamental signal.

다른 실시예에서, 편광 광학기는 장치 내의 다른 곳에 위치할 수 있다. 예를 들어, 선형 편광은 시스템 내의 어느 곳에서라도 얻어질 수 있다.In other embodiments, the polarizing optics may be located elsewhere in the device. For example, linearly polarized light can be obtained anywhere in the system.

이제 주사 간섭측정 신호의 물리적 모델을 설명한다.The physical model of the scan interferometric signal will now be described.

물체 표면은 좌표 x, y로 표시된 영역에 걸쳐 프로파일링하고자 하는 높이 특징 h를 갖는다. 스테이지는 간섭 대물 렌즈 또는 도시된 바와 같은 물체 그 자체 중 어느 것이든 원활하고 계속적인 주사(ζ)를 제공한다. 주사하는 동안에, 컴퓨터는 연속하는 카메라 프레임의 이미지 점 또는 카메라 픽셀 각각에 대한 세기 데이터 Iζ, h를 기록한다. 주사 위치상의 세기 Iζ, h의 주요 의존성과 표면 높이는 첨자로 나타내며, 명세서 전체에 걸쳐 이 표시법을 사용함에 유의하기 바란다.The object surface has a height feature h to be profiled over the area indicated by the coordinates x, y. The stage provides a smooth and continuous scan ζ of either the interfering objective lens or the object itself as shown. During scanning, the computer records the intensity data I ζ, h for each of the camera pixels or image points of successive camera frames. Note that the major dependence and surface height of the intensities I ζ and h on the scan position are indicated by subscripts, and this notation is used throughout the specification.

광원의 부분적인 코히어런스, 간섭계에서 혼합하는 편광, 높은 개구수의 대물 렌즈의 결상 특성, 그리고 큰 입사 각도의 전계 벡터와 불연속 표면 형상들의 존재의 상호 작용을 고려하는, 광학기의 적절한 물리적 모델은 매우 복잡한 것일 수 있다.Appropriate physical models of optics, taking into account the interplay of partial coherence of light sources, polarization mixing in interferometers, imaging properties of high numerical aperture objectives, and the presence of large incidence field vectors and discrete surface shapes Can be very complex.

예를 들어, 주어진 구조로부터 간섭계 신호를 엄격하게 예측하기 위해서는 그 구조에 대한 맥스웰 방정식(Maxwell's Equation)을 풀 필요가 있다. 백색광 간 섭측정은 조명의 대역폭을 포함하는 충분하게 조밀한 파장의 세트를 필요로 한다. 2D로 맥스웰 방정식을 푸는 접근법은 많이 있다. 2D에 있어, 특히 간편한 접근법은 레일 가설에 기초한다. 이 접근법에서, 구조는 박막 스택으로 취급되지만, 특정한 토포그래피를 가지는 스택 내의 각 층들 사이에 계면을 가진다. 레일 가설은, 각 층 내에서 전자계가 상하 방향으로 전파하는 평면파에서 확장될 수 있고, 전자계가 계면에서의 표준 경계 조건을 충족시키도록 계수를 선택함으로써 해(solution)를 구할 수 있다고 한다. 이 접근법은 실행하기 쉽고, 상당이 빠르며, 단 한 번의 계산으로 하나의 파장에서 하나의 편광에 대해 표면의 산란 매트릭스 또는 전광(full optical) 전달 함수를 생성한다. 이 접근법은, 각 계면의 토포그래피가 계면의 경계를 나타내는 층들 내에서 대략 반 파장보다 작게 적용되는 경우에만 유효한 해를 얻는다는 제한이 있다. 따라서, 실리콘과 같은 고 굴절률 물질(high index material)은 가시 파장에서의 토포그래피를 100nm보다 훨씬 더 작게 한다.For example, in order to strictly predict an interferometer signal from a given structure, it is necessary to solve the Maxwell's Equation for that structure. White light interferometry requires a set of sufficiently dense wavelengths to cover the bandwidth of the illumination. There are many approaches to solving Maxwell's equations in 2D. In 2D, a particularly convenient approach is based on the rail hypothesis. In this approach, the structure is treated as a thin film stack, but with an interface between each layer in the stack with a particular topography. The rail hypothesis is said to be able to extend in plane waves where the electromagnetic field propagates up and down in each layer, and solutions can be found by selecting coefficients so that the electromagnetic fields meet standard boundary conditions at the interface. This approach is easy to implement, fairly fast, and generates a scattering matrix or full optical transfer function of the surface for one polarization at one wavelength in just one calculation. This approach is limited to obtaining a valid solution only if the topography of each interface is applied less than approximately half wavelength in the layers representing the interface boundary. Thus, high index materials, such as silicon, make topography at visible wavelengths much smaller than 100 nm.

3D 모델링 기술은 유한 차분 시간 도메인법(Finite Difference Time Domain, FDTD), 유한 요소법(Finite Element) 및 엄격한 결합파 분석법(RCWA)을 포함한다. 예컨대, 엠. 지. 모하람(M. G. Moharam) 및 티. 케이. 게이로드(T. K. Gaylord)의 논문 ["유전체 표면 양각 격자의 회절 분석(Diffraction analysis of dielectric surface-relief gratings)" J. Opt. Soc. Am., 72, 1385-1392, (1982)], 그리고 엠. 토첵(M. Totzeck)의 논문 ["높은 NA의 정량적 편광 현미경 및 대응하는 근계의 수치적 시뮬레이션(Numerical simulation of high-NA quantitative polarization microscopy and corresponding near-fields)", Optik, 112 (2001) 381-390]을 참조하기 바란다. 또한 스튜트가르트(Stuttgart) 대학의 기술 광학 위원회(Institute of Technical Optics, ITO)는, 엠. 토첵(M. Totzeck)의 연구에 기초하여, 마이크로심(Microsim)이라고 하는 RCWA를 수행하는 소프트웨어를 개발하였다. 이들 기술은, 적당한 실행 시간 및 메모리 요건을 모두 유지하기 위해, 종종 소량, 즉 한 변에서 소수의 파장으로 제한되기는 하지만 강력하다. 그럼에도 불구하고, 이러한 기술들은 적당한 라이브러리를 생성하기에 앞서 사용될 수 있기 때문에, 라이브러리를 생성하는데 사용되는 대량의 계산 시간이 본 명세서에 개시된 기술을 공정 중에 적용하는 것을 방해하지 않는다.3D modeling techniques include finite difference time domain (FDTD), finite element (Finite Element), and rigorous coupled wave analysis (RCWA). For example, M. G. M. G. Moharam and T. K. Paper of T. K. Gaylord ["Diffraction analysis of dielectric surface-relief gratings" J. Opt. Soc. Am., 72, 1385-1392, (1982), and M. M. Totzeck's paper ["Numerical simulation of high-NA quantitative polarization microscopy and corresponding near-fields", Optik, 112 (2001) 381- [390]. In addition, the Institute of Technical Optics (ITO) at Stuttgart University, Based on the work of M. Totzeck, he developed software to perform RCWA called Microsim. These techniques are powerful, although often limited to a small amount, ie, a few wavelengths on one side, to maintain both proper execution time and memory requirements. Nevertheless, because these techniques can be used prior to creating a suitable library, the large amount of computation time used to generate the library does not prevent the application of the techniques disclosed herein in the process.

편의를 위해, 그리고 본 발명의 일정한 관점들을 설명하기 위해, 랜덤한 편광 및 확산, 낮은 코히어런스의 확장된 소스를 가정함으로써 모델을 단순화한다. 간섭 신호의 모델링은, 도 5에 도시된 바와 같이, 대물 렌즈의 동공면을 통과하고 입사각(ψ)에서 물체 표면으로부터 반사하는 모든 광선 다발(ray bundles)의 기여분을 더하는 것으로 단순화시킨다. For convenience and to illustrate certain aspects of the present invention, the model is simplified by assuming an extended source of random polarization and diffusion, low coherence. The modeling of the interfering signal is simplified by adding the contribution of all ray bundles that pass through the pupil plane of the objective lens and reflect from the object surface at the angle of incidence ψ as shown in FIG. 5.

광학 시스템을 통한 단일 광선 다발의 간섭 기여는 다음 식에 비례한다:The contribution of interference of a single ray bundle through the optical system is proportional to the following equation:

Figure 112008042407098-pct00001
Figure 112008042407098-pct00001

위 식에서 Zβ, k는, 예를 들어 빔 스플리터의 효과를 포함하는 유효 물체 세기 반사율이고, Rβ, k는 빔 스플리터와 기준 미러 둘 다를 포함하는 유효 기준 반사 율이다. 공기 매질(ambient medium)의 굴절률은 n0이며, 입사각(ψ)에 대한 지향성 코사인(directional cosine)은 In the above formula, Z β, k is the effective object intensity reflectance including the effect of the beam splitter, for example, R β, k is the effective reference reflectance including both the beam splitter and the reference mirror. The refractive index of the ambient medium is n 0 , and the directional cosine for the angle of incidence (ψ)

Figure 112008042407098-pct00002
Figure 112008042407098-pct00002

이고, 소스 조명의 파수는 The frequency of the source light is

Figure 112008042407098-pct00003
Figure 112008042407098-pct00003

이다.to be.

위상의 사인 규정(sign convention)은 위상의 포지티브한 변화에 대응하는 표면 높이의 증가를 초래한다. 위상 항은 물체 표면으로부터의 박막 효과를 포함하는 간섭계 내의 물체 경로에 대한 기여분 ωβ, k와 대물 렌즈 내의 기준 미러 및 다른 광학기를 포함하는 기준 경로에 대한 기여분 υβ, k를 가지고 있다.The sign convention of phases results in an increase in surface height corresponding to a positive change in phase. The phase term has contributions ω β, k for the object path in the interferometer including the thin film effect from the object surface and contributions ν β, k for the reference path including the reference mirror and other optics in the objective lens.

동공면을 통해 합성된(integrated) 전체 간섭 신호는 다음 식에 비례하고,The total interfering signal integrated through the pupil plane is proportional to

Figure 112008042407098-pct00004
Figure 112008042407098-pct00004

위 식에서 Uβ는 동공면의 광 분포이고, Vk는 광 스펙트럼 분포이다. 식 (4)의 가중 인자(weighting factor) β는 투사 각도에 기인하는 cos(ψ) 항을 따르고, 동공면의 폭 ψ인 고리(annulus)의 직경에 대한 sin(ψ) 항은 다음의 식 (5)를 따른다:Where U β is the light distribution of the pupil plane and V k is the light spectral distribution. The weighting factor β in equation (4) follows the cos (ψ) term due to the projection angle, and the sin (ψ) term for the diameter of the annulus with the width ψ of the pupil plane is given by 5) Follows:

Figure 112008042407098-pct00005
Figure 112008042407098-pct00005

여기서, 대물 렌즈는 도 5에 도시된 바와 같이 Abbe 사인 조건을 따르는 것으로 가정한다. 이 상당히 단순한 가중치 부가(weighting)는 모든 광선 다발이 서로 독립하는, 불규칙하게 편광되고 공간적으로 비간섭성(incoherent)의 조명에 가능하다. 끝으로 모든 입사각에 걸친 적분 한계는 0 ≤ β ≤ 1을 포함하고, 모든 파수에 걸친 스펙트럼 적분은 0 ≤ k ≤ ∞ 이다.Here, it is assumed that the objective lens follows the Abbe sine condition as shown in FIG. 5. This fairly simple weighting is possible for irregularly polarized and spatially incoherent illumination, in which all light bundles are independent of one another. Finally, the integration limit across all angles of incidence includes 0 ≦ β ≦ 1, and the spectral integration over all waves is 0 ≦ k ≦ ∞.

주파수 도메인 분석법(FDA)에서, 먼저 간섭 세기 신호 Iζ,h의 푸리에 변환을 계산한다. 문자 그대로의(비 수치적인) 분석의 경우, 다음 식 (6)의 비정규화(un-normalized) 푸리에 적분을 사용할 것이다. In the frequency domain analysis (FDA), first the Fourier transform of the interference intensity signal I ζ, h is calculated. For literal (non-numerical) analysis, we will use the unnormalized Fourier integration of Eq. (6).

Figure 112008042407098-pct00006
Figure 112008042407098-pct00006

위 식에서, K는 공간 주파수이고 예를 들어 ㎛당 복수 사이클이다. 주파수 도메인 값 qK,h는 역 파수(inverse wavenumber)의 단위를 가지고, 예를 들어 ㎛이다. 이로부터 식 (7)의 파워 스펙트럼 및,In the above equations, K is the spatial frequency and is for example multiple cycles per μm. The frequency domain value qK, h has a unit of inverse wavenumber, for example μm. From this the power spectrum of formula (7),

Figure 112008042407098-pct00007
Figure 112008042407098-pct00007

식 (8)의 위상 스펙트럼이 온다. The phase spectrum of equation (8) comes.

Figure 112008042407098-pct00008
Figure 112008042407098-pct00008

Figure 112008042407098-pct00009
의 이중 프라임은 주사 시작 지점에 대해 픽셀에서 픽셀로 그리고 전체 양쪽에서, 프린지 차원에 이중의 불확실성(two-fold uncertainty)이 존재하는 것을 의미한다. 종래의 FDA는 그런 다음 파워 스펙트럼 QK ,h 에 의해 가중된 위상 스펙트럼
Figure 112008042407098-pct00010
에 선형 맞춤으로 표면 토포그래피의 결정으로 바로 진행된다. 선형 맞춤은 각각의 픽셀에 식 (9)의 기울기와,
Figure 112008042407098-pct00009
Double prime of means that there is a two-fold uncertainty in the fringe dimension, from pixel to pixel and on both sides relative to the scan starting point. The conventional FDA then added the phase spectrum weighted by the power spectrum Q K , h
Figure 112008042407098-pct00010
With a linear fit on, the process proceeds directly to the determination of surface topography. The linear fit is the slope of equation (9) for each pixel,

Figure 112008042407098-pct00011
Figure 112008042407098-pct00011

식 (10)의 절편을 제공한다.Provide the intercept of equation (10).

Figure 112008042407098-pct00012
Figure 112008042407098-pct00012

절편 또는 "위상 갭" A"는 높이 h에 독립하지만, 위상 데이터의 프린지 차수 불확실성으로부터 물려받은 이중 프라임을 가지고 있다는 것에 유의하여야 한다. 기울기 σ는 이 불확실성으로부터 자유롭다. 절면 A"와 기울기 σ로부터, 특정 평균(mean) 또는 명목상의 공간 주파수 K0에 대해 식 (11)의 "코히어런스 프로파일"과,Note that the intercept or "phase gap" A "is independent of height h, but has a double prime inherited from the fringe order uncertainty of the phase data. The slope σ is free from this uncertainty. From the section A" and the slope σ , For a particular mean or nominal spatial frequency K 0, the " coherence profile "

Figure 112008042407098-pct00013
Figure 112008042407098-pct00013

식 (12)의 "위상 프로파일"을 규정할 수 있다.The "phase profile" of equation (12) can be defined.

Figure 112008042407098-pct00014
Figure 112008042407098-pct00014

박막 및 이종 물질 효과에 자유로운 완전히 균일하고 균질한 유전체 표면 및 분산이 완전히 균형을 이룬 광학 시스템의 단순 이상적인 경우에, 위상 프로파일과 코히어런스 파일은 식 (13), (14)와 같이 표면 높이에 선형적으로 비례한다:In the simple ideal case of a fully uniform and homogeneous dielectric surface that is free of thin film and dissimilar material effects and a fully balanced optical system, the phase profile and coherence pile may be applied to the surface height as shown in equations (13) and (14). Linearly proportional to:

Figure 112008042407098-pct00015
Figure 112008042407098-pct00015

Figure 112008042407098-pct00016
Figure 112008042407098-pct00016

두 높이의 계산 중에서, 위상에 기초한 높이 값

Figure 112008042407098-pct00017
이 더욱 정확하지만, 단색성 간섭측정의 프린지 차수 특성에서의 불확실성이 있다. 고 해상도의 경우, 이러한 불확실성을 없애기 위해 모호하지는 않지만 덜 정확한 코히어런스에 기초한 높이 값
Figure 112008042407098-pct00018
를 사용하여 최종 값 hθ를 얻을 수 있다.Of the two height calculations, the height value based on the phase
Figure 112008042407098-pct00017
This is more accurate, but there is uncertainty in the fringe order characteristics of monochromatic interferometry. For high resolutions, the height value based on less accurate but less accurate coherence to eliminate this uncertainty.
Figure 112008042407098-pct00018
The final value h θ can be obtained by using.

종래의 FDA는 좀 덜 이상화된 상황에서조차, 간섭 위상

Figure 112008042407098-pct00019
는 여전히 공간 주파수의 선형 함수 가까이에 있다고 가정한다. 하지만, 본 실시예의 경우, 막 두께와 같은 표면 구조의 핵심 파라미터들을 실험적인 데이터와, 고도의 비선형 위상 스펙트럼 및 파워 스펙트럼의 관련된 변조를 포함할 수 있는 이론적인 예측을 비교하여 결정한다.Conventional FDA has found interference phases even in less idealized situations.
Figure 112008042407098-pct00019
Assumes that it is still near the linear function of the spatial frequency. However, for this example, key parameters of the surface structure, such as film thickness, are determined by comparing experimental data with theoretical predictions that may include highly nonlinear phase spectra and associated modulation of the power spectrum.

이 때문에, 식 (4)의 간섭 신호를 갖는 식 (6)의 푸리에 변환의 정의를 예측되는 FDA 스펙트럼에 대한 다음 식에 결합한다:For this reason, the definition of the Fourier transform of equation (6) having an interference signal of equation (4) is combined into the following equation for the predicted FDA spectrum:

Figure 112008042407098-pct00020
Figure 112008042407098-pct00020

계산 효율을 향상시키기 위해, 식 (15)의 삼중 적분의 부분적인 문자 값을 구할 수 있다.In order to improve the computational efficiency, the partial character value of the triple integration of equation (15) can be obtained.

식 (15)의 문자의 분석은 고정된 β 및 k에서 모든 주사 위치 ζ에 걸쳐 개 별적인 간섭 신호 gβ,k,ζ,h를 먼저 구하기 위해 적분 순서의 변경으로 시작한다:The analysis of the letters in equation (15) begins with a change in the order of integration to first obtain the individual interference signals g β, k, ζ, h over all scan positions ζ at fixed β and k:

Figure 112008042407098-pct00021
Figure 112008042407098-pct00021

식 (17)을 사용하는 보통의 방법으로 gβ,k,ζ,h의 코사인 항을 전개한 후,After expanding the cosine term of g β, k, ζ, h in the usual way using equation (17),

Figure 112008042407098-pct00022
Figure 112008042407098-pct00022

ζ에 걸친 내 적분(inner integral)은 식 (18)을 구한다.The inner integral over ζ is given by equation (18).

Figure 112008042407098-pct00023
Figure 112008042407098-pct00023

위 식에서 From the stomach

Figure 112008042407098-pct00024
Figure 112008042407098-pct00024

Figure 112008042407098-pct00025
Figure 112008042407098-pct00025

를 사용하였다.Was used.

δ함수는 인수(argument)의 물리 단위의 역수(inverse)를 가지며, 이 경우에 파수의 역수이다.The function δ has the inverse of the physical unit of the argument, in this case the inverse of the wave number.

이들 δ(델타) 함수는 공간 주파수 K와 곱 βkn0 사이의 등가성을 확인한다. 그러므로 다음 적분에 대한 변수들의 논리적 변화는These δ (delta) functions confirm the equivalence between the spatial frequency K and the product βkn 0 . Therefore, the logical change of the variables for the next integral

Figure 112008042407098-pct00026
Figure 112008042407098-pct00026

Figure 112008042407098-pct00027
Figure 112008042407098-pct00027

이다.to be.

위 식에서

Figure 112008042407098-pct00028
는 공간 주파수 K와 동일한 의미를 갖지만, 자유로운 적분 변수로 사용될 것이다. 식 (18)은 In the above equation
Figure 112008042407098-pct00028
Has the same meaning as the spatial frequency K, but will be used as a free integral variable. Equation (18) is

Figure 112008042407098-pct00029
Figure 112008042407098-pct00029

으로 쓸 수 있고, 위 식에서Can be written as

Figure 112008042407098-pct00030
Figure 112008042407098-pct00030

변수의 변화에 의해, 식 (23)의 R, Z,υ,ω 항의 β 의존성은

Figure 112008042407098-pct00031
와 k에 의존하게 된다는 것에 유의하기 바란다.By changing the variable, the β dependence of the R, Z, υ, ω term in equation (23)
Figure 112008042407098-pct00031
Note that it depends on and k.

다음 단계에서, 먼저In the next step, first

Figure 112008042407098-pct00032
Figure 112008042407098-pct00032

Figure 112008042407098-pct00033
Figure 112008042407098-pct00033

Figure 112008042407098-pct00034
Figure 112008042407098-pct00034

를 기록하며, 위 식에서 H는 식 (28)로 규정된 단위가 없는 헤비사이드 계단 함수(Heaviside step function)이고,Where H is the heavy side step function without units defined by equation (28),

Figure 112008042407098-pct00035
Figure 112008042407098-pct00035

f는 K와 k의 임의 함수(arbitrary function)이다. 식 (25) 내지 식 (27)을 사용하면, 식 (23)은 다음과 같이 된다:f is an arbitrary function of K and k. Using equations (25) to (27), equation (23) becomes:

Figure 112008042407098-pct00036
Figure 112008042407098-pct00036

이제 다음의 식Now the expression

Figure 112008042407098-pct00037
Figure 112008042407098-pct00037

Figure 112008042407098-pct00038
Figure 112008042407098-pct00038

Figure 112008042407098-pct00039
Figure 112008042407098-pct00039

을 사용하여 최종 결과를 얻는다:To get the final result:

Figure 112008042407098-pct00040
Figure 112008042407098-pct00040

적분이 거의 없기 때문에, 식 (33)은 식 (15)의 원래의 삼중 적분보다 계산상 훨씬 더 효율적이다.Since there is little integration, equation (33) is much more computationally efficient than the original triple integration of equation (15).

몇몇 한정된 경우는 분석적으로 해결하는 데 흥미가 있다. 예를 들어, 위상 기여분 (υK,k - ωK,k) = 0이고, 반사율 R, Z는 입사각 및 파장에 종속하지 않으면, 식 (33)은 식 (34)로 단순화되고,Some limited cases are interested in solving analytically. For example, if the phase contribution (υ K, kK, k ) = 0 and the reflectances R, Z are not dependent on the angle of incidence and wavelength, equation (33) is simplified to equation (34),

Figure 112008042407098-pct00041
Figure 112008042407098-pct00041

식 (24)에 규정된 가중 인자 ΓK,k를 포함하는 적분만 처리하면 된다. 이 이상화된 경우는 식 (34)의 두 개의 추가 한정, 즉 높은 개구수의 대물 렌즈를 구비한 근단색성 조명(near-monochromatic illumination) 및 낮은 개구수의 광대역 조명에 있어, 계산을 단순화한다. Only integrals containing the weighting factors Γ K, k specified in equation (24) need to be processed. This idealized case simplifies the calculation for two additional limitations of equation (34), namely near-monochromatic illumination with high numerical aperture objectives and low numerical aperture broadband illumination.

좁은 스펙트럼 대역폭 kΔ를 갖는 근단색성 광원의 경우, 정규화된 스펙트럼Normalized spectrum for near monochromatic light sources with narrow spectral bandwidth k Δ

Figure 112008042407098-pct00042
Figure 112008042407098-pct00042

를 갖는다.Has

위 식에서, k0는 명목상의 소스 파수이다. 식 (34)의 적분은 이제 다음과 같은 형태가 된다:Where k0 is the nominal source frequency. The integral of equation (34) now takes the form:

Figure 112008042407098-pct00043
Figure 112008042407098-pct00043

Figure 112008042407098-pct00044
Figure 112008042407098-pct00044

UK ,k가 좁은 대역폭 kΔ에 걸쳐 본래 상수라고 가정하면, 다음과 같다:Assuming U K , k is inherently constant over a narrow bandwidth k Δ ,

Figure 112008042407098-pct00045
Figure 112008042407098-pct00045

Figure 112008042407098-pct00046
Figure 112008042407098-pct00046

적분의 계산에서 In the calculation of the integral

Figure 112008042407098-pct00047
Figure 112008042407098-pct00047

를 사용하였고, 좁은 대역폭 kΔ≪ k0에서 유효하다. 특히, 스펙트럼의 포지티브한, 0(영)이 아닌 부분은Is used and is valid for a narrow bandwidth k Δk 0. In particular, the positive, nonzero portion of the spectrum

Figure 112008042407098-pct00048
Figure 112008042407098-pct00048

로 감소한다.Decreases.

따라서, 좁은 스펙트럼 대역폭 광원의 이러한 특수한 경우에는, 반사율 R, Z이 일정하고 위상 기여분

Figure 112008042407098-pct00049
은 없으며,Thus, in this particular case of a narrow spectral bandwidth light source, the reflectivity R, Z is constant and the phase contribution
Figure 112008042407098-pct00049
There is no

Figure 112008042407098-pct00050
Figure 112008042407098-pct00050

이 특수한 경우에, 위상은 표면 높이에 선형적으로 비례하며, 종래의 FDA와 일치한다. 공간 주파수는 또한 지향성 코사인에 직접 대응한다:In this particular case, the phase is linearly proportional to the surface height, consistent with conventional FDA. Spatial frequencies also correspond directly to directional cosines:

Figure 112008042407098-pct00051
Figure 112008042407098-pct00051

따라서, FDA 스펙트럼의 공간 주파수 좌표와 입사각 사이에는 일대일 대응관계가 있다. 또한 푸리에 크기

Figure 112008042407098-pct00052
의 K 가중치가 식 (41)로부터 계산된다는 것에 유의하기 바란다. 이것은 도 6 (a)에 나타낸 스펙트럼 예의 증거이며, 수직 입사에서 시작하여 최대 대물 렌즈 개구수(NA)에 의해 부가된 지향성 코사인 한계까지의 범위에 걸쳐 동공면의 완전히 균일한 쌓기(filing)에 대한 이론적인 예측을 나타낸다:Thus, there is a one-to-one correspondence between the spatial frequency coordinates of the FDA spectrum and the angle of incidence. Fourier size also
Figure 112008042407098-pct00052
Note that the K weight of is calculated from equation (41). This is evidence of the spectral example shown in FIG. 6 (a) and is for full uniform filing of the pupil plane over a range starting from normal incidence up to the directional cosine limit added by the maximum objective lens numerical aperture (NA). Theoretical predictions are shown:

Figure 112008042407098-pct00053
.
Figure 112008042407098-pct00053
.

두 번째 예로서, 수직 입사에 가까운 지향성 코사인의 좁은 범위 βΔ로 제한된 조명을 갖는 광대역 조명의 경우를 고려한다. 그러면 정규화 동공면 분포는 As a second example, consider the case of broadband illumination with illumination limited to a narrow range β Δ of directional cosine near normal incidence. The normalized pupil plane distribution is then

Figure 112008042407098-pct00054
Figure 112008042407098-pct00054

변수를 변경한 후,After changing the variable,

Figure 112008042407098-pct00055
Figure 112008042407098-pct00055

이다.to be.

식 (34)의 유한 적분은 이 경우에 다음의 형태이고,The finite integration of equation (34) in this case is of the form

Figure 112008042407098-pct00056
Figure 112008042407098-pct00056

Figure 112008042407098-pct00057
Figure 112008042407098-pct00057

이것은 다음과 같이 계산된다:This is calculated as follows:

Figure 112008042407098-pct00058
Figure 112008042407098-pct00058

Figure 112008042407098-pct00059
Figure 112008042407098-pct00059

위 식에서는 In the above equation

Figure 112008042407098-pct00060
Figure 112008042407098-pct00060

를 사용하였다.Was used.

이 광대역 소스 조명 및 수직 입사에 가까운 경우의 스펙트럼의 포지티브한 0이 아닌 부분은 따라서 다음과 같다:The positive non-zero portion of the spectrum when near this broadband source illumination and near normal incidence is thus:

Figure 112008042407098-pct00061
Figure 112008042407098-pct00061

예를 들어, 명목상 또는 평균 파장 k0에 중심이 있는 가우시안 스펙트럼에 대해 도 6 (b)에 도시된 바와 같이, 이것은 푸리에 크기

Figure 112008042407098-pct00062
가 소스 스펙트럼 분포
Figure 112008042407098-pct00063
에 비례하는 친숙한 결과에 엄밀히 대응한다. 식 (52)는 또한 선형 위상 전개의 가정 For example, for a Gaussian spectrum centered at the nominal or average wavelength k 0, as shown in FIG. 6 (b), this is a Fourier magnitude.
Figure 112008042407098-pct00062
Source Spectrum Distribution
Figure 112008042407098-pct00063
Respond strictly to familiar results proportional to. Equation (52) also assumes linear phase evolution

Figure 112008042407098-pct00064
Figure 112008042407098-pct00064

에 따르고, 종래의 FDA와 일치한다는 것에 유의하기 바란다. Please note that, in accordance with the conventional FDA.

푸리에 크기

Figure 112008042407098-pct00065
및 위상
Figure 112008042407098-pct00066
이 간섭 세기 Iζ,h의 푸리에 변환으로부터 구해지기 때문에, 그 역변환은 실제 간섭 신호Fourier size
Figure 112008042407098-pct00065
And phase
Figure 112008042407098-pct00066
Since this interference is obtained from the Fourier transform of the interference intensity I ζ, h , the inverse transform is the actual interference signal.

Figure 112008042407098-pct00067
Figure 112008042407098-pct00067

의 도메인으로 돌아가고, Go back to the domain of,

식 (54)의 적분의 자유 변수인 것을 강조하기 위해 공간 주파수에 관한 한

Figure 112008042407098-pct00068
를 다시 한번 사용하였다. 따라서, 세기 신호를 계산하는 한 방법은 식 (33)으로 푸리에 성분 qK,h를 생성하고 식 (54)를 사용하여 Iζ,h로 변환하는 것이다.As far as spatial frequency is concerned, to emphasize that it is a free variable of the integral of equation (54)
Figure 112008042407098-pct00068
Was used once again. Thus, one way to calculate the intensity signal is to generate the Fourier component qK, h with equation (33) and convert it to I ζ, h using equation (54).

본 모델에서 소스 광의 랜덤한 편광을 가정한다. 하지만 이것이 편광 효과 를 무시해야 한다는 것을 의미하는 것은 아니다. 오히려, 위의 계산에서는 조명의 입사면에 의해 규정된 두 개의 직교 편광 상태 s 및 p로부터의 동등하게 가중된 결과의 비간섭성 중첩(incoherent superposition)을 가정한다.Assume random polarization of the source light in this model. However, this does not mean that the polarization effect should be ignored. Rather, the above calculation assumes an incoherent superposition of equally weighted results from the two orthogonal polarization states s and p defined by the plane of incidence of the illumination.

편광에 대해 윗첨자 표기를 사용하면,If you use superscript notation for polarization,

Figure 112008042407098-pct00069
Figure 112008042407098-pct00069

따라서, 이 β, k에서 편광되지 않은 광의 평균 위상각은 다음과 같은 것이다:Thus, the average phase angle of the unpolarized light at this β, k is:

Figure 112008042407098-pct00070
Figure 112008042407098-pct00070

크기가 두 개의 편광 기여분에 대해 동일하지 않는 한, 아주 자주 다음과 같다는 것에 유의하기 바란다:Note that unless the magnitude is the same for the two polarization contributions, very often:

Figure 112008042407098-pct00071
Figure 112008042407098-pct00071

또한

Figure 112008042407098-pct00072
Figure 112008042407098-pct00073
이 복소 평면(complex plane)에 완전히 평행하지않는 한,Also
Figure 112008042407098-pct00072
And
Figure 112008042407098-pct00073
As long as it is not completely parallel to this complex plane,

Figure 112008042407098-pct00074
Figure 112008042407098-pct00074

동일한 관찰이 시스템 및 물체 반사율

Figure 112008042407098-pct00075
Figure 112008042407098-pct00076
에 각각 적용하며, 위상이 동일하지않는 한 이들을 직접 가산할 수 없다.Same observations of system and object reflectance
Figure 112008042407098-pct00075
And
Figure 112008042407098-pct00076
Are applied to each other, and they cannot be added directly unless they are in the same phase.

물체 표면 반사율의 계산에서 편광 효과를 적절히 제거한다면, 모델링은 상당히 간단해지며, 더욱 완전한 편광된 광의 보다 흥미있는 경우를 취급할 수 있을 정도로 융통성이 있다.Properly removing the polarization effect from the calculation of the object surface reflectivity makes the modeling considerably simpler and flexible enough to handle more interesting cases of more complete polarized light.

다음 단계는 소프트웨어 개발을 고려하여, 이산된 수식들(discrete numerical formulas)로 옮기는 것이다. 이산 푸리에 변환을 사용하여 간섭 신호 Iζ,h 와 푸리에 스펙트럼 사이의 관계를 다음과 같이 다시 정의하며,The next step is to move to discrete numerical formulas, taking into account software development. The Discrete Fourier Transform is used to redefine the relationship between the interference signal I ζ, h and the Fourier spectrum as follows:

Figure 112008042407098-pct00077
Figure 112008042407098-pct00077

Figure 112008042407098-pct00078
Figure 112008042407098-pct00078

위 식에서,

Figure 112008042407098-pct00079
Figure 112008042407098-pct00080
의 복소 공액(complex conjugate)이고, 간섭 신호 Iζ,h 에는 N개의 이산 샘플이 있다. 식 (60) 및 이하에서, 식의 유도에 있어서는 중요하였지만 공간 주파수 K를 대신할 때에는 더 이상 필요하지 않은 자유 변수
Figure 112008042407098-pct00081
의 사용을 유보한다. 그러면 예측된 포지티브 주파수 FDA 복소 스펙트럼(complex spectrum)은 In the above equation,
Figure 112008042407098-pct00079
The
Figure 112008042407098-pct00080
It is a complex conjugate of, and there are N discrete samples in the interference signal I ζ, h . In equations (60) and below, free variables that are important for derivation of equations but are no longer needed when substituting spatial frequency K
Figure 112008042407098-pct00081
Reserve the use of The predicted positive frequency FDA complex spectrum is then

Figure 112008042407098-pct00082
Figure 112008042407098-pct00082

이고, ego,

위 식에서 정규화된 높이 의존 계수는 In the above equation, the normalized height dependence coefficient is

Figure 112008042407098-pct00083
Figure 112008042407098-pct00083

Figure 112008042407098-pct00084
Figure 112008042407098-pct00084

이며, Lt;

위 식에서 적분 범위의 정규화는 In the above equation, the normalization of the integral range is

Figure 112008042407098-pct00085
Figure 112008042407098-pct00085

이다.to be.

식 (62)의 헤비사이드 계단 함수 H는 합(sum)에 대한 불필요한 기여를 방지한다. 가중 인자 ΓK,k는 식 (24)에 정의된 바와 같다.The heavyside step function H of equation (62) prevents unnecessary contribution to the sum. The weighting factor Γ K, k is as defined in equation (24).

실험과 이론을 비교하기 위해, 식 (61)을 사용하여 실험적인 FDA 스펙트럼을 생성하고, 식 (62)를 사용하여 Iζ,h의 이론적인 예측의 공간 도메인으로 되돌리는 변환을 수행한다. 이것은 고속 푸리에 변환(fast Fourier transforms, FFT)에 의해 가장 효율적으로 수행된다. To compare the experiment with the theory, equation (61) is used to generate an experimental FDA spectrum, and equation (62) is used to perform a transformation that returns to the spatial domain of the theoretical prediction of I ζ, h . This is most efficiently performed by fast Fourier transforms (FFTs).

FFT의 특성은 K 값의 범위를 결정한다. Iζ,h에 대해 N개의 이산 샘플이 증분 ζstep만큼씩 간격을 두고 있다면, 0에서 시작하고 증분The nature of the FFT determines the range of K values. If N discrete samples for I ζ, h are spaced by increments ζ step , start at 0 and increment

Figure 112008042407098-pct00086
Figure 112008042407098-pct00086

만큼씩 간격이 있는 데이터 트레이스마다(per data trace) N/2 사이클씩 증가하는 N/2 +1 포지티브 공간 주파수가 있을 것이다.There will be N / 2 +1 positive spatial frequencies that are incremented by N / 2 cycles per per data trace.

주파수 영역에서의 위상 연속화(phase unwrapping)를 촉진하기 위해, 주사의 0점 위치를 신호 최고점 근처에 있도록 조절하여, 주파수 영역에서의 위상 기울기를 감소시킨다. FFT는 항상 주사 시에 첫 번째 데이터 점을 0으로 가정하기 때문에, 신호는 적절히 오프셋 되어야 한다.To facilitate phase unwrapping in the frequency domain, the zero position of the scan is adjusted to be near the signal peak, reducing the phase slope in the frequency domain. Since the FFT always assumes the first data point at scan time as zero, the signal must be properly offset.

이제 박막이 있는 샘플 표면의 모델링에 초점을 맞춘다.We will now focus on the modeling of sample surfaces with thin films.

도 7은 박막 유무의 두 표면 타입을 나타낸 것이다. 두 경우 모두, 식 (66)에 따라 유효 진폭 반사율 Zβ,k를 규정하며,7 shows two surface types with and without a thin film. In both cases, the effective amplitude reflectance Z β, k is defined according to equation (66),

Figure 112008042407098-pct00087
Figure 112008042407098-pct00087

위 식에서, Zβ,k는 세기 반사율이고 ωβ,k는 반사에서의 위상 변화이다.Where Z β, k is the intensity reflectance and ω β, k is the phase change in reflection.

아래첨자 β, k는 조명의 지향성 코사인Subscript β, k is the directional cosine of illumination

Figure 112008042407098-pct00088
Figure 112008042407098-pct00088

에 대한 의존성을 강조하며, 위 식에서 ψ0는 입사각이고, 파수에 대해Stressing the dependence on, where ψ 0 is the angle of incidence and

Figure 112008042407098-pct00089
Figure 112008042407098-pct00089

이다. to be.

위 식에서 λ는 광원의 파장이다. 아래첨자 β는 첫 번째 입사 지향성 코사인 β0를 가리키는 것을 알 것이다.Is the wavelength of the light source. Note that the subscript β indicates the first incident directional cosine β 0 .

표면은 그 굴절률에 부분적으로 특징이 있다. 주위 매질(일반적으로 대기) 의 굴절률은 n0이다. 도 7 (a)의 단순한 표면의 경우, 하나의 굴절률 n1만이 존재한다. 도 7 (b)의 박막의 경우, 두 개의 표면 굴절이 존재하며, n1은 투명 또는 부분적으로 투명한 박에 대한 것이고, n2는 기판에 대한 것이다. 가장 일반적으로, 이들 굴절률은 실수부와 허수부로 특성이 기술되는 복소수이다. 예를 들어, 전형적인 굴절률, 예를 들어λ = 550nm인 크롬의 경우, n1 = 3.18 + 4.41i 이며, 여기서는 허수부가 포지티브한 것으로 정한 규정을 채택하고 있다.The surface is partially characterized by its refractive index. The refractive index of the surrounding medium (generally the atmosphere) is n 0 . In the case of the simple surface of Fig. 7 (a), there is only one refractive index n 1 . In the case of the thin film of Fig. 7 (b), there are two surface deflections, n 1 for transparent or partially transparent foil and n 2 for substrate. Most commonly, these refractive indices are complex numbers characterized by real and imaginary parts. For example, in the case of chromium having a typical refractive index, for example, lambda = 550 nm, n 1 = 3.18 + 4.41 i, and the imaginary part here adopts a rule defined as positive.

물질의 굴절률은 파장에 따라 달라진다. 굴절률 n0의 공기에서의 분산은 아주 중요하지 않지만, 많은 샘플의 표면, 특히 금속에 있어서는 중요하다. 작은 파장 이상으로 명목상의 k0 가까이 변화하면, 대부분의 물질은 파수에 대해 거의 선형에 가까운 의존성이 있으므로, The refractive index of a material depends on the wavelength. Dispersion in air of refractive index n 0 is not very important, but important for the surface of many samples, especially metals. If it changes near nominal k0 above a small wavelength, most materials have a nearly linear dependence on wavenumber,

Figure 112008042407098-pct00090
Figure 112008042407098-pct00090

과 같이 쓸 수 있으며,Can be written as

위 식에서,

Figure 112008042407098-pct00091
,
Figure 112008042407098-pct00092
는 명목상 파수 k0에서의 굴절률 n1인 경우에 각각 절편과 기울기이다.In the above equation,
Figure 112008042407098-pct00091
,
Figure 112008042407098-pct00092
Is the intercept and the slope, respectively, for the refractive index n1 at the nominal frequency k0.

굴절률에 대해 공통적으로 가장 많이 사용는 것은 스넬의 법칙(Snell's law)이다. 도 7 (b)를 참조하면 필름 내부의 굴절된 빔 각도는 The most commonly used index of refraction is Snell's law. Referring to FIG. 7 (b), the refracted beam angle inside the film is

Figure 112008042407098-pct00093
이고,
Figure 112008042407098-pct00093
ego,

위 식에서 ψ0는 굴절률 n0인 매질에서 굴절률 n1인 매질의 상부면에 입사하는 각도이고, ψ1,β,k는 굴절 각도이다.In the above equation, ψ 0 is the angle of incidence on the upper surface of the medium having refractive index n 1 in the medium having refractive index n 0 , and ψ 1, β, k is the refractive angle.

굴절률이 부분적으로 사라지는 전파(evanescent propagation)를 나타내면, 이 각도들을 복소값에서 취하는 것이 가능하다.If the refractive index shows evanescent propagation, it is possible to take these angles at a complex value.

두 매질 사이의 경계의 복소 진폭 반사율은 편광, 파장, 입사각 및 굴절률에 따라 달라진다. 도 7 (b)의 막의 상부면의 s 및 p 편광 반사율은 프레넬 식(Fresnel formulae)The complex amplitude reflectance of the boundary between the two media depends on the polarization, wavelength, angle of incidence and refractive index. The s and p polarized light reflectivities of the top surface of the film of FIG. 7 (b) were Fresnel formulae

Figure 112008042407098-pct00094
Figure 112008042407098-pct00094

Figure 112008042407098-pct00095
Figure 112008042407098-pct00095

으로 주어진다..

β,k에 대한 의존성은 각도 ψ0및 ψ1,β,k에 기인하며, 출사각 ψ1,β,k은 굴절률 n1 ,k를 통해 k 의존성을 도입한다. 유사하게, 기판-막 계면 반사율은 The dependence on β, k is due to the angles ψ 0 and ψ 1, β, k , and the exit angle ψ 1, β, k introduces k dependence through the refractive indices n 1 , k . Similarly, the substrate-film interface reflectance is

Figure 112008042407098-pct00096
Figure 112008042407098-pct00096

Figure 112008042407098-pct00097
Figure 112008042407098-pct00097

이다.to be.

프레넬 방정식에서 입사각과 굴절각이 같으면 두 편광에 대한 반사율이 0이된다는 것에 유의하기 바란다.Note that if the angle of incidence and refraction angle are the same in the Fresnel equation, the reflectivity for both polarizations is zero.

박막이 없는 단순한 샘플의 경우, 샘플 표면 반사율은 상부면 반사율과 동일하다.For simple samples without thin films, the sample surface reflectance is the same as the top surface reflectance.

Figure 112008042407098-pct00098
Figure 112008042407098-pct00098

따라서, 표면 반사에 의해 유발되는 반사에 다른 위상 변화(phase change on reflection, PCOR)는Therefore, the phase change on reflection (PCOR) is different from the reflection caused by surface reflection.

Figure 112008042407098-pct00099
Figure 112008042407098-pct00099

경계조건을 충족시키기 위해, 반사 시에 s 편광은 "움직이는(flip)"(= 유전에 대한 π 위상 시프트)데 반해, p 편광은 그렇지 않다는 것에 유의하여야 한다. In order to meet the boundary conditions, it should be noted that s polarization upon reflection is “flip” (= π phase shift for dielectric), whereas p polarization is not.

프레넬 방정식에서 0에 의해 분할을 초래하는 어떠한 경우든, 편광 상태 사이의 구별은 정확하게 수직 입사에서는 의미가 없게 되지만, 다른 식은 이를 경우를 제한하는 것으로 처리한다.In any case resulting in division by zero in the Fresnel equation, the distinction between polarization states becomes meaningless at exactly normal incidence, but other equations treat this as limiting the case.

굴절률의 복소부에 덧셈 기호(plus sign) 규정을 사용할 때, 흡수(복소부)가 클수록 PCOR ωβ,k가 크다. 다시 말해, 더 큰 흡수계수는 유효 표면 높이의 감소와 동등하다. 이것은 직관적인 의미에서, 바로 경계에서의 완전한 반사와 투과가 아니라, 반사 이전에 광 빔의 물질에의 침투로서의 흡수를 가정한다.When the plus sign rule is used in the complex portion of the refractive index, the larger the absorption (complex portion), the larger PCOR ω β, k . In other words, the larger absorption coefficient is equivalent to the reduction of the effective surface height. This in an intuitive sense assumes not just complete reflection and transmission at the boundary, but absorption as the penetration of the light beam into the material prior to reflection.

표면 높이의 증가가 기준면과 측정면 사이의 위상차의 포지티브한 변화에 대응하는 다음의 일반적인 약속에서, 포지티브 표면 PCOR은 간섭계 위상으로부터 감산된다.In the following general promise that an increase in surface height corresponds to a positive change in the phase difference between the reference plane and the measurement plane, the positive surface PCOR is subtracted from the interferometer phase.

박막은 평행판 반사의 특수한 경우이다. 상부면을 통과하는 광은 부분적으로 반사되고(도 7 참조), 제1 반사에 대해 위상 지연을 갖는 제2 반사가 일어나는 기판면으로 계속한다. 하지만, 이것이 끝이 아니다. 기판으로부터 반사된 광은 상부면을 다시 통과할 때 다시 한번 부분적으로 반사되어, 다시 기판으로 향하는 추가적인 반사 빔으로 귀착된다. 이것은 바로 전의 것보다 약간 더 약한 각각의 부가적인 반사로 이론상 영원히 계속된다. 이런 모든 다중 반사가 잔존하여 최종 표면 반사율에 기여하는 것으로 가정하면, 무한 급수는 Thin films are a special case of parallel plate reflections. Light passing through the top surface is partially reflected (see FIG. 7) and continues to the substrate surface where a second reflection with phase retardation occurs with respect to the first reflection. But this is not the end. The light reflected from the substrate is once again partially reflected when passing back through the top surface, resulting in an additional reflected beam that is directed back to the substrate. This in theory continues forever with each additional reflection slightly weaker than the previous one. Assuming all these multiple reflections remain and contribute to the final surface reflectivity, the infinite series

Figure 112008042407098-pct00100
Figure 112008042407098-pct00100

Figure 112008042407098-pct00101
Figure 112008042407098-pct00101

로 구할 수 있다.Can be obtained as

설명한 바와 같이, βi,β,k의 의존성은 굴절률 n0인 공기 매질에서의 입사 지 향성 코사인 β0에 대한 의존성을 가리킨다. 동일한 식 (77)을 대응하는 단일 표면 반사율을 갖는 편광 상태 모두에 적용할 수 있다.As explained, the dependence of β i, β, k indicates the dependence on the incident directional cosine β 0 in the air medium with refractive index n 0 . The same equation (77) can be applied to all polarization states with a corresponding single surface reflectance.

이들 방정식의 조사는 왜 종래의 FDA 처리가 박막의 존재에서 실패하였는지를 보여준다. 종래의 FDA는, 푸리에 공간 주파수 확산을 생성하기 위해 광대역 (백색)광을 사용하는, 푸리에 파워 스펙트럼에 의해 가중된 푸리에 위상 스펙트럼에 대한 선형 맞춤으로 표면 높이를 결정한다. 이 사상은, 위상 전개가 표면 높이에 대한 예상된 선형 위상 의존성으로부터 온다는 것이다. 표면 특성에 관련된 임의의 다른 일정한 오프셋 또는 선형 계수들(예를 들어, "분산")은, 시스템 특징화 또는 전계 위치(field position)에 의해 변하지 않는 위상 기여분을 단순히 무시함으로써 제거된다.Examination of these equations shows why conventional FDA treatment failed in the presence of thin films. Conventional FDA determines surface height with a linear fit to the Fourier phase spectrum weighted by the Fourier power spectrum, which uses broadband (white) light to produce Fourier spatial frequency spreading. This idea is that the phase evolution comes from the expected linear phase dependency on the surface height. Any other constant offset or linear coefficients (eg, "dispersion") related to the surface properties are removed by simply ignoring the phase contribution that does not change by system characterization or field position.

이러한 작업은 단순한 표면에 대해서는 더할 나위 없이 좋다. 편광되지 않은 광, 그리고 대부분이 원형 편광된 광에 있어서, PCOR의 파장 의존성은 주어진 물질에 대한 파수 및 상수에 대해 선형에 가깝다. 하지만, 박막의 존재로, 종래의 분석법은 좌절된다. 위상은 비선형이 되고, 위상 기울기는 막 두께에 민감해지며, 시야 전체에 걸쳐 변화하고 있을 수 있다. 따라서, 본 분석법은, 예를 들어 박막이 표면의 반사율을 어떻게 변화시키는지에 대한 지식을 사용하여, 막 두께와 같은 표면 구조의 핵심 파라미터들을 실험적인 데이터와 이론적인 예측을 비교함으로써 결정한다.This work is perfect for simple surfaces. For unpolarized light, and for the most part circularly polarized light, the wavelength dependence of the PCOR is close to linear for the wavenumber and constant for a given material. However, with the presence of thin films, conventional assays are frustrated. The phase becomes nonlinear, the phase slope becomes sensitive to film thickness, and may be changing throughout the field of view. Thus, this assay uses knowledge of how the thin film changes the reflectance of the surface, for example, to determine key parameters of the surface structure, such as film thickness, by comparing experimental data with theoretical predictions.

이제 실험적인 데이터를, 막 두께와 반사에 대한 위상 변화(PCOR)와 같은 표 면 구조 파라미터들을 제공하는 이론적인 예측의 라이브러리와 비교하는 방법을 설명한다. 막의 두께가 미지(未知)인 경우에, 단일 표면 타입(예를 들어, Si 상의 SiO2)에 대한 라이브러리는 많은 가능한 막 두께에 걸쳐 범위를 정할 것이다. 주파수 도메인 실시예에서, 아이디어는, 예를 들어 박막 간섭 효과에 기인한 크기 스펙트럼에 대해 특이한 구조와 같은, 표면 토포그래피에 독립한 FDA 스펙트럼의 특징들과 대조하기 위해 이 라이브러리를 검색하는 것이다. 그런 다음 컴퓨터는 라이브러리 스펙트럼을 사용하여 FDA 데이터를 보상하고, 더욱 정확한 표면 토포그래피 맵을 허용한다.We now describe how to compare experimental data with a library of theoretical predictions that provide surface structure parameters such as phase change for film thickness and reflection (PCOR). If the thickness of the film is unknown, the library for a single surface type (eg, SiO 2 on Si) will range over many possible film thicknesses. In a frequency domain embodiment, the idea is to search this library to check for features of the FDA spectrum independent of surface topography, such as structures specific to the size spectrum due to thin film interference effects. The computer then uses the library spectrum to compensate the FDA data and allows for more accurate surface topography maps.

일 실시예에서, 라이브러리는 표면 구조에 대한 견본 FDA 스펙트럼을 포함하며, 각각의 스펙트럼은 공간 주파수 K의 함수로서 푸리에 계수를 나타내는 일련의 복소 계수 ρk를 제공한다. 이 스펙트럼들은 간섭계의 광 경로의 주사 ζ 중에 수집된 세기 데이터 Iζ,h의 푸리에 변환이다. 공간 주파수 K는 소스 광 스펙트럼의 세그먼트, 공기 매질의 굴절률 n0, 및 지향성 코사인 β = cos(ψ)에 대한 각 파수(angular wavenumber) k = 2π/λ에 비례하며, ψ는 물체 표면으로 전달되는 광선 다발의 입사각이다:In one embodiment, the library includes sample FDA spectra for the surface structure, each providing a series of complex coefficients ρ k representing the Fourier coefficients as a function of spatial frequency K. These spectra are the Fourier transform of the intensity data I ζ, h collected during the scan ζ of the optical path of the interferometer. The spatial frequency K is proportional to the segment of the source light spectrum, the refractive index n 0 of the air medium, and the angular wavenumber k = 2π / λ for the directional cosine β = cos (ψ), where ψ is transmitted to the object surface. The angle of incidence of the bundle of rays is:

Figure 112008042407098-pct00102
Figure 112008042407098-pct00102

예측 라이브러리의 ρk 계수는 표면 높이 외에, FDA 스펙트럼의 외양(appearance)에 영향을 줄 수 있는 표면의 광학 특성을 포함한다.In addition to the surface height, the ρ k coefficients of the prediction library include the optical properties of the surface, which may affect the appearance of the FDA spectrum.

FDA 스펙트럼의 예측은 입사각 ψ와 소스 광의 각 파수 k의 범위에 걸쳐 광선 다발의 비간섭성 합을 나타내는 적분을 포함한다. 전술한 바와 같이, 수치적인 적분은 인자 ΓK,k 에 의해 가중된 N개의 각 파수 k에 걸친, 계산상 효율적인 단일 합으로 감소할 수 있다:The prediction of the FDA spectrum includes an integral that represents the incoherent sum of the bundles of rays over a range of angles of incidence φ and angle wave k of the source light. As mentioned above, the numerical integration can be reduced to a computationally efficient single sum over the N each wave number k weighted by the factor Γ K, k :

Figure 112008042407098-pct00103
Figure 112008042407098-pct00103

Figure 112008042407098-pct00104
Figure 112008042407098-pct00104

가중 인자는The weighting factor is

Figure 112008042407098-pct00105
Figure 112008042407098-pct00105

이고, 위 식에서 Vk는 소스 스펙트럼이고, UK ,k는 동공면의 광 분포이다. 대응하는 정규화(normalization)

Figure 112008042407098-pct00106
는 가중 인자의 전체 공간 주파수 전체의 합이다:Where V k is the source spectrum and U K , k is the light distribution of the pupil plane. Corresponding normalization
Figure 112008042407098-pct00106
Is the sum of all the total spatial frequencies of the weighting factors:

Figure 112008042407098-pct00107
Figure 112008042407098-pct00107

위 식에서,

Figure 112008042407098-pct00108
은 간단하게 규정되는 정규화이고, H는 헤비사이드 계단 함수이다.In the above equation,
Figure 112008042407098-pct00108
Is simply defined normalization and H is a heavyside step function.

특히 박막에 있어, 물체 표면 구조의 고유한 특성은 앞서 상세하게 설명한 바와 같이 물체 경로 위상 ωK,k 및 반사율 ZK ,k로 스펙트럼 ρk로 들어가는 것이다. 마찬가지로 중요한 것은 주사 간섭계 자체에 의존하는 기준 경로 위상 υK,k 및 반사율 RK ,k이다. 이러한 인자들은 주사 간섭계를 이론적으로 모델링함으로써 또는 기지의 특성을 갖는 시험 샘플로 그것을 교정함으로써 결정할 수 있으며, 이하에 더욱 자세히 설명한다.Particularly for thin films, the inherent properties of the object surface structure are the object path phases ω K, k and reflectance as detailed above. Z K , k enters the spectrum ρ k . Equally important is the reference path phase υ K, k and reflectance , which depend on the scanning interferometer itself R K , k . These factors can be determined by theoretically modeling a scanning interferometer or by calibrating it with a test sample having known properties, which is described in more detail below.

박막에 대한 전형적인 예측 라이브러리는 막 두께 L로 색인된 일련의 스펙트럼 ρk이다. 기억된 스펙트럼은 보통 256개 프레임 세기 데이터 수집에서 15개 또는 16개인 좁은 공간 주파수 관심 영역(region of interest, ROI)에만 미치며, 나머지 이 관심 영역 밖의 값은 0이다. 관심 영역의 한계는 공간 주파수의 정의로부터 나온다:A typical prediction library for thin films is a series of spectra ρ k indexed by film thickness L. The memorized spectrum typically spans only 15 or 16 narrow spatial frequency regions of interest (ROI) in 256 frame intensity data collections, with the remaining values outside this region of interest being zero. The limit of the region of interest comes from the definition of spatial frequency:

Figure 112008042407098-pct00109
Figure 112008042407098-pct00109

Figure 112008042407098-pct00110
Figure 112008042407098-pct00110

100X 미라우 대물 렌즈 및 좁은 대역폭, 500nm 광원에 기초한 주사 간섭계의 전형적인 공간 주파수의 범위는 2.7㎛-1 내지 4.0㎛- 1 이다. 계산상의 효율을 위해, 식 (80) 내지 식 (83)을 사용하여 각 픽셀에 대해 몇 번의 재계산을 포함하는 분석적인 검색 루틴 대신에, 샘플 스펙트럼 사이에 0.5 내지 5nm로 인덱스된 조밀한 룩업 테이블을 사용할 수 있다.US Lau 100X objective lens and the narrow bandwidth, the range of a typical spatial frequency of the scanning interferometer based on the 500nm light source is 2.7㎛ 4.0㎛ -1 to - 1. For computational efficiency, instead of an analytical search routine involving several recalculations for each pixel using equations (80) to (83), a compact lookup table indexed at 0.5-5 nm between sample spectra Can be used.

라이브러리 검색은 다음의 단계를 포함한다: (1) 특정 표면 타입에 대응하는 라이브러리로부터 예측된 FDA 스펙트럼을 선택하고, (2) 메리트 함수를 사용하여 이 스펙트럼이 실험적인 데이터에 얼마나 근접하게 일치하는지를 계산한 다음, (3) 어느 스펙트럼이 최고로 일치하는지를 결정하기 위해 수 개 또는 모든 라이브러리 데이터 세트에 대해 반복한다. 박막, 이종 물질, 계단 구조, 거칠기 및 간섭계의 광학 시스템과의 상호 작용과 같은 표면 특성에 고유하게 관련 있는, 주파수 도메인 내의 "서명(signature)"을 찾는 것이다. 따라서, 이 비교는 공간 주파수로 위상의 선형 변화율을 명백하게 제거하는데, 이것은 표면 토포그래피에 따라 직접 변화하는 FDA 스펙트럼의 하나의 특성이고 따라서 라이브러리 검색과는 무관하다.The library search includes the following steps: (1) selecting predicted FDA spectra from a library corresponding to a particular surface type, and (2) using merit functions to calculate how closely these spectra match experimental data. Then, (3) iterate over several or all library data sets to determine which spectra best match. It is to find "signatures" in the frequency domain that are inherently related to surface properties such as thin films, dissimilar materials, staircase structures, roughness, and interferometer interactions with optical systems. Thus, this comparison explicitly removes the linear rate of change of phase at spatial frequency, which is a property of the FDA spectrum that changes directly with surface topography and is thus independent of library searches.

스펙트럼을 비교할 때, 메리트 계산에 대한 위상과 크기의 기여분을 분리하는 것이 유리하다. 따라서 이론상 When comparing the spectra, it is advantageous to separate the contributions of phase and magnitude to the merit calculation. So in theory

Figure 112008042407098-pct00111
Figure 112008042407098-pct00111

Figure 112008042407098-pct00112
Figure 112008042407098-pct00112

위 식에서 connectK는 φK,h의 공간 주파수 의존성에서 2-π 계단(steps)을 제거한 함수이다. 실험적인 데이터의 경우,In the above equation, connect K is a function that removes 2-π steps from the spatial frequency dependence of φ K, h . For experimental data,

Figure 112008042407098-pct00113
Figure 112008042407098-pct00113

Figure 112008042407098-pct00114
Figure 112008042407098-pct00114

Figure 112008042407098-pct00115
의 이중 프라임은 주사 시의 시작점에 대한 픽셀 대 픽셀, 및 전체 둘 다로부터의 프린지 차수(fringe order)의 불확실성을 나타낸다. 실험적인 데이터는 국부적인 표면 높이에 대한 기울기 항을 반드시 포함하는데, 이것이 ρ심볼 대신에 q 심볼을 사용하는 이유이다.
Figure 112008042407098-pct00115
The double prime of represents the uncertainty of the fringe order from both pixel to pixel, and the whole, to the starting point in scanning. The experimental data must include the slope term for the local surface height, which is why we use q symbols instead of ρ symbols.

실험적인 표면 파라미터의 특정 세트에서, 위상차In a particular set of experimental surface parameters, the phase difference

Figure 112008042407098-pct00116
Figure 112008042407098-pct00116

를 계산할 수 있다. 위상차

Figure 112008042407098-pct00117
는 보상된 FDA 위상이며, 실험적인 파라미터가 정확하다고 가정한다. 실험에 대한 이론의 양호한 일치는 원칙적으로 절편이 0인(즉, 위상 갭 0) 공간 주파수 K의 단순 선형 함수인 위상
Figure 112008042407098-pct00118
를 초래한다. 따라서, 잘 보상된 위상
Figure 112008042407098-pct00119
은 결국 종래의 FDA 분석에 다운스트림을 공급해야 하는 것이고, 주파수 공간에서의 위상의 기울기는 표면 높이에 직접 비례한다.Can be calculated. Phase difference
Figure 112008042407098-pct00117
Is the compensated FDA phase and assumes the experimental parameters are correct. A good agreement of the theory for the experiment is that, in principle, phase is a simple linear function of spatial frequency K with intercept zero (i.e. phase gap 0).
Figure 112008042407098-pct00118
Brings about. Thus, a well compensated phase
Figure 112008042407098-pct00119
Will eventually feed downstream to conventional FDA analysis, and the slope of the phase in frequency space is directly proportional to the surface height.

앞 단락에서의 관찰에 기초하면, 보상된 위상

Figure 112008042407098-pct00120
에는 표면 높이에 독립한 실험에 대한 이론의 일치를 평가할 수 있도록 해주는 두 가지 중요한 특성이 있다. 그 첫 번째는 위상 갭 A" 또는 선형 맞춤으로 얻은 K = 0 절편 값
Figure 112008042407098-pct00121
이고, 두 번째는 선형 맞춤 후의 파수에 대한 잔류 비선형성(residual nonlinearity)이다. 대응하는 메리트 함수는, 예를 들어Based on the observations in the previous paragraph, the compensated phase
Figure 112008042407098-pct00120
Has two important properties that allow us to evaluate the agreement of the theory for experiments that are independent of surface height. The first is the K = 0 intercept value obtained by phase gap A "or linear fit
Figure 112008042407098-pct00121
And the second is residual nonlinearity with respect to wavenumber after linear fit. The corresponding merit function is for example

Figure 112008042407098-pct00122
Figure 112008042407098-pct00122

Figure 112008042407098-pct00123
Figure 112008042407098-pct00123

이고, σh는 보상된 위상

Figure 112008042407098-pct00124
에 대한 (가중된 크기) 선형 맞춤의 기울기이다. 식 (91)에서 round ( ) 함수는 위상 갭 A"를 ±π 범위로 제한한다.Σ h is the compensated phase
Figure 112008042407098-pct00124
The slope of the (weighted size) linear fit for. In equation (91), the round () function limits the phase gap A "to the range ± π.

비록 위상 정보만을 사용하여, 즉 메리트 함수의 값

Figure 112008042407098-pct00125
및/또는
Figure 112008042407098-pct00126
중 하나 또는 둘 다를 최소화함으로써, 라이브러리 검색을 할 수 있지만, 또한 푸리에 크기에 중요하고 유용한 서명을 가지고 있다. 이 크기는 특히 표면 높이에 비간섭적으로 독립하는 점에서 특히 흥미롭다. 따라서, 예를 들어 위상 메리트들(phase merits)을 사용하여 근사 유추법으로 다음의 크기 메리트 함수Although using only phase information, i.e. the value of the merit function
Figure 112008042407098-pct00125
And / or
Figure 112008042407098-pct00126
By minimizing either or both, you can do a library search, but also have a signature that is important and useful for Fourier size. This size is particularly interesting in that it is incoherently independent of the surface height. Thus, the following magnitude merit function is approximated using, for example, phase merits.

Figure 112008042407098-pct00127
Figure 112008042407098-pct00127

Figure 112008042407098-pct00128
Figure 112008042407098-pct00128

를 규정할 수 있으며,Can be defined,

위 식에서 Ω는 경험적인 스케일링 인자(scaling factor) 이다:Where Ω is the empirical scaling factor:

Figure 112008042407098-pct00129
Figure 112008042407098-pct00129

메리트

Figure 112008042407098-pct00130
는 파수 의존성에 독립한, 물체의 전체 반사율에 가장 근사하게 관계되는 데 반해,
Figure 112008042407098-pct00131
는 형상에 있어 이론적인 크기 선도(plot)와 실험적인 선도 가 얼마나 잘 일치하는지를 나타낸다.merit
Figure 112008042407098-pct00130
Is most closely related to the total reflectivity of an object, independent of its wave dependence,
Figure 112008042407098-pct00131
Shows how well the theoretical size plot matches the experimental plot in shape.

크기 메리트 함수

Figure 112008042407098-pct00132
및/또는
Figure 112008042407098-pct00133
는 위상 메리트
Figure 112008042407098-pct00134
및/또는
Figure 112008042407098-pct00135
에 더하거나, 이를 대신하기도 한다. 일반적인 라이브러리 검색 메리트는 함수는 따라서 Size merit function
Figure 112008042407098-pct00132
And / or
Figure 112008042407098-pct00133
Phase merit
Figure 112008042407098-pct00134
And / or
Figure 112008042407098-pct00135
In addition to, or in place of it. A common library search merit is that the function

Figure 112008042407098-pct00136
Figure 112008042407098-pct00136

이고, 위 식에서 w는 가중 인자이다. 원칙적으로, 여러 파라미터에 대한 표준 편차를 아는 식 (96)에서 가중치를 결정할 수 있다. 좀 더 경험적인 접근법은 실제 및 시뮬레이팅된 데이터에 대해 다양한 가중치를 시험하여 그것들이 잘 작동하는지를 보는 것이다. 다음의 예에서는, 모든 메리트 기여분에 대해 동등한 가중치

Figure 112008042407098-pct00137
를 선택한다.Where w is a weighting factor. In principle, the weight can be determined in equation (96), which knows the standard deviation for the various parameters. A more empirical approach is to test various weights on real and simulated data to see if they work well. In the following example, equal weights for all merit contributions
Figure 112008042407098-pct00137
.

도 8 내지 도 13의 예는 6개의 Si 상의 SiO2의 막 두께 0, 50, 100, 300, 600, 및 1200nm 각각에 대한 메리트 함수 검색 절차를 나타낸 것이다. 모든 예에 대한 단일 라이브러리가 2nm 간격으로 0 내지 1500nm 범위를 포함한다. 데이터는 노이즈 없는 시뮬레이션이다. 여기의 모든 실시예에서 설명하는 바와 같이, 주사 간격(step)은 40nm, 소스 파장은 498nm, 그리고 소스 가우시안 FWHM은 30nm이다(준 단색성).8-13 show merit function search procedures for film thicknesses 0, 50, 100, 300, 600, and 1200 nm of SiO 2 on six Si, respectively. The single library for all examples includes a range of 0-1500 nm at 2 nm intervals. The data is a noise-free simulation. As explained in all the examples herein, the scan step is 40 nm, the source wavelength is 498 nm, and the source Gaussian FWHM is 30 nm (quasi-monochromatic).

이 시뮬레이팅된 검색의 가장 흥미로운 점은 4개의 메리트 함수의 작용이다. 일반적으로, 이 4개의 함수를 포함하는 것이 최종 메리트 값의 모호성을 감소시키는 것을 돕고, 막 두께의 함수인 개별 메리트 값에 강한 주기성이 있다는 것을 알았다. 다른 일반적인 관찰은, 위상 및 크기 모두에 있어 비선형성에 기초한 메리 트는 30nm 이상에서 가장 효과적이고, 반면에 위상 갭과 평균 크기는 30nm 이하의 막 두께에서 두드러진다는 것이다. 이것은

Figure 112008042407098-pct00138
,
Figure 112008042407098-pct00139
메리트 함수가 실제 박막에 특히 유용하고, 시스템 특징화에 중요한 위치를 차지하며, 위상 갭 및 크기 결과에 직접 결합한다는 것을 보여준다.The most interesting aspect of this simulated search is the action of the four merit functions. In general, it has been found that including these four functions helps to reduce the ambiguity of the final merit value, and that there is a strong periodicity for the individual merit values that are a function of the film thickness. Another general observation is that the merit based on nonlinearity in both phase and size is most effective above 30 nm, while the phase gap and mean size are prominent at film thicknesses below 30 nm. this is
Figure 112008042407098-pct00138
,
Figure 112008042407098-pct00139
It shows that the merit function is particularly useful for real thin films, occupies an important place in system characterization, and directly couples to phase gap and magnitude results.

먼저 박막 두께(또는 물질 식별 또는 알고리즘에 대한 다른 용도)를 결정하고, FDA 처리는 일반적인 방식으로 진행하지만, 원래의 실험적인 위상 데이터 대신에 보정된 FDA 위상

Figure 112008042407098-pct00140
를 사용한다. 원칙적으로, 모델링이 성공적이면,
Figure 112008042407098-pct00141
는 비선형성에 무관하여야 하고 위상 갭은 0이어야 한다. 따라서, 다음 단계는 위상 스펙트럼
Figure 112008042407098-pct00142
에 대한 선형 맞춤이다. 이것은 제곱된 크기 대신에 크기 스펙트럼 PK를 사용하기 위해 고 개구수 FDA에 더욱 효과적인 것으로 보인다. 선형 맞춤은 각 픽셀에 대한 기울기First determine the thickness of the film (or other uses for material identification or algorithms), and the FDA process proceeds in the usual manner, but the calibrated FDA phase instead of the original experimental phase data.
Figure 112008042407098-pct00140
Lt; / RTI > In principle, if modeling is successful,
Figure 112008042407098-pct00141
Should be independent of nonlinearity and the phase gap should be zero. Thus, the next step is the phase spectrum
Figure 112008042407098-pct00142
For linear alignment. This appears to be more effective for high numerical aperture FDA to use the size spectrum P K instead of the squared size. Linear fit is the slope for each pixel

Figure 112008042407098-pct00143
Figure 112008042407098-pct00143

와, 절편(위상 갭)Intercept, phase gap

Figure 112008042407098-pct00144
Figure 112008042407098-pct00144

을 제공한다..

위상 갭 A"는 위상 데이터의 프린지 차수 불확실성으로부터 물려받은 이중 프라임을 가지고 있다는 것에 유의하여야 한다. 기울기 σh는 이 불확실성과 무관한다. 절편 A" 및 기울기 σh로부터, 특정 평균 또는 명목상의 공간 주파수 K0에 대한 "코히어런스 프로파일"Note that the phase gap A "has a double prime inherited from the fringe order uncertainty of the phase data. The slope σ h is independent of this uncertainty. From the intercept A" and the slope σ h , a specific mean or nominal spatial frequency "Coherence Profile" for K0

Figure 112008042407098-pct00145
Figure 112008042407098-pct00145

과, "위상 프로파일"And, "phase profile"

Figure 112008042407098-pct00146
Figure 112008042407098-pct00146

을 규정한다. To regulate.

그런 다음, 위상

Figure 112008042407098-pct00147
에서 픽셀 대 픽셀 프린지 차수 불확실성을 제거한다:Then, phase
Figure 112008042407098-pct00147
Remove pixel-to-pixel fringe order uncertainty from:

Figure 112008042407098-pct00148
Figure 112008042407098-pct00148

위 식에서 α'는 픽셀 대 픽셀 2π 계단(steps)에 무관한 원래의 위상 갭 A"에 대한 근삿값이다.Where α 'is an approximation to the original phase gap A ", independent of pixel-to-pixel 2π steps.

끝으로, 높이 프로파일은Finally, the height profile

Figure 112008042407098-pct00149
Figure 112008042407098-pct00149

이 된다..

보상된 위상

Figure 112008042407098-pct00150
을 생성할 때 이미 감산하였기 때문에, 위상 오프셋
Figure 112008042407098-pct00151
를 감산할 필요가 없다는 것에 유의하여야 한다.Compensated phase
Figure 112008042407098-pct00150
Phase offset because we have already subtracted when
Figure 112008042407098-pct00151
Note that there is no need to subtract.

표면 토포그래피 측정의 제1 예(도 14)는 순수한 시뮬레이션이다. 표면 토포그래피는 어느 곳이든 0이지만, 10nm 증분으로 0에서 1500nm까지 증가하는 아래의 막층이 존재한다. 도 8 내지 도 13의 동일한 예측 라이브러리를 사용하여, 이 시험은 완전하지만 노이즈가 없는 예측 라이브러리의 범위 전역에 걸친 막 두께의 명백한 결정을 설명한다.The first example of surface topography measurement (FIG. 14) is pure simulation. The surface topography is zero anywhere, but there is an underlying film layer that increases from 0 to 1500 nm in 10 nm increments. Using the same prediction library of FIGS. 8-13, this test demonstrates an explicit determination of the film thickness across the range of the complete but noisy prediction library.

다음 예(도 15)도 또한 시뮬레이션이지만, 노이즈를 첨가한 것이다. 랜덤한 추가 노이즈는 평균 128 세기 비트 중에서 2 비트의 표준 편차를 갖는 가우시안(gaussian) 이고, 실제 데이터를 예시할 것으로 보인다. 그 결과는 SiO2와 Si 사이의 반사율의 상당한 차(4% 내지 45%)에도 불구하고 명백히 충분하다.The next example (Fig. 15) is also a simulation, but with noise added. The random additional noise is a Gaussian with a standard deviation of 2 bits out of the average 128th century bits, and is likely to illustrate the actual data. The result is clearly sufficient despite the significant difference (4% to 45%) of the reflectance between SiO 2 and Si.

이제 시스템 특징화에 대해 설명한다.The system characterization will now be described.

시스템 특징화 과정에서 수집된 데이터를 사용하여 위상 오프셋

Figure 112008042407098-pct00152
과 선형 분산
Figure 112008042407098-pct00153
을 규정한다. 시스템 특징화 데이터를 포함하기 위해, 라이브러리 검색에 이전에, 그리고 픽셀 단위로 임의의 다른 FDA 처리 이전에Phase offset using data collected during system characterization
Figure 112008042407098-pct00152
And linear dispersion
Figure 112008042407098-pct00153
To define. Prior to library search, and before any other FDA processing on a pixel-by-pixel basis to include system characterization data

Figure 112008042407098-pct00154
Figure 112008042407098-pct00154

사용하여 푸리에 변환된 실험 데이터

Figure 112008042407098-pct00155
를 보정한다.Fourier transformed experimental data
Figure 112008042407098-pct00155
Calibrate

위 식에서 K0는 명목상의 공간 주파수이고, 예를 들어, 관심 영역(ROI)의 중간 지점에 위치함으로써 식별되는 것처럼, FDA 데이터 세트에 대한 명목상의 스펙트럼 주파수를 나타낸다. 이론적인 라이브러리는 변화되지 않고 그대로 있는 것에 유의하여야 한다. 주사 계수 M(그리스 대문자 "M")은 라이브러리 검색에서의 파라미터로서 물체 표면 반사율을 사용할 수 있도록 해주는 새로운 시스템 특징을 부여한다.K0 in the above equation is the nominal spatial frequency and represents the nominal spectral frequency for the FDA data set, for example, as identified by being located at the midpoint of the region of interest (ROI). Note that the theoretical library remains unchanged. Scanning coefficient M (Greece uppercase letter "M") introduces a new system feature that allows the use of object surface reflectance as a parameter in library searches.

필드 위치(field position)의 함수인 위상 오프셋

Figure 112008042407098-pct00156
과 시스템 위상 갭 Asys은 필드 위치의 함수로서 저장될 수 있으며, 진짜 시스템 편차를 다음 식에 따라 계산한다:Phase offset as a function of field position
Figure 112008042407098-pct00156
And the system phase gap A sys can be stored as a function of field position, and the true system deviation is calculated according to the following equation:

Figure 112008042407098-pct00157
Figure 112008042407098-pct00157

크기 계수 M은 또한 필드 의존성이다.The magnitude factor M is also field dependent.

시스템 특징화 데이터의 생성은 물체 샘플에 대해 앞서 설명한 것과 동일한 방식으로 한다. 기지의 특성을 가지는 가공품으로 이동하여 그것을 측정하고, 완전한 시스템에 대해 예상하는 것과 결과가 어떻게 다른지를 조사함으로써 시스템 특징화를 결정한다. 구체적으로, 보정 라이브러리 엔트리가 미리 정해져 있는 기지의 샘플을 사용하여, 식 (98)에서처럼 위상 갭 A"를 생성하고, 식 (102)에서처럼 최종 높이 h'를 생성한다. 그런 다음, 완전히 평평한 가공품을 가정하여, 위상 오프셋The generation of system characterization data is done in the same manner as described above for object samples. System characterization is determined by moving to a workpiece with known characteristics, measuring it, and examining how the results differ from what one would expect for a complete system. Specifically, using known samples with a predetermined calibration library entry, create a phase gap A "as in equation (98) and a final height h 'as in equation (102). Assume phase offset

Figure 112008042407098-pct00158
Figure 112008042407098-pct00158

과, 시스템 위상 갭And, system phase gap

Figure 112008042407098-pct00159
Figure 112008042407098-pct00159

을 계산하며, 위 식에서 connectxy()는 픽셀 대 픽셀 위상 연속화이다. 크기 맵은 다음과 같다:Where connect xy () is pixel-to-pixel phase continuity. The size map looks like this:

Figure 112008042407098-pct00160
Figure 112008042407098-pct00160

몇몇 실시예에서, 샘플 타입의 범위에 걸쳐 마지막 응용예(예를 들어, Si 상에 SiO2)에 대해, 가능하면 유사한 표면 구조를 가지는 가공품을 사용하여 수 개의 시스템 특징화를 평균할 수 있다.In some embodiments, for the last application (eg, SiO 2 on Si) over a range of sample types, workpieces with possibly similar surface structures may be used to average several system characterizations.

위의 많은 설명 및 시뮬레이션에서, 박막 표면 구조에 초점을 두었지만, 이 분석법은 다른 타입의 복합 표면 구조에도 적용할 수 있다. 다음에 주사 간섭계 현미경의 광학 해상도보다도 작은 표면 구조를 설명하기 위해 주사 간섭측정 신호가 어떻게 분석될 수 있는지를 설명한다. 광학 해상도는 궁극적으로 광원의 파장과 광 수집 광학기의 개구수(NA)에 의해 제한된다. In many of the descriptions and simulations above, the focus is on thin film surface structures, but this method can be applied to other types of complex surface structures. Next, how the scanning interferometry signal can be analyzed to explain the surface structure smaller than the optical resolution of the scanning interferometer microscope will be described. Optical resolution is ultimately limited by the wavelength of the light source and the numerical aperture (NA) of the light collecting optics.

도 16 (a)는 500nm 명목상 파장의 광원을 사용하여 120nm의 최고점 대 최저점(peak-to-valley, PV) 변조 깊이를 가지는 mm당 2400 라인(1pmm) 격자의 실제 주사 간섭측정 데이터로부터 결정된 높이 프로파일을 나타낸 것이다. 도 16 (a)의 상단 프로파일은 종래의 FDA 분석법을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 종래의 분석법은 겨우 약 10nm의 PV 변조 깊이를 나타내고, 실제 변조 깊이를 아주 적게 평가한다. 이 부정확성은, 격자가 500-nm 기기의 광학 해상도의 한계에서의 특징을 가지기 때문에 발생한다. 이것은 기기의 카메라의 픽셀 해상도가 격자를 정확하게 분석하기 위한 것보다 더 충분하더라도 그렇다.Figure 16 (a) is a height profile determined from actual scanning interferometric data of 2400 lines (1 pmm) grating with a peak-to-valley (PV) modulation depth of 120 nm using a 500 nm nominal wavelength light source. It is shown. The top profile in FIG. 16 (a) shows the height profile determined using a conventional FDA assay. Conventional assays show only a PV modulation depth of about 10 nm, with very little estimate of the actual modulation depth. This inaccuracy occurs because the gratings are characterized at the limits of the optical resolution of 500-nm devices. This is true even if the device's camera's pixel resolution is more than sufficient to accurately analyze the grid.

이 효과에 대해 생각하는 한가지 방법은, 부가적인 표면 위치들이 제1 픽셀에 대한 회절광(diffract light)의 광 파장에 비례하여 충분히 예리한 표면 특성을 가질 때, 일반적으로 제1 표면 위치에 대응하는 제1 카메라 픽셀의 주사 간섭측정 신호가 또한 인접한 표면 위치로부터의 기여분을 포함하는 것이다. 이들 인접한 표면 위치에서의 표면 높이 특징은 제1 표면 위치에 대응하는 주사 간섭측정 신호에 대한 종래의 분석을 손상시킨다(corrupt).One way to think about this effect is that when additional surface locations have sufficiently sharp surface properties proportional to the light wavelength of the diffracted light for the first pixel, the first method generally corresponds to the first surface location. Scanning interferometry signals of one camera pixel also include contributions from adjacent surface locations. Surface height features at these adjacent surface locations corrupt the conventional analysis of the scanning interferometry signal corresponding to the first surface location.

하지만 동시에, 이것은 제1 표면 위치에 대응하는 주사 간섭측정 신호가 가까운 복합 표면 특징에 관한 정보를 포함한다는 것을 의미한다. 도 17은 계단 높이 특징에 대한 다양한 위치에 대응하는 픽셀들로부터의 주사 간섭측정 신호를 보여줌으로써 이를 설명하는 것이다. (a)의 신호는 계단 높이는 픽셀의 오른쪽이고 더 높은 경우, (b)의 신호는 계단이 픽셀을 직접 관통하는 경우, (c)의 신호는 계단이 픽셀의 왼쪽이고 낮은 경우이다. 신호에서 즉각 보이는 하나의 서명(signature)은 (a) 및 (c)에 대한 (b)에서의 프린지 콘트라스트의 감소이다. 예를 들어, 계단 높이가 파장 및 계단 높이의 위치에 정확하게 대응된 픽셀 위치의 1/4과 같았다면, 계단의 두 측면으로부터의 간섭이 정확하게 서로를 소거할 것이기 때문에 (b)에서의 프린지 콘트라스트는 완전히 사라져야 한다. 또한 (a) 및 (c)에 도시되어 있는 신호에는 많은 정보가 있다. 예를 들어, 도 18은 도 17의 신호 (a) 및 (c)에 대한 주파수 도메인 위상 스펙트럼의 비선형 왜곡을 나타낸다. 계단 높이가 없으면, 주파수 도메인 위상 스펙트럼은 선형일 것이다. 따라서 계단 높이에 인접한 표면 위치에 대응하는 픽셀들에 대한 주파수 도메인 위상 스펙트럼의 비선형 특징은 그럼에도 불구하고 계단 높이에 대한 정보를 포함한다.At the same time, however, this means that the scanning interferometry signal corresponding to the first surface position contains information about the near composite surface feature. FIG. 17 illustrates this by showing a scanning interferometry signal from pixels corresponding to various positions for the step height feature. The signal of (a) is for the step height is to the right of the pixel and higher, the signal of (b) is for the step to pass directly through the pixel, and the signal of (c) is for the step to the left and low of the pixel. One signature immediately visible in the signal is the reduction of the fringe contrast in (b) for (a) and (c). For example, if the step height was equal to a quarter of the pixel position exactly corresponding to the position of the wavelength and the step height, the fringe contrast in (b) would be because interference from the two sides of the step would exactly cancel each other out. It must disappear completely. There is also a lot of information in the signals shown in (a) and (c). For example, FIG. 18 shows the nonlinear distortion of the frequency domain phase spectrum for signals (a) and (c) of FIG. 17. Without the step height, the frequency domain phase spectrum would be linear. Thus the nonlinear feature of the frequency domain phase spectrum for the pixels corresponding to the surface location adjacent to the step height nevertheless contains information about the step height.

그러한 분석되지 않은 표면 형상이 존재하는 시험 표면의 표면 프로파일을 더욱 정확하게 측정하기 위하여, 박막에 대해 앞서 설명한 바와 같은 라이브러이 검색 기술을 사용할 수 있다. 예를 들어, 분석되지 않은 격자를 가진 시험 표면의 경우에, 일련의 모델 FDA 스펙트럼이 PV 변조 깊이와 오프셋 위치의 상이한 값들에 대해 생성된다. 박막의 예에서처럼, 모델 스펙트럼의 표면 높이는 여전히 고정되어 있다. 그런 다음, 이 분석은 오히려 모델 스펙트럼이 박막 두께에 의해 파라미터화 되는 것을 제외하고는 위의 박막의 예와 같이 계속하여, 변조 깊이와 오프셋 위치에 의해 파라미터화 된다. 실제 시험 표면에 대한 FDA 스펙트럼의 서명과 다른 모델 스펙트럼 사이의 비교는 그런 다음 일치 여부를 결정하는 데 사용될 수 있다. 일치에 기초하여, 격자의 존재로 인해 발생된 각 픽셀에 대한 실제 FDA 스펙트럼의 왜곡이 제거되어, 각각의 픽셀에 대한 표면 높이를 종래의 처리방법을 사용하여 결정할 수 있다. 박막에 대해 전술한 바와 같이 동일한 메리트 함수들을 사용하는 이러한 분석의 결과는 도 16 (b) 및 도 19 (b)에 도시되어 있다. To more accurately measure the surface profile of a test surface where such an unanalyzed surface shape is present, a library search technique as described above for thin films can be used. For example, in the case of a test surface with an unanalyzed grating, a series of model FDA spectra are generated for different values of PV modulation depth and offset position. As in the thin film example, the surface height of the model spectrum is still fixed. This analysis is then parameterized by the modulation depth and offset position, as in the example of the thin film above, except that the model spectrum is parameterized by the film thickness. The comparison between the signature of the FDA spectrum against the actual test surface and the other model spectrum can then be used to determine a match. Based on the match, the distortion of the actual FDA spectrum for each pixel generated due to the presence of the grating is removed, so that the surface height for each pixel can be determined using conventional processing. The results of this analysis using the same merit functions as described above for the thin film are shown in FIGS. 16 (b) and 19 (b).

도 16 (b)는 도 16 (a)를 참조하여 앞서 설명한 mm당 2400 라인 격자를 라이브러리 검색 분석법을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 동일한 데이터가 도 16 (a)와 (b)에서 사용되었지만, 라이브러리 검색 분석법은 격자의 PV 변조 깊이를, 도 16 (a)의 종래의 FDA 처리에 의해 결정된 10-nm 결과보다 실제 120-nm 변조 깊이에 훨씬 더 가까운 100nm로 결정하였다. 도 19 (a) 및 (b)는 이산 계단 높이를 가지는 시뮬레이션에 대해 유사한 분석법을 나타낸 것이며, 명목상 500-nm 광원으로 가정한다. 도 19 (a)는 시뮬레이션의 실제 높이 프로파일(점선)에 비교되는 종래의 FDA 처리법을 사용하여 결정된 높이 프로파일을 나타낸 것이 다. 도 19 (b)는 시뮬레이션의 실제 높이 프로파일(점선)에 비교되는 라이브러리 검색 방법(실선)을 사용하여 결정된 높이 프로파일을 나타낸 것이다. 라이브러리 검색의 모델 스펙트럼에 대한 파라미터는 위치 및 계단 높이 크기이다. 도시된 바와 같이, 라이브러리 검색 분석은 측면 해상도(lateral resolution)를 약 0.5 미크론에서 약 0.3 미크론으로 향상시킨다.FIG. 16 (b) shows a height profile determined by using a library search analysis on a grid of 2400 lines per mm described above with reference to FIG. 16 (a). Although the same data was used in Figures 16 (a) and (b), the library search assay modulated the PV modulation depth of the grating, rather than the actual 120-nm modulation than the 10-nm result determined by the conventional FDA treatment of Figure 16 (a). It was determined to be 100 nm much closer to the depth. 19 (a) and (b) show similar analytical methods for simulations with discrete step heights, assuming nominally a 500-nm light source. Figure 19 (a) shows the height profile determined using conventional FDA treatment compared to the actual height profile (dotted line) of the simulation. 19 (b) shows the height profile determined using the library search method (solid line) compared to the actual height profile (dashed line) of the simulation. Parameters for the model spectrum of the library search are position and step height magnitudes. As shown, library search analysis improves lateral resolution from about 0.5 microns to about 0.3 microns.

도 19 (a), (b)의 예에서, 라이브러리는, 이 경우에 분모를 1로 설정(하부의 층들로부터의 다중 반사가 존재하지 않기 때문임)는 것을 제외하고는 박막에 대해 식 (77)과 유사한 식을 사용하여 이론적으로 생성하였고, 분자의 첫 번째 및 두 번째 항은 실제의 계단 높이 위치로부터 측정 지점의 횡방향 거리에 따라 가중되었으며, 파라미터 L은 박막 두께가 아닌 계단 높이 자체에 대응한다. 따라서, 이 이론적인 모델은 계단 높이의 양쪽으로부터 나타나는 광선의 복소 합산(complex summing)에 기초한다. 검사 중인 픽셀의 횡방향 위치가 그 지점의 계단 높이로부터 거리가 멀어질수록, 신호는 단순한 평탄 면의 신호로 변하는 경향이 있다.In the example of Figures 19 (a), (b), the library is expressed in terms of the equation for the thin film except that Theoretically generated using an equation similar to), the first and second terms of the molecule are weighted according to the lateral distance of the measuring point from the actual step height position, and the parameter L corresponds to the step height itself, not the film thickness. do. Thus, this theoretical model is based on the complex summing of the light rays appearing from both sides of the step height. As the transverse position of the pixel being inspected moves away from the step height at that point, the signal tends to change to a signal of a simple flat surface.

도 16 (a) 및 (b)의 예에서, 라이브러리는 간섭측정 기기에 의해 2400 라인 격자에 대해 생성된 신호를 관찰함으로써 실험적으로 생성되었다. 이 실험적인 데이터에 기초하여, 주사 간섭측정 데이터 내의 서명을 격자 주기 내의 대응하는 횡방향 위치와 서로 관련시켜 라이브러리를 구축하였다. 그 후, 시험 샘플(이 경우에는 2400 라인 격자였음)의 픽셀 각각에 대한 실험적인 데이터를 라이브러리와 비교하여 픽셀의 주기 내에서 최선의 횡방향 위치를 결정하였다. In the example of Figures 16 (a) and (b), the library was created experimentally by observing the signal generated for the 2400 line grating by the interferometric device. Based on this experimental data, a library was constructed by correlating the signatures in the scanning interferometric data with the corresponding transverse position in the lattice period. The experimental data for each pixel of the test sample (which in this case was a 2400 line grid) was compared with the library to determine the best transverse position within the period of the pixel.

전술한 상세한 분석에서, 실제 데이터 내의 정보와 상이한 모델에 대응하는 정보 사이의 비교는 주파수 도메인에서 이루어졌다. 다른 실시예에서, 비교는 주사 좌표 도메인에서 이루어질 수 있다. 예를 들어, 프린지 콘트라스트 포락선의 절대 위치의 변화는 일반적으로 해당 신호에 대응하는 제1 표면 위치에서의 표면 높이의 변화를 나타내고, 신호의 형상(그 절대 위치에 독립한)은 제1 표면 위치의 아래의 층 및/또는 인접하는 위치의 표면 구조와 같은 복합 표면 구조의 정보를 포함한다.In the above detailed analysis, a comparison between the information in the actual data and the information corresponding to the different models was made in the frequency domain. In other embodiments, the comparison may be in the scan coordinate domain. For example, a change in the absolute position of the fringe contrast envelope generally indicates a change in surface height at the first surface position corresponding to that signal, and the shape of the signal (independent of its absolute position) is determined by the first surface position. Information of the composite surface structure, such as the surface structure of the underlying layer and / or adjacent locations.

하나의 단순한 경우는 프린지 콘트라스트 포락선 자체의 크기를 고려하는 것이다. 예를 들어, 박막의 두께가 광원에 의해 발생되는 파장의 범위에 비해 아주 얇을 때, 박막에 의해 발생된 간섭 효과는 파장에 독립하게 되고, 이 경우에 박막 두께는 프린지 콘트라스트 포락선의 크기를 직접 변조한다. 그래서, (간섭계 자체의 계통적인 기여분을 고려하여) 특정 박막 두께에 대한 일치를 식별하기 위해, 일반적으로 프린지 콘트라스트 크기를 상이한 박막 두께에 대응하는 모델들의 그것과 비교할 수 있다.One simple case is to consider the size of the fringe contrast envelope itself. For example, when the thickness of a thin film is very thin compared to the range of wavelengths generated by a light source, the interference effect generated by the thin film becomes wavelength independent, in which case the thin film thickness directly modulates the size of the fringe contrast envelope. do. Thus, in order to identify a match for a particular thin film thickness (considering the systematic contribution of the interferometer itself), the fringe contrast size can generally be compared with that of models corresponding to different thin film thicknesses.

다른 간단한 경우는 프린지 콘트라스트 포락선에서 프린지들의 0 교차의 상대적인 간격을 조사하는 것이다. 대칭 주파수 분포로 조명된 단순한 표면 구조에서, 상이한 0(영) 교차들간의 상대적인 간격은 명목상은 같아야 한다. 따라서 (간섭계 자체의 계통적인 기여분을 고려할 때) 상대적인 간격의 변동은 복합 표면 구조를 나타내고, 특정 표면 구조와의 일치를 확인하기 위해 상이한 복합 표면 구조의 모델들과 비교될 수 있다.Another simple case is to examine the relative spacing of zero crossings of the fringes in the fringe contrast envelope. In simple surface structures illuminated with symmetrical frequency distributions, the relative spacing between different zero crossings should be nominally equal. Thus, the variation in relative spacing (when considering the systematic contribution of the interferometer itself) represents a composite surface structure and can be compared with models of different composite surface structures to confirm consistency with a particular surface structure.

또 다른 경우는 주파수 도메인 신호와 시험 표면의 상이한 모델에 대응하는 주사 도메인 신호 사이에 상관을 수행하는 것이다. 일치는 일반적으로 최고의 최고점 값을 가지는 상관에 대응하고, 주사 도메인 신호가 실제 신호의 형상과 가장 유사한 형상을 가지는 모델을 나타낸다. 이러한 분석은 실제 샘플의 표면 높이와 상관 함수의 최고점의 위치를 단지 이동한 각 모델의 표면 높이 사이의 차이 때문에 일반적으로 표면 높이에 독립하지만, 일반적으로 최고점 값 자체에 영향을 미치지 않는다는 것에 유의하여야 한다. 한편, 일단 보정 모델이 식별되면, 보정 모델의 상관 함수의 최고점의 위치는 (종래의 FDA와 같은) 추가 분석의 요구 없이 시험 샘플의 표면 높이를 산출한다.Another case is to perform a correlation between the frequency domain signal and the scan domain signal corresponding to a different model of the test surface. The match generally corresponds to the correlation with the highest peak value, and represents a model in which the scan domain signal has the shape that most closely resembles the shape of the actual signal. It should be noted that this analysis is generally independent of the surface height because of the difference between the surface height of the actual sample and the surface height of each model that just moved the position of the peak of the correlation function, but generally does not affect the peak value itself. . On the other hand, once the calibration model is identified, the position of the highest point of the correlation function of the calibration model yields the surface height of the test sample without requiring further analysis (such as conventional FDA).

공간 주파수 도메인에서의 분석과 유사하게, 주사 좌표 도메인에서의 분석을 박막뿐 아니라 전술한 바와 같은 분석되지 않은 표면 높이 특징과 같은 다른 복합 표면 구조를 포함하는 상이한 많은 다른 타입의 복합 표면에 사용할 수 있다.Similar to the analysis in the spatial frequency domain, the analysis in the scan coordinate domain can be used for many different types of composite surfaces, including thin films as well as other composite surface structures such as the unanalyzed surface height features as described above. .

이제 시험 샘플의 신호와 시험 샘플의 여러 모델에 대응하는 신호 사이의 상관을 수반하는 주사 좌표 라이브러리 검색 분석에 대해 상세하게 설명한다.The scan coordinate library search analysis involving the correlation between the signal of the test sample and the signal corresponding to various models of the test sample is now described in detail.

이러한 접근법은 동일한 기초, 지역화된 간섭 패턴, 각각의 픽셀에 대해 위치상으로만 이동된( 그리고 어쩌면 크기가 변경된) 것을 포함하는 동일한 복합 표면 특성을 갖는 표면 위치들에 대응하는 데이터 세트의 모든 픽셀 이외의 간섭 패턴에 대한 모든 가정을 버린다. 신호가 실제처럼 보이는지, 가우시안 포락선 또는 주파수 도메인에서 선형 위상 양식을 가지는지 또는 그 밖에 무엇이든지 간에 중요하지 않다. 기술적 사상은 시험 물체의 복합 표면 구조의 상이한 모델들에 대한 이 국부화된 간섭 패턴을 나타내는 샘플 신호 또는 템플릿을 생성하는 것이고, 그 후 각 픽셀에 대해 국부화된 간섭 패턴이 실제 국부화된 간섭 패턴의 형상과 가장 일치하는 모델을 찾고, 그 모델에 대해 간섭 패턴 템플릿과 관찰된 신호 사이의 최고 일치를 제공하는 데이터 세트 내의 주사 위치를 찾는다. 하나의 접근법은 각 템플릿을 데이터 수학적으로 서로 관련시키는 것이다. 각각의 모델에 대해 복소수(즉, 실수 더하기 허수) 템플릿 함수를 사용하여 두 개의 프로파일을 복구하는데, 하나는 신호의 포락선과 밀접하게 연관되고, 다른 하나는 기초를 이루는(underlying) 위상과 연관된다.This approach is other than all the pixels in the data set that correspond to surface locations with the same underlying, localized interference pattern, and surface locations with the same complex surface characteristics, including only shifted (and maybe resized) positionally for each pixel. Discard all assumptions about the interference pattern. It does not matter whether the signal looks real, has a Gaussian envelope or linear phase pattern in the frequency domain, or whatever. The technical idea is to generate a sample signal or template representing this localized interference pattern for different models of the composite surface structure of the test object, and then the localized interference pattern for each pixel is the actual localized interference pattern. Find the model that most closely matches the shape of and find the scan location in the data set that provides the best match between the interference pattern template and the observed signal for that model. One approach is to correlate each template with data mathematically. For each model, two profiles are recovered using a complex (i.e. real plus imaginary) template function, one closely associated with the envelope of the signal and the other associated with the underlying phase.

다른 실시예에서는, 예를 들어 각각의 픽셀에 대한 분석은 (1) 막 두께와 같은 조정 가능한 파라미터의 특정 값에 대해 계산되거나 기록된 템플릿 라이브러리로부터 시험 템플릿을 선택하는 단계; (2) 선택된 템플릿과 상관 기술(이 예에 대해서는 후술한다)을 사용하여 국부 표면 높이를 구하는 단계; (3) 상관 기술에 기초하여 선택된 시험 템플릿에 대한 최고점 메리트 함수값을 기록하는 단계; (4) 라이브러리 내의 모든 템플릿 또는 서브셋 템플릿에 대해 단계 1 내지 3을 반복하는 단계; (5) 어떤 시험 템플릿이 가장 일치(= 최고의 최고점 메리트 함수값)하는지를 결정하는 단계; (6) 가장 일치하는 템플릿(예를 들어, 박막 두께)에 대한 조정 가능한 파라미터의 값을 기록하는 단계; 그리고 (7) 데이터 트레이스 내의 최고점 일치 위치를 제공한 높이 값을 다시 부르는 단계를 포함할 것이다.In another embodiment, the analysis for each pixel, for example, comprises: (1) selecting a test template from a template library calculated or recorded for a particular value of an adjustable parameter such as film thickness; (2) obtaining a local surface height using a selected template and a correlation technique (described later for this example); (3) recording the peak merit function value for the selected test template based on the correlation technique; (4) repeating steps 1 to 3 for every template or subset template in the library; (5) determining which test template best matches (= highest peak merit function value); (6) recording the value of the adjustable parameter for the best matching template (eg thin film thickness); And (7) recalling the height value providing the highest point coincidence position in the data trace.

이제 복합 상관에 기초하여 적당한 상관 기술을 설명한다. 시험 표면의 모델 각각에 대해 템플릿 간섭 패턴A suitable correlation technique will now be described based on complex correlation. Template interference pattern for each model of the test surface

Figure 112008042407098-pct00161
Figure 112008042407098-pct00161

을 생성하고, 위 식에서 지수 j는 템플릿 패턴의 특정 모델을 나타낸다. 함수

Figure 112008042407098-pct00162
Figure 112008042407098-pct00163
는 복합 표면 구조의 특성을 기술하는 것이지만, 신호에 대응하는 위치에서의 표면 높이에 무관하며, 0(영)으로 설정된다. 바람직한 실시예에서,
Figure 112008042407098-pct00164
Figure 112008042407098-pct00165
는 또한 간섭계로부터의 계통적인 기여분을 고려한다. 이후 템플릿 패턴에 대한 복소 표현식을 사용한다:In this equation, the index j represents a specific model of the template pattern. function
Figure 112008042407098-pct00162
And
Figure 112008042407098-pct00163
Describes the characteristics of the composite surface structure, but is set to 0 (zero), independent of the surface height at the location corresponding to the signal. In a preferred embodiment,
Figure 112008042407098-pct00164
And
Figure 112008042407098-pct00165
Also considers the systematic contribution from the interferometer. We then use a complex expression for the template pattern:

Figure 112008042407098-pct00166
Figure 112008042407098-pct00166

또한 복소 템플릿 함수의 특정 부분을 선택하는 창 함수(window function)를 사용한다:We also use a window function that selects a specific part of the complex template function:

Figure 112008042407098-pct00167
Figure 112008042407098-pct00167

Figure 112008042407098-pct00168
Figure 112008042407098-pct00168

예를 들어, 적절한 창은 For example, the appropriate window

Figure 112008042407098-pct00169
Figure 112008042407098-pct00169

이고, 위 식에서 창 폭

Figure 112008042407098-pct00170
는 수동으로 설정될 수 있다.Window width in the above formula
Figure 112008042407098-pct00170
Can be set manually.

이제 간섭 패턴 템플릿

Figure 112008042407098-pct00171
를 가지고, 이를 실제 데이터 세트와 비교할 준 비가 되었다. 이에 대한 준비로, 실제 실험 데이터 세트Interference pattern template
Figure 112008042407098-pct00171
Now we are ready to compare this with the actual data set. In preparation for this, the actual experimental data set

Figure 112008042407098-pct00172
Figure 112008042407098-pct00172

로부터 시작하는 복소 신호

Figure 112008042407098-pct00173
를 생성하기 쉬울 것이다.Complex signal starting from
Figure 112008042407098-pct00173
It will be easy to generate.

이 신호의 푸리에 변환은The Fourier transform of this signal

Figure 112008042407098-pct00174
Figure 112008042407098-pct00174

Figure 112008042407098-pct00175
Figure 112008042407098-pct00175

이고, 위 식에서In the above equation

Figure 112008042407098-pct00176
Figure 112008042407098-pct00176

그러면 스펙트럼의 포지티브 주파수 부분으로 부분적인 스펙트럼을 구성한다:Then construct a partial spectrum with the positive frequency portion of the spectrum:

Figure 112008042407098-pct00177
Figure 112008042407098-pct00177

그러면 그 역변환은 Then the inverse transformation

Figure 112008042407098-pct00178
Figure 112008042407098-pct00178

Figure 112008042407098-pct00179
Figure 112008042407098-pct00179

이다.to be.

위 식에서, 복소 함수

Figure 112008042407098-pct00180
의 실수부는 원래의 실험적인 데이터 Iex이다. 또한 위상과 포락선은 단순한 연산에 의해 분리할 수 있으며, 예를 들어 복소 함수
Figure 112008042407098-pct00181
의 크기를 사용한 신호 세기 ACex(x)와 포락선 mex의 곱으로 접근할 수 있다:In the above formula, a complex function
Figure 112008042407098-pct00180
The real part of is the original experimental data I ex . In addition, phase and envelope can be separated by simple calculations, for example complex functions
Figure 112008042407098-pct00181
We can access the signal strength AC ex (x) by the magnitude of the envelope m ex :

Figure 112008042407098-pct00182
Figure 112008042407098-pct00182

이 기술의 기초가 되는 이론에 따르면, 정확한 모델에 대해

Figure 112008042407098-pct00183
와 같은 일반적인 형상을 가지기 위한 mex의 적어도 의미있는 부분을 예상하여, 유일한 차이는 선형 오프셋 hex와 스케일링 인자 ACex(x)이다. 또한 정확한 모델에 대해 높이 hex에 선형적으로 비례되도록, 실험적 및 간섭 패턴 템플릿의 위상 오프셋
Figure 112008042407098-pct00184
사이의 차이를 각각 예상한다.According to the theory that underlies this technique, we can
Figure 112008042407098-pct00183
In anticipation of at least a significant portion of m ex to have a general shape such as, the only difference is the linear offset h ex and the scaling factor AC ex (x). In addition, the phase offsets of the experimental and interference pattern templates are linearly proportional to the height h ex for the correct model.
Figure 112008042407098-pct00184
Expect the difference between each.

직면한 과제는 간섭 패턴 템플릿

Figure 112008042407098-pct00185
에 의해 표현되는 특정 신호 패턴을 실험적인 데이터 세트
Figure 112008042407098-pct00186
내에 배치하고, 각각의 상이한 모델 j에 대해 얼마나 잘 일치하는지를 결정하는 것이다. 이하에서, 지수 j를 버리고 각각의 모델에 대해 일치 분석을 진행하는 것에 유의하여야 한다.The challenge we faced is the interference pattern template
Figure 112008042407098-pct00185
Experimental data set of specific signal patterns represented by
Figure 112008042407098-pct00186
And how well they match for each different model j. In the following, it should be noted that discarding the index j and proceeding concordance analysis for each model.

첫 번째 단계는 포락선 mex, mpat

Figure 112008042407098-pct00187
의 형상이 가장 일치되는 주사 위치
Figure 112008042407098-pct00188
를 찾는 것이다. 실행 가능한 접근법은 창 w에 의해 규정된 주사의 세그먼트 내의 신호와 함께 간섭 패턴의 정규화된 상관에 기초한 메리트 함수이다:The first step is the envelope m ex , m pat and
Figure 112008042407098-pct00187
Scanning position where the shape of
Figure 112008042407098-pct00188
. A viable approach is a merit function based on the normalized correlation of the interference pattern with the signal in the segment of the scan defined by window w:

Figure 112008042407098-pct00189
Figure 112008042407098-pct00189

위 식에서,  In the above equation,

Figure 112008042407098-pct00190
Figure 112008042407098-pct00190

는 복소 상관 함수이고, Is a complex correlation function,

Figure 112008042407098-pct00191
Figure 112008042407098-pct00191

Figure 112008042407098-pct00192
Figure 112008042407098-pct00192

는 신호 세기에 독립한 메리트 함수 Π를 만드는 정규화이다. 템플릿의 복소 공액

Figure 112008042407098-pct00193
의 사용은 동시성의 선형 위상 항
Figure 112008042407098-pct00194
를 상쇄하고,
Figure 112008042407098-pct00195
가 일치하는 경우에 Π를 최대화한다. 상관의 절대값 ∥은 임의의 잔류 복소 위상을 제거한다.Is a normalization that makes the merit function Π independent of signal strength. Complex conjugate of the template
Figure 112008042407098-pct00193
The use of the linear phase term of concurrency
Figure 112008042407098-pct00194
Offsets,
Figure 112008042407098-pct00195
Π maximizes if The absolute value of the correlation removes any residual complex phase.

거짓(false)의 높은 값의 생성 또는 낮은 신호 레벨에서의 단일성(singularity)의 만남으로부터

Figure 112008042407098-pct00196
를 방지하기 위해, 다음과 같이 최소값을 공통요소(denominator)에 가산하는 것이 현명하고,From the generation of false high values or the encounter of singularity at low signal levels
Figure 112008042407098-pct00196
To avoid this, it is wise to add the minimum value to the denominator as

Figure 112008042407098-pct00197
Figure 112008042407098-pct00197

위 식에서 max() 함수는 총 주사 길이

Figure 112008042407098-pct00198
에 걸쳐 신호 세기
Figure 112008042407098-pct00199
의 최대값을 돌려주고, MinDenom은 메리트 함수 검색에서 유효한 것으로 간주하는 상대적인 신호 세기의 최소값이다. MinDenom의 값은 5% 또는 어떤 다른 작은 값으로 정해질 수 있고(hard coded), 또는 조정 가능한 파라미터로 남을 수 있다.Where max () is the total scan length
Figure 112008042407098-pct00198
Signal strength across
Figure 112008042407098-pct00199
Returns the maximum value of, and MinDenom is the minimum value of relative signal strength that is considered valid in merit function search. The value of MinDenom can be hard coded to 5% or some other small value, or left as an adjustable parameter.

상관 적분

Figure 112008042407098-pct00200
은 상관 이론을 사용하여 주파수 도메인에서 수행될 수 있다:Correlation integral
Figure 112008042407098-pct00200
Can be performed in the frequency domain using correlation theory:

Figure 112008042407098-pct00201
Figure 112008042407098-pct00201

위 식에서 I는 In the above formula, I is

Figure 112008042407098-pct00202
Figure 112008042407098-pct00202

을 사용하여 만들었으며, 위 식에서Was created using

Figure 112008042407098-pct00203
Figure 112008042407098-pct00203

최고점 값을 찾기 위한 Π를 통한 검색은 최고의 일치 위치

Figure 112008042407098-pct00204
를 얻고, Π의 값은 완전한 일치에 대응하는 것을 하나 가지는 0에서 1까지의 범위의 일치의 품질의 측정값이다. 메리트 함수의 최고점 값은 어느 모델이 최고 일치하는지를 결정하기 위해 상이한 모델 각각에 대해 계산되며, 그 모델에 대한 최고 일치 위치
Figure 112008042407098-pct00205
는 표면 높이를 제공한다.Search through Π to find the highest value finds the best match
Figure 112008042407098-pct00204
The value of [pi] is a measure of the quality of the match in the range of 0 to 1 with one corresponding to a perfect match. The peak value of the merit function is calculated for each of the different models to determine which model is the best match, and the highest match location for that model.
Figure 112008042407098-pct00205
Gives the surface height.

도 20 내지 도 24는 이 기술의 일례를 나타낸 것이다. 도 20은 박막이 없는 베이스 Si 기판의 실제 주사 간섭측정 신호를 도시한 것이다. 도 21 및 도 22는 Si 상에 1미크론의 SiO2를 구비한 베어 Si 기판 및 박막 구조의 간섭 템플릿 패턴을 각각 도시한 것이다. 도 23 및 도 24는 도 21 및 도 22의 템플릿 함수에 대한 주 사 위치의 함수인 메리트 함수를 도시한 것이다. 메리트 함수는 베어 기판의 간섭 템플릿 패턴(최고점 값 0.92)이 박막 템플릿 패턴(최고점 값 0.76)의 그것보다 훨씬 양호하게 일치한다는 것을 보여주고, 따라서 시험 샘플이 베어 기판임을 나타낸다. 또한, 정확한 템플릿 패턴의 메리트 함수에서의 최고점의 위치는 시험 샘플의 상대적인 표면 높이 위치를 제공한다.20-24 show an example of this technique. 20 shows the actual scan interferometry signal of the base Si substrate without the thin film. 21 and 22 illustrate interference template patterns of a bare Si substrate and a thin film structure each having 1 micron of SiO 2 on Si. 23 and 24 illustrate merit functions that are functions of the scan position for the template functions of FIGS. 21 and 22. The merit function shows that the interference template pattern (peak value 0.92) of the bare substrate matches much better than that of the thin film template pattern (peak value 0.76), thus indicating that the test sample is a bare substrate. In addition, the position of the highest point in the merit function of the correct template pattern provides the relative surface height position of the test sample.

이제, 프로트 엔드(front end) 반도체 제조 공정에서의 패터닝된 구조와 같은, 특히 분석되지 않은 형상에 관련 있는 기술에 대한 일정한 예들을 설명한다.Some examples of techniques related to unanalyzed shapes, such as patterned structures in front end semiconductor manufacturing processes, are now described.

광학 리소그래피에 의해 패터닝되지만, 프론트 엔드 또는 트랜지스터 레벨의 반도체 제조 공정은 가시 파장 현미경의 해상도 한계보다 훨씬 아래인 형상을 포함한다. 트랜지스터 게이트와 같은, 최소 형상은 대략 45nm 폭이고, 전형적인 주사 간섭계의 기기 전달 함수(instrument transfer function)는 400nm의 주기적 구조에 대해 영(zero)이 된다. 예를 들어, 도 28은 100X, 0.8 NA의 미라우(Mirau) 대물 렌즈와 코히어런트하지 않은(incoherent) 조명을 사용하는 백색광 간섭 현미경의 이론적이고 실험적인 기기 전달 함수를 나타낸다. 게이트들의 분리(separation), 얕은 트렌치 절연(shallow trench isolation, STI), 배선들 및 비아(via)들은 흔히 이 하한과 비슷하고; 따라서 일부의 표면 구조를 보지만 전부는 아니다. 그러므로, 이들 분석되지 않은 형상은 백색광 간섭 현미경을 사용하는 일반적인 방법으로는 높이가 있는 물체(height object)로서 직접 측정될 수 없다. 하지만, 이들 형상의 파라미터(예컨대, 깊이 및 폭) 감시는 대개, 주사 간섭측정 데이터의 생성에 영향을 미치는 광학 해상도 미만의 높이 변화가 어느 정도인지를 안다면, 여전히 가능하다.Although patterned by optical lithography, semiconductor manufacturing processes at the front end or transistor level include shapes that are well below the resolution limit of visible wavelength microscopy. The minimum shape, such as the transistor gate, is approximately 45 nm wide, and the instrument transfer function of a typical scanning interferometer is zero for a 400 nm periodic structure. For example, FIG. 28 shows the theoretical and experimental instrument transfer functions of a white light interference microscope using a Mirau objective lens of 100 ×, 0.8 NA and noncoherent illumination. Separation of gates, shallow trench isolation (STI), wirings and vias are often close to the lower limit; Thus, some surface structures are seen, but not all. Therefore, these unanalyzed shapes cannot be measured directly as height objects in the usual way using white light interference microscopy. However, monitoring of these shape parameters (e.g., depth and width) is still possible if one knows what is the height change below the optical resolution that usually affects the generation of the scan interferometric data.

도 29a는 양측에 패터닝되지 않은 영역이 있는 대칭 격자의 단순한 단면 모델을 나타낸다. y 좌표는 라인들과 평행하고, 도면에서 x 좌표는 왼쪽에서 오른쪽 방향이다. z = 0 수직 위치는 라인들의 상부에 대응한다. 단순한 스칼라 회절 모델 및 아베(Abbe)의 원리는, 격자 라인폭 L 및 간격 W이 도 28에 의해 암시되는 해상도 한계 미만인 경우를 확인하고, 격자 라인들은 전체적으로 흐릿하며, 뉴뷰(NewView)는 횡방향의 치수 L 및 W, 그리고 높이 H도 직접적으로 측정할 수 없다. 하지만, 이 동일 스칼라 분석은, 에칭된 영역의 겉보기 높이가 실제로 라인의 높이, 폭 및 간격에 의존한다는 것을 보여준다. 정확한 의존도는, 모델링에 의해 어느 정도까지 예측되고, 실험상의 증거에 의해 정밀해질 수 있다.29A shows a simple cross-sectional model of a symmetric grating with unpatterned areas on both sides. The y coordinate is parallel to the lines and in the figure the x coordinate is from left to right. The z = 0 vertical position corresponds to the top of the lines. The simple scalar diffraction model and the principle of Abbe confirm the case where the grating line width L and the spacing W are below the resolution limit implied by FIG. 28, the grating lines are overall blurry, and NewView is transverse Dimensions L and W and height H cannot also be measured directly. However, this same scalar analysis shows that the apparent height of the etched regions actually depends on the height, width and spacing of the lines. The exact dependence is predicted to some extent by modeling and can be refined by experimental evidence.

앞서 언급한 바와 같이, 본 명세서에 개시된 일정한 실시예들은 패터닝된 영역들의 겉보기 높이가 중요한 형상 파라미터들에 관련 있다는 관찰 정보(observation)를 활용한다. As mentioned above, certain embodiments disclosed herein utilize observation that the apparent height of the patterned areas is related to important shape parameters.

FDA와 같은 종래의 공정 간섭 기술(processing interferometric technique)을 사용하여 겉보기 표면 높이 프로파일들을 생성한다. 피치가 반파장보다 작은 경우에, 이들 프로파일은 격자 라인들을 전혀 보여주지 않거나, 격자 구조에 대해 중첩되는(superimposed) 시뮬레이팅된 측정된 표면 프로파일들을 보여주는, 도 29b에 나타낸 바와 같이, 기껏해야 전체 "평균된" 높이 H'를 가지는 라인들의 몇몇 자취(echo)를 보여준다. 따라서, 라인 위의 영역과 패터닝되지 않은 베어 기판 위의 영역 사이의 높이 H'를 측정한다. 이 결과는, E' = H'-E를 구하기 위해 에칭 깊이 E를 감산함으로써 무 에칭(zero-etch) 높이로 참조될 수 있다. 다르게는, 무 에칭의 측정된 프로파일이 도 29c(또한 격자 구조 상에 중첩되는 시뮬레이션한 측정된 표면 파일을 나타냄)에서와 같이 이용 가능하면, 측정된 에칭 깊이 E'는 무 에칭 높이로 직접 참조될 수 있다. 횡방향의 치수 L 및 W를 미리 알고 있다고 하면, 정확한 라인 높이 H는 중요한 파라미터에 대한 측정 절차 전체의 감도의 모델링에 따른다. 다르게는, H 및 L를 안다면, W 또는 라인 형상과 같은 몇몇 다른 파라미터를 추정할 수 있다.Apparent surface height profiles are created using conventional processing interferometric techniques such as the FDA. If the pitch is less than half wavelength, these profiles show no grating lines at all, or show simulated measured surface profiles superimposed over the grating structure, as shown in FIG. It shows some echoes of the lines with the averaged "height H '. Thus, the height H 'between the area on the line and the area on the unpatterned bare substrate is measured. This result can be referenced to the zero-etch height by subtracting the etch depth E to find E '= H'-E. Alternatively, if a measured profile of etch free is available as in FIG. 29C (also representing a simulated measured surface file superimposed on the lattice structure), the measured etch depth E 'may be directly referenced to the etch free height. Can be. If the dimensions L and W in the transverse direction are known in advance, the exact line height H depends on the modeling of the sensitivity of the whole measurement procedure for the critical parameters. Alternatively, if we know H and L, we can estimate some other parameters, such as W or line shape.

편광 효과를 고려하기 위한 더욱 엄격한 모델링의 사용은, 도 29b 및 도 29c에 나타낸 시뮬레이션한 프로파일들이, 편광이 게이트 라인들에 직교(x 방향으로 규정함)하는 경우의 거동을 정성적으로 나타낸다는 것을 보여준다. 이에 반해, 평행 또는 y 편광은 라인들 사이에 간격이 전혀 없는 것처럼 보이는 몇몇 경우에, 라인의 상부에 의해 훨씬 더 강한 영향을 받는다. 이것은, 게이트 라인들과 정렬된 편광은 라인들 사이의 영역들을 희생하여 라인들의 상부의 영향을 증가시키는 전류를 생성한다는 점에서, 물리적인 의미를 지닌다. 다양한 구조 파라미터들에 대한 측정의 감도는 편광에 따라 변화하므로, 에칭 깊이와 같은 특정한 파라미터들을 분리하는 한편, 라인 폭과 같은 다른 파라미터들에 대한 감도를 최소화하는 것이 가능할 수 있다.The use of more stringent modeling to account for the polarization effect indicates that the simulated profiles shown in FIGS. 29B and 29C qualitatively represent the behavior when polarization is orthogonal (defined in the x direction) to the gate lines. Shows. In contrast, parallel or y polarization is much more strongly influenced by the top of the line in some cases where there seems to be no gap between the lines. This has a physical meaning in that the polarization aligned with the gate lines produces a current that increases the effect of the top of the lines at the expense of the areas between the lines. Since the sensitivity of the measurement for various structural parameters varies with polarization, it may be possible to separate certain parameters, such as etch depth, while minimizing sensitivity for other parameters, such as line width.

따라서, 이 측정 기술의 실시예들은, 1) 원형 편광과 함께 표준 간섭 현미경의 사용, 및 계단 높이와 에칭 전의 실리콘에 대해 알려진 높이의 시야(field of view, FOV) 내의 이웃하는 패터닝되지 않은 영역의 비교; 2) 위와 같지만, 깊은(> 20nm) 트렌치들에 대한 감도를 향상시키기 위해 x(라인들에 직교함) 편광의 사용; 3) 선형 편광의 사용 및 격자 라인들에 직교 방향으로 배열된 이웃하는 영역들의 높이 비교; 4) 편광에 의존하지 않는, 예컨대 매끈하고 평탄한 영역인 공통의 기준에 대해 동일 시야 내의 x 편광 및 y 편광 상태 모두에 대해 측정된 높이들의 비교; 그리고 5) 예를 들어 x 편광 및 y 편광의 데이터를 동시에 획득함으로써 또는 편광 상태들을 직접적으로 간섭시킴으로써, 서로에 대해 동일한 동일 시야 내의 x 편광 및 y 편광 상태 모두에 대해 측정된 높이들의 비교를 포함한다. 이 접근법은 물체 표면 상의 개별 기준에 대한 모든 요구를 없앨 수 있다.Accordingly, embodiments of this measurement technique include: 1) the use of standard interference microscopy with circular polarization, and the presence of neighboring unpatterned regions in a field of view (FOV) of step height and known height for silicon before etching. compare; 2) as above, but using x (orthogonal to the lines) polarization to improve sensitivity to deep (> 20 nm) trenches; 3) use of linear polarization and comparison of heights of neighboring regions arranged in a direction orthogonal to the grating lines; 4) comparison of the measured heights for both the x and y polarization states within the same field of view against a common reference that is not dependent on polarization, such as a smooth and flat area; And 5) a comparison of the measured heights for both the x and y polarization states within the same field of view with respect to each other, for example by simultaneously acquiring data of x and y polarizations or directly interfering polarization states. . This approach can eliminate all the need for individual criteria on the object surface.

또한, 기본적인 측정 원리는 격자로 한정되지 않고 다른 구조로 확대될 수 있다는 것에 유의하여야 한다.It should also be noted that the basic measurement principle is not limited to lattice but can be extended to other structures.

스칼라 또는 아베(Abbe) 모델은 기본적인 측정 문제를 간파하는 몇몇 중요한 통찰력을 제공하지만, 복합적인(다수의 재료) 분석되지 않은 표면 구조는, 위에서 모두 설명한, 레일 가설에 기초한 2D 접근법 또는 더욱 엄격한 RCWA 접근법과 같이, 대역폭들의 범위 및 입사 각도들에 걸쳐 맥스웰 방정식을 풀어 간섭측정 신호의 더욱 엄격한 모델링의 혜택을 볼 수 있다.Scalar or Abbe models provide some important insight into fundamental measurement problems, but complex (multiple materials) unanalyzed surface structures, based on the rail hypothesis 2D approach or more rigorous RCWA approach, all described above As such, one can solve the Maxwell equation over a range of bandwidths and angles of incidence to benefit from more rigorous modeling of the interferometric signal.

예를 들어, 2D 레일 접근법은 패터닝된 구조 상의 샘플 웨이퍼에 입사하는 원형 편광에 대해 도 27에 나타낸 반전 결과(inversion result)를 설명한다. 구체적으로는, 비편광 또는 원형 편광에는 역 상관(inverse correlation)을 초래하는 x 편광 상태와 y 편광 상태 간의 경합이 존재한다. 이 반전은 y 편광에 비해 x 편광의 기여분에서의 변조를 일으키는, x 편광의 반사 세기의 에칭 깊이에 대한 고감도 에 기인할 수 있다. 이들 두 편광이 상이한 깊이를 보고하기 때문에, 이들의 반사된 세기 사이의 균형(balance)은 겉보기 격자 변조 깊이와 실제 격자 변조 깊이 사이의 비선형 상관을 초래할 수 있다. 2D 레일 계산은, 이러한 반전이 분석되지 않은 격자 라인들로 인해 일어날 수 있음을 보여주었다.For example, the 2D rail approach describes the inversion result shown in FIG. 27 for circularly polarized light incident on the sample wafer on the patterned structure. Specifically, there is contention between the x polarization state and the y polarization state that results in inverse correlation in non-polarized or circularly polarized light. This inversion may be due to the high sensitivity to the etch depth of the reflection intensity of the x-polarized light, causing modulation in the contribution of the x-polarized light relative to the y-polarized light. Since these two polarizations report different depths, the balance between their reflected intensities can result in a nonlinear correlation between the apparent grating modulation depth and the actual grating modulation depth. The 2D rail calculations showed that this inversion could occur due to the grid lines not analyzed.

다른 예에서는, 엄격한 RCWA 접근법을 사용하여, 폭 W = 120nm 및 피치 L = 320인 라인들을 가지는, 상부막층이 없는 순수 실리콘 5 라인 격자를 모델링하였다. 도 30b에 나타낸 시뮬레이션 출력은, 픽셀들로 이루어진 라인(x 방향) 각각에 대해 시뮬레이팅된 SWLI 신호(z 방향)이다. 도 30b는 라인들 사이의 영역 또는 트렌치보다 라인들의 상부에 더욱 민감한, 라인들에 평행한 y 편광에 대한 결과만을 나타낸다. x 편광 및 y 편광 각각에 대해 도 31a 및 도 31b에서와 같이 하나의 픽셀에 대한 출력을 조사하면, 포락선 또는 프린지 콘트라스트 함수에 의해 변조되는 캐리어 프린지 패턴으로 근사되는, 잘 알려진 백색광 간섭 패턴을 인식할 수 있다. 주목할 만한 것은, 약간 왜곡된 x 편광 신호(도 31a)인데, 이것은 격자 라인들의 분석되지 않은 상부와 그 사이의 트렌치들 사이의 경합을 나타내고, 낮은 높이에 대응하는, 포락선 구조를 가지고 대체로 왼쪽으로 시프트된 약화된 신호를 초래한다. In another example, a rigorous RCWA approach was used to model a pure silicon 5 line grating without a top layer, with lines having a width W = 120 nm and a pitch L = 320. The simulation output shown in FIG. 30B is a SWLI signal (z direction) simulated for each line (x direction) of pixels. FIG. 30B shows only the results for y polarization parallel to the lines, which is more sensitive to the tops of the lines than the area or trench between the lines. Examining the output for one pixel for x polarized light and y polarized light as shown in FIGS. 31A and 31B, respectively, will recognize a well-known white light interference pattern, approximating a carrier fringe pattern modulated by an envelope or fringe contrast function. Can be. Noteworthy is a slightly distorted x polarized signal (FIG. 31A), which indicates contention between the unanalyzed top of the grating lines and the trenches between them, shifting generally left with an envelope structure, corresponding to a low height. Results in a weakened signal.

이들 신호의 FDA 분석은, x 편광(격자 라인에 직교함) 및 y 편광(격자 라인에 평행임)에 대해 도 32a 및 도 32b에 각각 나타낸 겉보기 표면 프로파일을 생성한다. 이것을 계단 높이 측정과 관련시키기 위해, 중심 픽셀과 에지 픽셀을 비교하여 H'를 결정하며, 에지 픽셀은 아마 기판의 참 에칭 깊이 E를 나타낼 것이다. 그러면, 측정된 기판 에칭 깊이는 E' = H' - E이다. 이것은 에칭되지 않은 기판 영역을 참조하는 경우에 측정하였을 값이다. 모델링 또는 경험적인 데이터를 토대로 겉보기 에칭 깊이를 실제 에칭 깊이로 바꾼다.FDA analysis of these signals produces the apparent surface profile shown in FIGS. 32A and 32B, respectively, for x polarization (orthogonal to the grid line) and y polarization (parallel to the grid line). To relate this to the step height measurement, the center pixel and edge pixel are compared to determine H ', which edge pixel will probably represent the true etch depth E of the substrate. The measured substrate etch depth is then E '= H'-E. This is the value that would have been measured when referring to a substrate area that was not etched. Based on modeling or empirical data, the apparent etch depth is changed to the actual etch depth.

도 33a 및 도 33b는 각각, y 편광(격자 라인에 평행함) 및 x 편광(격자 라인에 직교함)에 대해 상이한 실제 에칭 깊이 E의 함수인 시뮬레이팅된 주사 간섭측정 데이터로부터 추출되는 경우의 겉보기 에칭 깊이 E' = H' - E에 대한 RCWA 분석 결과를 나타낸다. E' = E는 기준용이다. 도 34a 및 도 34b는 각각, y 편광(격자 라인에 평행함) 및 x 편광(격자 라인에 직교함)에 대해 상이한 실제 에칭 깊이들의 대응하는 신호 세기를 나타낸다. 이 결과들은 두 편광 상태에 대해 예상된 거동을 보여준다. 도 34b에 나타낸 145nm 에칭 깊이에서 반사된 세기의 최소값을 피할 수 있다고 가정하면, 명백히 에칭 깊이 감도에 바람직한 구성은 x 편광이다.33A and 33B are apparent when extracted from simulated scanning interferometry data that is a function of different actual etch depth E for y polarization (parallel to grid line) and x polarization (orthogonal to grid line), respectively. Results of RCWA analysis for etch depth E '= H'-E are shown. E '= E is for reference. 34A and 34B show corresponding signal intensities of different actual etch depths for y polarization (parallel to grid line) and x polarization (orthogonal to grid line), respectively. These results show the expected behavior for both polarization states. Assuming that the minimum value of the reflected intensity at the 145 nm etch depth shown in FIG. 34B can be avoided, apparently the preferred configuration for etch depth sensitivity is x polarization.

측정된 높이 E'와 에칭 깊이 E의 차는, 어떤 의미에서 상부 막층을 포함하는 분석되지 않은 격자 라인에 기인한 바이어스 또는 오프셋이다. 이상적인 경우에, 기판 에칭 깊이가 유일한 중요한 파라미터였다고 가정하면, 기기는 라인들의 존재를 단순히 무시하였을 것이다. 도 35는, 도 33b와 동일한 데이터를 다른 그래프로 나타낸 것으로, x 편광의 경우에 바이어스가 별로 크지 않고 에칭 깊이에 따라 급격하게 변화하지 않는 것을 나타낸다. 구체적으로, 도 35는 실리콘 격자에 대해 측정된 계단 높이 -E'의 함수인 측정 바이어스 또는 오프셋 E - E'를 나타낸다. The difference between the measured height E 'and the etch depth E is in some sense a bias or offset due to the unanalyzed grating line comprising the top film layer. In an ideal case, assuming that the substrate etch depth was the only important parameter, the device would simply ignore the presence of the lines. FIG. 35 shows the same data as in FIG. 33B in another graph, showing that the bias is not very large in the case of x polarization and does not change rapidly depending on the etching depth. Specifically, Figure 35 shows the measurement bias or offset E-E 'as a function of the step height -E' measured for the silicon grating.

도 36은 순수 실리콘 격자의 경우에 원형 편광에 대한 예상된 RCWA 결과를 나타낸다. 구체적으로, 도 36은 실리콘 격자의 경우에, 실제 에칭 깊이 E의 함수 인 측정된 에칭 깊이 E' = H' - E에 대한 RCWA 예측을, 원형 편광을 사용하여, 일대일 대응 라인과 비교하여 나타낸다. 주목할 것은 대체로 빈약한 시스템의 응답이며, 특히 60nm와 170nm 사이에서, 측정된 에칭 깊이와 실제 에칭 깊이의 역 상관(inverse correlation)이다. 이것은 도 27에 나타내고 앞서 설명한 레일의 가설로부터 예측된 것과 동일 현상이다. 에칭이 100nm를 넘으면, 측정된 깊이는 음(negative)이고, 에칭된 실리콘이 에칭되지 않은 실리콘 위로 올라온 것 같이 보인다는 것을 의미한다. 이 영역은 리세스(recess)가 아니라 돌기(protrusion)처럼 보인다.36 shows the expected RCWA results for circular polarization in the case of pure silicon lattice. Specifically, FIG. 36 shows the RCWA prediction for the measured etch depth E '= H'-E, which is a function of the actual etch depth E, in the case of a silicon grating, compared to a one-to-one corresponding line using circular polarization. Noted is the response of the poor system as a whole, in particular the inverse correlation of the measured etch depth with the actual etch depth, especially between 60 nm and 170 nm. This is the same phenomenon as that predicted from the hypothesis of the rail shown in FIG. 27 and described above. If the etch exceeds 100 nm, the measured depth is negative, meaning that the etched silicon appears to have risen over the unetched silicon. This area looks like a protrusion, not a recess.

도 37a 및 도 37b는 각각, 측정된(겉보기) 에칭 깊이 E' = H' - E에 대한 RCWA 예측을, 5 라인 실리콘 격자의 경우의 y 편광 및 x 편광에 대해, 에칭 깊이 E = 100nm 및 피치 L = 320nm인 경우의 형상 폭 W의 함수로서 나타낸다. 두 그래프에서 실선은 참조용의 에칭 깊이를 나타낸다. 이 그래프들은 넓은 범위에 걸쳐 라인 폭에 대해 비교적 둔감한 것을 포함하는, 몇 가지 흥미있는 거동을 보인다. 이들 결과는, 100 내지 180nm 사이의 라인 폭의 경우, 예를 들어 적어도 이 파라미터들의 조합의 경우(도 33과 비교하여), x 편광 측정은 라인 폭보다 에칭 깊이에 훨씬 더 민감하다는 것을 의미한다. 그러나, 어느 경우에나 감도가 약하기는 하지만, y 편광은 에칭 깊이보다 라인 폭에 더 높은 감도를 보인다.37A and 37B show RCWA predictions for measured (apparent) etch depths E '= H'-E, respectively, with etch depths E = 100 nm and pitch, for y polarization and x polarization for a 5-line silicon grating. It shows as a function of the shape width W in the case of L = 320 nm. Solid lines in both graphs represent the etch depth for reference. These graphs show some interesting behavior, including relatively insensitive to line width over a wide range. These results mean that for line widths between 100 and 180 nm, for example at least for a combination of these parameters (compared to FIG. 33), x polarization measurements are much more sensitive to etch depth than line width. In either case, however, although the sensitivity is weak, y polarization shows higher sensitivity to line width than to etch depth.

정성적으로, 도 33b에서의 반사율 최소값 및 도 36에서의 역 상관은, 격자의 깊이가 1/4 파장(quater-vave) 무반사 조건과 관련 있는 위상 플립(phase filp)의 원인에 대응하는 경우에, 그 상황에 기인한 것으로 이해될 수 있다. 따라서, 상관 곡선의 더욱 직선인 부분으로 이동하기를 원한다면, 격자 깊이와 라인들 사이의 재료의 굴절률(예컨대, 본 구조의 경우, 공기는 n = 1)의 곱(product)이 광의 1/4 파장과 광의 1/2 파장의 임의의 정수배(O(영)도 포함)의 합과 같을 때, 1/4 파장 조건을 충족시키는 경우에, 간섭계에서 사용된 광의 파장은 1/4 파장 조건에 비례하여 조정될 수 있다. 한편, 반사율 최소값(또는 더욱 일반적으로, 간섭측정 신호의 세기로부터 얻은 반사율 정보)의 존재는, 이 정보를 샘플의 상이한 모델들에 대해 예상된 정보와 비교하여 분석되지 않은 표면 형상들에 관한 정보를 결정할 때, 단독으로 또는 겉보기 표면 프로파일과 함께 사용될 수 있다.Qualitatively, the reflectance minimum in FIG. 33B and the inverse correlation in FIG. 36 correspond to the cause of the phase filp where the depth of the grating is related to a quarter-vave antireflective condition. It may be understood that this is due to the situation. Thus, if one wants to move to a more straight portion of the correlation curve, the product of the grating depth and the refractive index of the material between the lines (e.g., in the present structure, n = 1 for air) is a quarter wavelength of light. When the quarter wavelength condition is met when the sum of any integer multiple of half the wavelength of light (including O (zero)) is met, the wavelength of the light used in the interferometer is proportional to the quarter wavelength condition. Can be adjusted. On the other hand, the presence of a reflectance minimum (or more generally, reflectance information obtained from the intensity of the interferometric signal) compares this information with the expected information for the different models of the sample to provide information about the unresolved surface shapes. In the determination, it can be used alone or in combination with the apparent surface profile.

상기한 예는 특히 일차원으로 패터닝된 구조에 관한 것이지만, 이 기술의 일반적인 원리는 이산 계단 높이 및 이차원의 패터닝된 구조와 같은, 다른 타입의 분석되지 않은 표면 패턴들에 대해 확장될 수 있다. 기본 원리는, 그러한 표면 형상들이 분석되지 않을 수 있다고 해도, 개별 픽셀에 대한 간섭측정 신호 및 복수의 픽셀로부터의 간섭측정 신호로부터 추출된 집합적인 정보 모두에 기여한다는 것이다. 따라서, 실험에 의해 얻은 정보는, 중요한 형상의 대응하는 값을 얻는 최선의 비교와 함께, 중요한 분석되지 않은 형상들에 대한 상이한 값들에 의해 파라미터화된 모델과 비교될 수 있다.While the above examples are particularly directed to one-dimensional patterned structures, the general principles of this technique can be extended to other types of unanalyzed surface patterns, such as discrete step heights and two-dimensional patterned structures. The basic principle is that although such surface shapes may not be analyzed, they contribute both to the interferometric signals for individual pixels and to the collective information extracted from the interferometric signals from the plurality of pixels. Thus, the information obtained by the experiment can be compared with the model parameterized by different values for the important unanalyzed shapes, with the best comparison to obtain the corresponding values of the important shapes.

또한, 다른 예들에, 격자의 다른 부분들은 상이한 변조 깊이를 가질 수 있거나 상이한 재료 조성으로 구성될 수 있다. 예를 들어, 격자 구조는 실리콘 다이옥사이드로 이루어진 영역(region)들을 포함하는 실리콘 기판 상에 형성될 수 있다. 또한, 실리콘 다이오사이드 사이의 격자의 영역들은 상이한 깊이로 에칭될 수 있 다. 이러한 실시예들에서, 모델들과 비교되는 정보는, 예를 들어 격자의 상이한 분석된 영역들 사이의 겉보기 표면 높이의 차일 수 있다. 다시 말해, 예를 들어 개개의 격자 라인은 분석될 수 없지만, 실리콘 다이옥사이드 위에 형성된 격자의 부분(portion)은 격자의 다른 부분들과 구별 가능할 수 있다.Also, in other examples, other portions of the grating may have different modulation depths or may be constructed of different material compositions. For example, the lattice structure may be formed on a silicon substrate comprising regions of silicon dioxide. Also, the regions of the grating between the silicon disides can be etched to different depths. In such embodiments, the information compared with the models may be, for example, the difference in apparent surface height between different analyzed regions of the grating. In other words, for example, individual grating lines cannot be analyzed, but the portion of the grating formed over silicon dioxide may be distinguishable from other parts of the grating.

도 38은 간섭측정 신호를 생성하기 위해 사용되는 간섭측정 시스템(900)의 여러 구성요소를 전자 프로세서(970)의 제어하에 자동화할 수 있는 방법을 나타낸 개략도이며, 지금 설명하는 실시예에서는, 수학적인 분석(예컨대, 모델 라이브러리들과의 비교)을 실행하는 분석용의 프로세서(972); 간섭측정 시스템(900)의 각종 구성요소를 제어하는 디바이스 제어기(974); 사용자 인터페이스(976)(예컨대, 키보드 및 디스플레이); 그리고 정보(예컨대, 라이브러리 모델 및 교정 정보), 데이터 파일, 및 자동화된 프로토콜을 저장하는 저장 매체(978)를 포함할 수 있다. 간섭측정 시스템(900)은 일반적으로 스테이지(950) 상의 마운트(940)에 고정되어 있는 시험 물체(926)에 시험광(922)을 조사한다.FIG. 38 is a schematic diagram illustrating a method for automating various components of an interferometric system 900 used to generate an interferometric signal under the control of an electronic processor 970, and in the embodiments described herein, mathematical A processor 972 for analysis to perform the analysis (eg, comparison with model libraries); A device controller 974 for controlling various components of the interferometric system 900; User interface 976 (eg, keyboard and display); And a storage medium 978 that stores information (eg, library model and calibration information), data files, and automated protocols. Interferometric system 900 generally irradiates test light 922 to a test object 926 that is fixed to mount 940 on stage 950.

먼저, 간섭측정 시스템(900)은, 복수의 대물 렌즈(912)를 지지하고 입력광의 경로 내로 선택된 대물 렌즈를 도입하도록 구성된 동력화(motorize)된 터릿(910)을 포함할 수 있다. 대물 렌즈들 중 하나 이상은 간섭 대물 렌즈일 수 있으며, 상이한 간섭 대물 렌즈들을 사용하여 상이한 배율(magnification)을 제공한다. 또한, 일정한 실시예들에서, 간섭 대물 렌즈는 (예를 들어, 격자 라인에 직교 방향으로 편광된 광으로 격자 패턴을 조명하기 위해) 간섭 대물 렌즈에 부착되는 하나 (이상)의 편광 소자(예컨대, 선형 편광자)를 포함할 수 있다. 이러한 경우에, 편광 소자의 배향은 또한, 예를 들어 조명광의 편광을 패터닝된 구조의 격자 라인들에 대해 정렬하도록, 자동 제어하에 있을 수 있다. 또, 대물 렌즈 중 하나 이상은 각각 상이한 배율을 가지는 비간섭 대물 렌즈(즉, 간섭 구간이 없는 것)일 수 있으므로, 간섭측정 시스템(900)은 또한 시험 표면의 광학 이미지들을 수집하기 위해 종래의 현미경 모드로 동작할 수 있다. 터릿(910)은 전자 프로세서(970)의 제어하에 있으며, 사용자의 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 대물 렌즈를 선택한다.First, interferometric system 900 may include a motorized turret 910 configured to support a plurality of objective lenses 912 and to introduce a selected objective lens into the path of the input light. One or more of the objective lenses may be interfering objective lenses, providing different magnifications using different interference objective lenses. Further, in certain embodiments, an interfering objective lens (eg, to illuminate a grating pattern with light polarized in a direction orthogonal to the grating line) is attached to one or more polarizing elements (eg, to Linear polarizers). In this case, the orientation of the polarizing element can also be under automatic control, for example to align the polarization of the illumination light with respect to the grating lines of the patterned structure. In addition, since one or more of the objective lenses may each be non-interfering objective lenses having different magnifications (ie, no interference intervals), the interferometric system 900 may also be a conventional microscope to collect optical images of the test surface. Can operate in mode. The turret 910 is under the control of the electronic processor 970 and selects the desired objective lens according to the user's input or some automated protocol.

다음, 간섭측정 시스템(900)은 릴레이 렌즈(936, 938)를 지지하는 동력화된 스테이지(920)(예컨대, 튜브 렌즈 홀더)를 포함한다. 이러한 스테이지(920)는, 본 출원에서 전체적으로 계획된 것과 같은 프로파일링 모드, 또는 콜로나 드 레가(Colonna de Lega) 등이 출원하고 발명의 명칭이 "물체 표면의 특징을 결정하기 위한 간섭계(INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE)"인 미국 특허공개공보 US-2006-0158659-A1에 개시된 바와 같은, 동공면(pupil plane)이 검출기에 결상되는 타원편광 측정(ellipsometry) 또는 반사 측정(reflectometry) 모드의 선택을 위해 사용될 수 있으며, 상기 특허문헌의 내용 전부는 참조에 의해 본 명세서에 포함된다. 동력화된 스테이지(920)는 전자 프로세서(970)의 제어하에 있으며, 사용자의 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 릴레이 렌즈를 선택한다. 다른 실시예들에서, 이행 스테이지(translation stage)가 검출기의 위치를 조정하기 위해 이동되어 제1 모드와 제2 모드 중 어느 하나로 스위칭되고, 이행은 전자 프로세서의 제어하에 있다. 또, 복수의 검출 채 널을 가지는 실시예들에서, 각각의 검출기는 분석용의 전자 프로세서(970)에 연결된다.The interferometric system 900 then includes a motorized stage 920 (eg, tube lens holder) that supports the relay lenses 936, 938. This stage 920 is an interferometer for determining the characteristics of the surface of the object, such as the profiling mode as planned throughout this application, or as filed by Colonna de Lega et al. CHARACTERISTICS OF AN OBJECT SURFACE, "selection of ellipsometry or reflectometry mode, in which a pupil plane is imaged on a detector, as disclosed in US Patent Publication No. US-2006-0158659-A1. And the entire contents of the patent document are incorporated herein by reference. The motorized stage 920 is under the control of the electronic processor 970 and selects the desired relay lens according to the user's input or some automated protocol. In other embodiments, the translation stage is moved to adjust the position of the detector and switched to either the first mode or the second mode, and the transition is under the control of the electronic processor. Further, in embodiments having a plurality of detection channels, each detector is connected to an electronic processor 970 for analysis.

또한, 간섭측정 시스템(900)은 전자 프로세서(970)의 제어하에서 시야 조리개(field stop) 및 개구 조리개(aperture stop)의 치수를 제어하는 동력화된 개구(930, 932)를 포함할 수 있다. 또, 동력화된 개구(930, 932)는 전자 프로세서(970)의 제어하에 사용자의 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 설정을 선택한다. The interferometric system 900 may also include motorized openings 930 and 932 that control the dimensions of the field stop and aperture stop under the control of the electronic processor 970. In addition, the motorized openings 930 and 932 select the desired settings under the control of the electronic processor 970 according to the user's input or some automated protocol.

또한 간섭계의 시험 구간과 기준 구간 사이의 상대적인 광경로 길이를 변화시키기 위해 사용되는 이행 스테이지(980)도 전자 프로세서(970)의 제어하에 있다. 이행 스테이지(980)는 시험 물체(926)를 지지하는 마운트(940)에 대한 간섭 대물 렌즈의 위치를 조정하도록 연결될 수 있다. 다르게는, 다른 실시예들에서, 이행 스테이지는 간섭측정 시스템의 위치를 마운트에 대해 전체적으로 조정할 수 있거나, 또는 이행 스테이지는 마운트에 연결될 수 있으므로, 이것은 광 경로 길이차를 변화시키기 위해 이동하는 마운트이다.Also under control of the electronic processor 970 is a transition stage 980 used to change the relative optical path length between the test interval and the reference interval of the interferometer. The transition stage 980 may be connected to adjust the position of the interference objective lens relative to the mount 940 supporting the test object 926. Alternatively, in other embodiments, the transition stage may adjust the position of the interferometric system globally relative to the mount, or the transition stage may be connected to the mount, so this is a mount that moves to change the optical path length difference.

또한, 횡방향 이행 스테이지(950)도, 전자 프로세서(970)의 제어하에 시험 물체를 지지하는 마운트(940)에 연결되어 광 조사 하에서 시험 표면의 영역을 횡방향으로 이행시킬 수 있다. 일정한 실시예들에서, 횡방향 이행 스테이지(950)는 또한 시험 표면이 간섭 대물 렌즈의 광축에 수직으로 정렬하도록 마운트(940)를 배향(예컨대, 팁 및 틸트 제공)시킬 수 있다.In addition, the lateral shift stage 950 can also be connected to a mount 940 that supports the test object under the control of the electronic processor 970 to shift the area of the test surface laterally under light irradiation. In certain embodiments, the transverse transition stage 950 can also orient (eg, provide tips and tilts) the mount 940 such that the test surface is aligned perpendicular to the optical axis of the interfering objective lens.

끝으로, 전자 프로세서(970) 제어하의 물체 취급 스테이션(960) 역시, 마운 트(940)에 연결되어 측정을 위해 간섭측정 시스템(900)에 대해 시험 샘플의 자동화된 도입 및 제거를 제공할 수 있다. 예를 들어, 해당 기술분야에 공지된 자동화된 웨이퍼 취급 시스템은 이 목적으로 사용될 수 있다. 또, 필요한 경우, 간섭측정 시스템(900) 및 물체 취급 시스템(960)은 시험 물체의 오염을 최소화하기 위해 진공하에 또는 클린룸 상태에서 하우징될 수 있다.Finally, object handling station 960 under control of electronic processor 970 may also be coupled to mount 940 to provide automated introduction and removal of test samples to interferometric system 900 for measurement. . For example, automated wafer handling systems known in the art can be used for this purpose. Also, if desired, the interferometric system 900 and the object handling system 960 may be housed under vacuum or in a clean room to minimize contamination of the test object.

그 결과로서 얻은 시스템은 각종 측정 방식(modality) 및 절차를 제공하는 우수한 유연성을 제공한다. 예를 들어, 이 시스템은 먼저 물체의 여러 횡방향 위치에 대한 시험 물체의 광학적 이미지를 얻기 위해 하나 이상의 선택된 배율을 가지는 현미경 모드로 구성될 수 있다. 이러한 이미지는 사용자 또는 전자 프로세서(970)(머신 비전 기술(machine vision technique)사용)에 의해 분석되어, 물체 내의 일정한 영역(예컨대, 특정한 구조 또는 형상, 랜드마크, 기준 마커, 결점 등)을 식별할 수 있다. 그 후 이러한 식별에 기초하여, 샘플의 선택된 영역들을 타원편광 측정 모드에서 면밀히 조사하여 샘플 특성(예컨대, 굴절률, 하부막 두께, 재료 식별 등)을 결정할 수 있다. The resulting system provides excellent flexibility in providing a variety of measurement modalities and procedures. For example, the system may first be configured in microscope mode with one or more selected magnifications to obtain an optical image of the test object for various transverse positions of the object. Such an image may be analyzed by a user or an electronic processor 970 (using a machine vision technique) to identify certain areas within the object (eg, specific structures or shapes, landmarks, reference markers, defects, etc.). Can be. Based on this identification, selected regions of the sample can then be closely examined in an elliptical polarization measurement mode to determine sample properties (eg, refractive index, underlayer thickness, material identification, etc.).

자동화된 물체 취급 시스템(960)을 함께 사용하는 경우, 측정 절차는 일련의 샘플에 대해 자동으로 반복될 수 있다. 이것은 하나 이상의 반도체 공정 단계의 감시, 시험, 및/또는 최적화와 같은, 각종 공정 제어 방법에 유용할 수 있다.When using the automated object handling system 960 together, the measurement procedure can be automatically repeated for a series of samples. This may be useful for various process control methods, such as monitoring, testing, and / or optimizing one or more semiconductor process steps.

예를 들어, 이 시스템은 기구 특정 감시(tool specific monitoring)를 위해 또는 공정 흐름 자체의 제어를 위해 반도체 공정에 사용될 수 있다. 공정 감시 애플리케이션에서, 단층/다층 막은 대응하는 공정 기구에 의해 패터닝되지 않은 Si 웨이퍼(모니터 웨이퍼) 상에서 성장, 증착, 연마 또는 에칭되고, 그 후 두께 및 광학 특성이 여기에 개시된 간섭측정 시스템을 사용하여 측정된다.For example, the system can be used in semiconductor processes for tool specific monitoring or for control of the process flow itself. In process monitoring applications, monolayer / multilayer films are grown, deposited, polished or etched on unpatterned Si wafers (monitor wafers) by corresponding process tools, and then thickness and optical properties are described using the interferometry system disclosed herein. Is measured.

웨이퍼 균일성 내에서, 이 모니터 웨이퍼의 두께(및/또는 광학 특성들)의 평균은, 관련 공정 기구가 설정된 계획서(targeted specification)에 따라 동작하고 있는지 또는 재설정(retarget), 조정, 또는 제품의 사용으로 간주되어야 하는지를 결정하기 위해 사용된다.Within wafer uniformity, the average of the thickness (and / or optical properties) of this monitor wafer is determined whether the relevant process equipment is operating in accordance with a targeted specification or retargeting, adjusting, or using the product. It is used to determine if it should be considered.

공정 제어 애플리케이션에서, 후자는 대응하는 공정 기구에 의해 패터닝된 Si, 제품 웨이퍼 상에서 단층/다층 막이 성장, 증착, 연마, 또는 에칭되고, 그 후 두께 및 광학 특성이 여기에 개시된 간섭측정 시스템으로(예를 들어, 타원편광 측정 모드, 프로파일링 모드, 또는 둘 다를 사용하여) 측정된다. 공정 제어에 사용된 제품 측정은 일반적으로 작은 측정 사이트(site) 및 중요한 샘플 영역에 측정 기구를 정렬하는 능력을 포함한다. 이 사이트는 다층막 스택(그 자체가 패터닝될 수 있음)으로 구성될 수 있으므로, 관련 물리 특성들을 추출하기 위해 복잡한 수학적 모델링을 필요로 한다. 공정 제어 측정은, 통합된 공정 흐름의 안전성을 결정하고, 통합된 공정을 계속하여야 하는지, 재설정(retarget)되어야 하는지, 다른 장비에 재전달(redirect)하여야 하는지, 또는 완전히 중단(shut down)하여야 하는지를 결정한다.In process control applications, the latter may be grown, deposited, polished, or etched on Si, patterned by corresponding process tools, product wafers, and then thickness and optical properties may be incorporated into the interferometric system disclosed herein (e.g., For example, using an elliptical polarization measurement mode, a profiling mode, or both). Product measurements used in process control generally include the ability to align the measurement instrument to small measurement sites and critical sample areas. This site can consist of a multilayer stack (which can itself be patterned), requiring complex mathematical modeling to extract the relevant physical properties. Process control measurements determine the safety of the integrated process flow and determine whether the integrated process should be continued, retargeted, redirected to other equipment, or shut down completely. Decide

구체적으로, 예를 들어, 본 명세서에 개시된 간섭측정 시스템은 다음의 장비를 감시하기 위해 사용될 수 있다: 확산, 신속한 열 어닐링, 화학 기상 증착 기구들(저압 및 고압 모두), 유전체 에칭, 화학 기계적 연마기, 플라즈마 증착, 플라즈 마 에칭, 리소그래피 트랙, 및 리소그래피 노광 기구들. 또, 본 명세서에 개시된 간섭측정 시스템은 다음의 공정, 즉 트렌치 및 아이솔레이션, 트랜지스터 형성, 그리고 층간 유전체 형성(듀얼 다마신과 같은)을 제어하기 위해 사용될 수 있다: Specifically, for example, the interferometry system disclosed herein can be used to monitor the following equipment: diffusion, rapid thermal annealing, chemical vapor deposition apparatuses (both low and high pressure), dielectric etching, chemical mechanical polishing machines Plasma deposition, plasma etching, lithography tracks, and lithographic exposure apparatuses. In addition, the interferometric systems disclosed herein can be used to control the following processes: trench and isolation, transistor formation, and interlayer dielectric formation (such as dual damascene):

이상에서 설명한 방법 및 시스템은 반도체 애플리케이션에 특히 유용하다. 본 발명의 추가 실시예는 이하에 기술하는 임의의 반도체 애플리케이션을 처리하기 위해 전술한 측정 방법 중 어느 것의 적용을 포함하며, 측정 기술과 반도체 애플리케이션 모두를 실행하는 시스템을 포함한다.The methods and systems described above are particularly useful for semiconductor applications. Additional embodiments of the present invention include the application of any of the foregoing measurement methods to process any of the semiconductor applications described below, and include a system that implements both measurement techniques and semiconductor applications.

현재 표면 토포그래피를 정량적으로 측정하는 것은 반도체 산업에서 상당히 중요하다. 전형적인 칩 형상이 소형이기 때문에, 이들을 측정하기 위해 사용되는 기기는 전형적으로 칩 표면에 평행 및 수직 양쪽으로 높은 공간 해상도를 가져야 한다. 엔지니어와 과학자는 공정 제어를 위하여, 그리고 특히 에칭, 연마, 세척 및 패터닝과 같은 공정의 결과로서, 제조 과정에서 발생하는 결함을 검출하기 위하여, 표면 토포그래피 측정 시스템을 사용한다.Quantitatively measuring surface topography is of great importance in the semiconductor industry. Because typical chip shapes are compact, the instrument used to measure them should typically have high spatial resolution both parallel and perpendicular to the chip surface. Engineers and scientists use surface topography measurement systems for process control and to detect defects that occur during manufacturing, particularly as a result of processes such as etching, polishing, cleaning and patterning.

공정 제어 및 결함 검출이 특히 유용하기 위해서는, 전형적인 표면 형상의 측면 크기와 비교될 수 있는 측면 해상도와, 허용되는 최소 표면 단계 높이와 비교될 수 있는 수직 해상도를 가져야 한다. 전형적으로, 이것은 미크론보다 작은 측면 해상도와 1 나노미터보다 작은 수직 해상도를 필요로 한다. 또한, 이러한 시스템이 칩 표면과 접촉하지 않고, 또는 그렇지 않을 경우 잠재적으로 손상이 되는 힘을 표면에 가하지 않고, 측정을 수행하여 표면을 변형시키거나 결함의 야기를 피하는 것이 바람직하다. 또한, 칩 제조에 사용된 많은 공정의 영향이 패턴 밀도 및 에지 근접도와 같은 국부적인 인자에 강하게 의존한다는 것이 잘 알려져 있기 때문에, 표면 토포그래피 측정 시스템이 높은 측정 산출량과, 하나 또는 많은 중요한 표면 형상을 포함할 수 있는 범위에서 큰 영역에 걸쳐 조밀하게 샘플링할 수 있는 능력을 갖는 것이 매우 중요하다.In order for process control and defect detection to be particularly useful, they must have lateral resolution that can be compared with the lateral size of a typical surface shape and vertical resolution that can be compared with the minimum surface step height allowed. Typically, this requires lateral resolutions less than microns and vertical resolutions less than 1 nanometer. It is also desirable that such a system does not contact the surface of the chip, or otherwise apply a potentially damaging force to the surface, and take measurements to avoid deformation of the surface or to cause defects. In addition, it is well known that the effects of many processes used in chip fabrication are strongly dependent on local factors such as pattern density and edge proximity, so that surface topography measurement systems can produce high measurement yields and one or many important surface geometries. It is very important to have the ability to sample densely over large areas in a range that can be included.

칩의 다른 부분 사이에서 전기적인 연결부(interconnect)를 만들기 위해, 소위 '듀얼 다마신 구리(dual damascene copper)' 공정을 사용하는 것이 칩 제조사 사이에서 일반화되고 있다. 이것은 적합한 표면 토포그래피 시스템을 사용하여 효과적으로 특징화할 수 있는 공정의 일례이다. 듀얼 다마신 공정은 5개 부분, 즉 (1) (폴리머 또는 유리와 같은) 유전 물질의 층이 웨이퍼(복수의 개별 칩을 포함함)의 표면에 증착되는 층간 유전체(interlayer dielectric, ILD)의 증착, (2) 유전체 층을 연마하여 정밀한 광 리소그래피에 적합한 매끈한 표면을 만드는 화학 기계적인 연마(CMP : chemical mechanical polishing), (3) 웨이퍼 표면에 평행하게 이어지는 좁은 트렌치와, 트렌치의 바닥으로부터 하부(미리 규정된) 전기 전도층으로 이어지는 작은 비아(via)를 포함하는 복잡한 네트워크가 생성되는, 리소그래피 패터닝과 반응성 이온 에칭 단계의 조합, (4) 트렌치와 비아를 구리로 과도하게 채우는 금속 증착 단계의 조합, (5) 과잉 구리를 제거하고 유전 물질로 둘러싸이고 구리가 채워진 트렌치(및 가능하다면 비아)의 네트워크를 남기는, 최종 화학 기계적인 연마(CMP) 단계를 갖는 것으로 간주할 수 있다.To make electrical interconnects between different parts of the chip, the use of so-called 'dual damascene copper' processes is becoming common among chip manufacturers. This is an example of a process that can be effectively characterized using a suitable surface topography system. The dual damascene process involves the deposition of five parts, namely (1) an interlayer dielectric (ILD), in which a layer of dielectric material (such as polymer or glass) is deposited on the surface of the wafer (including a plurality of individual chips). (2) chemical mechanical polishing (CMP), which polishes the dielectric layer to create a smooth surface suitable for precise optical lithography, (3) a narrow trench running parallel to the wafer surface, and from the bottom of the trench A combination of lithographic patterning and reactive ion etching steps resulting in a complex network comprising small vias leading to a defined) electrically conductive layer, (4) a combination of metal deposition steps overfilling trenches and vias with copper, (5) Final chemical mechanical polishing (CM) to remove excess copper and leave a network of copper-filled trenches (and possibly vias) surrounded by dielectric material Can be considered to have a step P).

전형적으로, 트렌치 영역 내의 구리의 두께(즉, 트렌치 깊이), 및 주변 유전체의 두께는 0.2 내지 0.5 미크론 범위 내이다. 최종 트렌치의 폭은 100 내지 100,000 나노미터 범위 내일 수 있고, 각 칩 내의 구리 영역은 일부 영역에서 평행 라인의 어레이와 같은 규칙적인 패턴을 형성할 수 있으며, 다른 영역에서는 뚜렷한 패턴을 갖지 않을 수 있다. 마찬가지로, 일부 영역 내에서는 표면이 구리 영역으로 조밀하게 덮일 수 있고, 다른 영역에서는 구리 영역이 희박할 수 있다. 연마 비율, 따라서 연마 이후 남는 구리(및 유전체)의 두께가 연마 조건(패드 압력 및 연마 슬러리 화합물 등)과 구리 및 주위 유전체 영역의 국부적인 세부 배열(즉, 배향, 근접도 및 형상)에 강하게 그리고 복잡한 방식으로 의존한다는 점을 인식하는 것이 중요하다.Typically, the thickness of copper (ie, trench depth) in the trench region, and the thickness of the surrounding dielectric is in the range of 0.2 to 0.5 microns. The width of the final trench may be in the range of 100 to 100,000 nanometers, and the copper region within each chip may form a regular pattern, such as an array of parallel lines in some regions, and may not have a distinct pattern in other regions. Similarly, within some regions the surface may be densely covered with copper regions, while others may be sparse. The polishing rate, and therefore the thickness of copper (and dielectric) remaining after polishing, is strongly dependent on polishing conditions (such as pad pressure and polishing slurry compound) and local detail arrangements of copper and surrounding dielectric regions (ie, orientation, proximity and shape). It is important to recognize that you depend on it in a complex way.

이러한 '위치 의존 연마 비율'이 다양한 측면 길이 스케일로 표면 토포그래피를 변화킬 수 있는 것으로 알려졌다. 예를 들어, 이것은 집합체 상의 웨이퍼 에지에 근접하여 위치한 칩이 중앙에 근접하여 위치한 것보다 신속하게 연마되어, 에지 근처에서는 원하는 것보다 얇고 중앙에서는 원하는 것보다 두꺼운 구리 영역을 생성한다는 것을 의미한다. 이것은 '웨이퍼 스케일' 공정의 불균일성, 즉 웨이퍼 직경과 비교될 수 있는 길이 스케일로 발생하는 것의 불균일의 일례이다. 높은 밀도의 구리 트렌치를 갖는 영역이 낮은 구리 라인 밀도를 갖는 가까운 영역보다 높은 비율로 연마되는 것이 또한 알려져 있다. 이것은 높은 구리 밀도 영역에서 'CMP 유도 부식'으로 알려진 현상을 초래한다. 이것은, '칩 스케일' 공정의 불균일, 즉 단일 칩의 선형 치수와 비교될 수 있는(그리고 간혹 더 작은) 길이 스케일로 발생되는 불균일의 일례이다. '디싱(dishing)'으로 알려진 다른 타입의 칩 스케일 불균일은 구리로 채워진 단일 트렌치 영역(주변 유전체 물질보다 더 높은 비 율로 연마되는 경향이 있음) 내에서 발생한다. 폭이 수 미크론보다 큰 트렌치의 경우, 영향을 받은 라인이 이후에 과도한 전기 저항을 나타내어 칩 고장을 초래하는 결과를 낳는 디싱이 심각해 질 수 있다.It is known that this 'position dependent polishing rate' can change surface topography on various side length scales. For example, this means that chips located closer to the wafer edge on the aggregate are polished more quickly than those located closer to the center, creating areas of copper thinner than desired near the edges and thicker than desired at the center. This is an example of the non-uniformity of the 'wafer scale' process, i.e. what occurs at the length scale that can be compared with the wafer diameter. It is also known that areas with high density copper trenches are polished at a higher rate than near areas with low copper line density. This results in a phenomenon known as 'CMP induced corrosion' in high copper density regions. This is an example of nonuniformity in the 'chip scale' process, i.e., nonuniformity that occurs on a length scale that can be compared (and sometimes smaller) to the linear dimensions of a single chip. Another type of chip scale non-uniformity known as 'dishing' occurs within a single trench region filled with copper (which tends to be polished at a higher rate than the surrounding dielectric material). For trenches larger than a few microns in width, the dishing can be serious, as the affected line will later exhibit excessive electrical resistance resulting in chip failure.

CMP 유도 웨이퍼 및 칩 스케일 공정의 불균일은 본질적으로 예측하기 힘들고, CMP 처리 시스템 내의 조건에 따라 시간에 걸친 변화를 겪게 된다. 모든 불균일이 허용 가능한 한계치 내로 유지되는 것을 보장하기 위해, 공정 조건을 효과적으로 감시하고 적절하게 조정하기 위하여, 공정 엔지니어가 많은 수 및 폭 넓은 위치에서 칩에 대해 빈번하게 비접촉식으로 표면 토포그래피를 측정하는 것이 중요하다. 이것은 전술한 간섭측정 기술의 실시예를 사용하여 가능하다.Unevenness in CMP induced wafer and chip scale processes is inherently unpredictable and subject to changes over time depending on conditions within the CMP processing system. In order to ensure that all non-uniformities are kept within acceptable limits, it is often necessary for process engineers to measure surface topography in a noncontact manner frequently for chips in large numbers and a wide range of locations in order to effectively monitor and appropriately adjust process conditions. It is important. This is possible using the embodiment of the interferometric technique described above.

이상에서 설명한 간섭계 실시예들은 미라우 타입 및 리닉 타입의 간섭 대물 렌즈를 포함한다. 미라우 타입에서, 간섭 대물 렌즈 내의 빔 스플리터는 시험광의 광축을 따라 기준광을 뒤로 전달한다. 리닉 타입에서, 빔 스플리터는 시험 표면에 대해(입사광에 대해) 대물 렌즈 앞에 위치되어, 시험광과 기준광을 상이한 경로를 따라 전달한다. 개별 대물 렌즈는 기준광을 기준 렌즈에 집속하기 위해 사용된다. 다시 말해, 빔 스플리터는 입력광을 시험광과 기준광으로 분리하고, 그 후 개별 대물 렌즈가 시험면 및 기준면에 시험광 및 기준광을 각각 집속한다. 이상적으로, 두 개의 대물 렌즈는 서로 정합(match)하므로, 시험광 및 기준광은 유사한 수차(aberration) 및 광 경로를 가진다.The interferometer embodiments described above include an interference objective lens of the Mirau type and the Linic type. In the Mirau type, the beam splitter in the interference objective lens carries the reference light back along the optical axis of the test light. In the clinic type, the beam splitter is positioned in front of the objective lens with respect to the test surface (for incident light) to transmit the test light and the reference light along different paths. Individual objective lenses are used to focus the reference light onto the reference lens. In other words, the beam splitter separates the input light into the test light and the reference light, and then an individual objective lens focuses the test light and the reference light on the test plane and the reference plane, respectively. Ideally, the two objective lenses match each other, so that the test light and the reference light have similar aberrations and light paths.

다른 실시예들에서, 간섭측정 시스템은, 빔 스플리터가 시험광의 광축으로부터 떨어져서 기준광을 전달하는(예컨대, 빔 스플리터는 입력광에 대해 45도로 배향 될 수 있어, 시험광과 기준광이 서로 직각으로 나아간다) 마이켈슨 대물 렌즈와 같은, 다른 타입의 간섭 대물 렌즈를 사용할 수 있다. 이러한 경우에, 기준면은 시험광의 경로 바깥에 위치될 수 있다.In other embodiments, an interferometry system may include a beam splitter that transmits reference light away from the optical axis of the test light (eg, the beam splitter may be oriented at 45 degrees relative to the input light, such that the test light and the reference light move perpendicular to each other). Other types of interference objective lenses can be used, such as the Michelson objective lens. In this case, the reference plane may be located outside the path of the test light.

다른 간섭계 구성도 가능하다. 예를 들어, 시스템은 시험 샘플을 투과한 다음 기준광과 결합되는 시험광을 수집하도록 구성될 수 있다. 이러한 실시예들의 경우, 예를 들어, 시스템은 각각의 레그 상에 이중 현미경 대물 렌즈를 구비하는 마하젠더(Mach-Zehnder) 간섭계를 구현할 수 있다.Other interferometer configurations are possible. For example, the system can be configured to collect test light that passes through the test sample and then combines with the reference light. For these embodiments, for example, the system may implement a Mach-Zehnder interferometer with dual microscope objective lenses on each leg.

간섭계에서의 광원은 다음 중 어느 것일 수 있다: 스펙트럼 대역 통과 필터를 구비하거나 구비하지 않는 할로겐 전구 또는 금속 할라이드 램프와 같은 백열등(incandescent source); 광대역 레이저 다이오드; 발광 다이오드; 같거나 상이한 타입의 몇 가지 광원의 조합; 아크 램프; 가시 스펙트럼 범위의 모든 소스; 특히 거친 표면 보기 & 위상 프로파일 사용을 위한, 적외(IR) 스펙트럼 범위 내의 모든 소스; 및 특히 향상된 횡방향 해상도를 위한, 자외(UV) 스펙트럼 범위 내의 모든 소스. 광대역 애플리케이션의 경우, 소스는 평균 파장의 5%보다 넓은 순(net) 스펙트럼 대역폭을 가지는 것이 바람직하다. 또는 소스는 평균 파장의 10%, 20%, 30% 또는 심지어 50%보다 큰 순 스펙트럼 대역폭을 가지는 것이 더욱 바람직하다. 조정 가능한 협대역 애플리케이션의 경우, 조정의 범위는 파장의 넓은 범위에 걸쳐 정보를 제공하기 위해, 넓은 것이 바람직하고(예컨대, 가시광의 경우, 50nm보다 크거나, 100nm보다 크거나, 심지어 200nm보다도 크다), 임의의 특정한 설정에서의 스펙트럼 폭은, 예를 들어 10nm, 2nm, 또는 1nm로 작게 해상도를 최적화하기 위해 좁은 것이 바람직하다. 소스는 또한 소스로부터 방출되는 입력광의 공간 범위(spatial extent)를 증가시키기 위해, 하나 이상의 확산기 소자를 포함할 수 있다.The light source in the interferometer can be any of the following: an incandescent source such as a halogen bulb or a metal halide lamp with or without a spectral bandpass filter; Broadband laser diodes; Light emitting diodes; A combination of several light sources of the same or different type; Arc lamps; All sources in the visible spectral range; All sources within the infrared (IR) spectral range, especially for the use of rough surface viewing & phase profiles; And all sources within the ultraviolet (UV) spectral range, especially for improved lateral resolution. For wideband applications, the source preferably has a net spectral bandwidth that is greater than 5% of the average wavelength. Or the source more preferably has a net spectral bandwidth of greater than 10%, 20%, 30% or even 50% of the average wavelength. For adjustable narrowband applications, the range of adjustment is preferably wide to provide information over a wide range of wavelengths (e.g., greater than 50 nm, greater than 100 nm, or even greater than 200 nm for visible light). The spectral width at any particular setting is preferably narrow to optimize resolution, for example as small as 10 nm, 2 nm, or 1 nm. The source may also include one or more diffuser elements to increase the spatial extent of the input light emitted from the source.

또한, 이행 스테이지(150)와 같은 시스템의 각종 이행 스테이지는, 압전 디바이스, 스텝퍼 모터, 및 보이스 코일 중 어느 것에 의해 구동될 수 있으며; 광경로 길이의 변화를 도입하기 위해, 순수 이행(pure translation)에 의해(예컨대, 액정, 전광 효과, 변형된 광섬유(strained fiber), 및 회전 파장판 중 어느 것의 사용에 의해)서가 아니라, 광 기계적으로 또는 광 전자적으로 구현될 수 있고; 만곡 마운트(flexure mount)를 가지는 임의의 드라이버 및 기계적 스테이지, 예컨대 롤러 베어링 또는 에어 베어링을 가지는 임의의 드라이버일 수 있다. 전술한 바와 같이, 주사 간섭측정 신호의 위상 시프팅(shifting)은 대개 기계적 이행 스테이지를 사용하여 수행되지만, 시험 구간과 기준 구간의 광 경로 차가 영이 아닌(non-zero)인 경우에 소스의 파장을 변화시킴으로써, 간섭계의 시험 구간과 기준 구간 중 어느 하나의 위상을 변화시키는 것도 가능하다.In addition, various transition stages of a system such as transition stage 150 can be driven by any of a piezoelectric device, a stepper motor, and a voice coil; Optomechanical, not by pure translation (e.g., by the use of liquid crystals, electro-optical effects, strained fibers, and rotating waveplates) to introduce a change in optical path length. Or photoelectronically; It can be any driver with a flex mount and any driver with mechanical stages, such as roller bearings or air bearings. As mentioned above, phase shifting of the scan interferometry signal is usually performed using a mechanical transition stage, but the wavelength of the source is reduced when the optical path difference between the test section and the reference section is non-zero. By changing, it is also possible to change the phase of either the test section and the reference section of the interferometer.

전자 검출기는 다소자(multi-element) CCD 또는 CMOS 검출기와 같은, 공간 해상도를 가지는 광 간섭 패턴을 측정하기 위한 임의의 타입의 검출기일 수 있다.The electronic detector can be any type of detector for measuring optical interference patterns with spatial resolution, such as multi-element CCD or CMOS detectors.

전술한 컴퓨터 분석 방법 중 어떤 방법이라도 하드웨어 또는 소프트웨어 또는 이들의 조합으로 구현될 수 있다. 이 방법들은 본 명세서에서 기술한 방법과 수치를 따르는 표준 프로그래밍 기술을 사용하는 컴퓨터 프로그램으로 구현될 수 있다. 프로그램 코드는 입력 데이터로 인가되어, 본 명세서에서 기술된 기능을 수행하고 출력 정보를 생성한다. 출력 정보는 디스플레이 모니터와 같은 하나 이상의 출력 디바이스에 인가된다. 각 프로그램은 높은 레벨의 절차 또는 객체 지향 프로그래밍 언어로 구현되어, 컴퓨터 시스템과 통신한다. 그러나, 프로그램은 원한다면 어셈블리어 또는 기계어로 구현될 수도 있다. 어떤 경우든, 언어는 컴파일되거나 해석되는 언어일 수 있다. 또한 프로그램은 이러한 목적으로 사전 프로그래밍된 전용 집적 회로 상에서 수행될 수 있다.Any of the computer analysis methods described above may be implemented in hardware or software, or a combination thereof. These methods can be implemented as computer programs using standard programming techniques that follow the methods and numerical values described herein. Program code is applied as input data to perform the functions described herein and generate output information. Output information is applied to one or more output devices, such as a display monitor. Each program is implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the program may be implemented in assembly or machine language, if desired. In any case, a language can be a language that is compiled or interpreted. The program can also be executed on a dedicated integrated circuit preprogrammed for this purpose.

이러한 컴퓨터 프로그램 각각은 바람직하게는 범용 또는 특수 목적의 프로그램 가능한 컴퓨터에 의해 판독 가능한 저장 매체 또는 디바이스(예, ROM 또는 자기 디스크)에 저장되어, 저장 매체 또는 디바이스가 컴퓨터에 의해 판독될 때 본 명세서에서 기술된 절차를 수행하도록 컴퓨터를 구성하고 동작시킨다. 컴퓨터 프로그램은 프로그램을 실행하는 동안에 캐시 또는 메인 메모리 내에 상주할 수 있다. 분석 방법은 또한 컴퓨터 프로그램으로 구성된 컴퓨터 판독 가능 저장 매체로 구현될 수 있고, 이렇게 구성된 저장 매체는 컴퓨터로 하여금 특정 및 미리 한정된 방식으로 동작하여 본 명세서에서 기술된 기능을 수행하도록 한다. 이상의 구체적인 설명은, 시스템 내의 제한된 코히어런스가 간섭 프린지의 국부화(localization)를 유발하는 주사 간섭측정 신호에 대해 언급하지만; 많은 실시예의 경우, 이러한 프린지 국부화가 없는 간섭측정 신호(들)로부터 복합 표면 형상에 관한 정보를 추출하는 것도 가능하다.Each such computer program is preferably stored on a storage medium or device (eg, a ROM or a magnetic disk) readable by a general purpose or special purpose programmable computer, so that when the storage medium or device is read by the computer, Configure and operate the computer to perform the described procedure. The computer program can reside in cache or main memory while executing the program. The analysis method may also be embodied as a computer readable storage medium composed of a computer program, which allows the computer to operate in a specific and predefined manner to perform the functions described herein. The above specific description refers to a scanning interferometry signal in which limited coherence in the system causes localization of the interference fringes; For many embodiments, it is also possible to extract information about the composite surface shape from such interferometric signal (s) without fringe localization.

예를 들어, 프린지 국부화가 없는, 시험 물체의 상이한 위치들로부터의 간섭측정 신호는 시험 물체의 겉보기 표면 프로파일을 생성하기 위해 여전히 사용될 수 있으며, 이 겉보기 표면 프로파일, 또는 그로부터 생성된 정보를, 겉보기 표면 프로파일에서 분석되지 않거나 모호한 시험 물체의 횡방향 표면 형상들의 상이한 값들에 대한 기대 응답의 모델들과 비교하여, 낮은 코히어런스 주사 간섭측정 신호에 대해 앞서 설명한 바와 같이 그러한 분석되지 않은 형상에 관한 정보를 결정할 수 있다. 그러한 "높은" 코히어런스 간섭측정 신호로부터 표면 프로파일 정보를 추출하는 기술은 일반적으로 위상 시프팅 간섭측정(phase shifting interferometry, PSI) 알고리즘이라 하며, 해당 기술분야에 잘 알려져 있다. 예를 들어, 발명의 명칭이 "(파장 조정 위상 시프팅 간섭측정법을 이용한 다수의 반사면을 가지는 물체를 프로파일링하는 방법 및 시스템(METHOD AND SYSTEM FOR PROFILING OBJECTS HAVING MULTIPLE REFLECTIVE SURFACES USING WAVELENGTH-TUNING PHASE-SHIFTING INTERFEROMETRY)"인, 미국특허 제6,359,692호의 배경기술 및 내용을 참조하기 바라며, 상기 특허문헌의 내용은 참조에 의해 본 명세서에 포함된다. 이러한 PSI 분석법의 경우, 간섭측정 데이터를 측정하기 위해, 주어진 화소에 대한 간섭측정 신호는 기준 구간과 측정 구간 사이의 광 경로 길이차를 기계적으로 변화시킴으로써, 또는 기준 구간과 측정 구간 사이의 고정된, 영이 아닌 광 경로 길이차를 위해 광의 파장을 변화시킴으로써 생성될 수 있다.For example, interferometric signals from different locations of the test object, without fringe localization, can still be used to generate the apparent surface profile of the test object, and the apparent surface profile, or information generated therefrom, Compare the information about such unanalyzed shape as described above for the low coherence scan interferometry signal, compared to models of expected response to different values of the transverse surface shapes of the test object that are not analyzed or ambiguous in the profile. You can decide. Techniques for extracting surface profile information from such "high" coherence interferometry signals are commonly referred to as phase shifting interferometry (PSI) algorithms and are well known in the art. For example, the invention is entitled "(METHOD AND SYSTEM FOR PROFILING OBJECTS HAVING MULTIPLE REFLECTIVE SURFACES USING WAVELENGTH-TUNING PHASE- See the background and contents of US Pat. No. 6,359,692, which is incorporated herein by reference. For such PSI assays, given to measure interferometric data, An interferometric signal for a pixel may be generated by mechanically varying the optical path length difference between the reference and measurement intervals, or by varying the wavelength of light for a fixed, non-zero optical path length difference between the reference and measurement intervals. Can be.

본 발명의 많은 실시예가 기술되었다. 그렇지만, 본 발명의 사상과 범주를 벗어나지 않으면서 다양한 변경이 이루어질 수 있음을 이해할 것이다. Many embodiments of the invention have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention.

Claims (56)

시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하는 단계로서, 상기 복수의 모델은, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상과 관련된 일련의 특징에 의해 파라미터화 되어 있는, 비교 단계; 및 Comparing the information that can be generated from a plurality of scanning interferometry signals corresponding to different surface locations of a test object with information corresponding to a plurality of models for the test object, wherein the plurality of models comprise A comparison step, parameterized by a series of features associated with one or more unanalyzed lateral shapes; And 상기 비교에 기초하여 상기 분석되지 않은 횡방향 형상에 관한 정보를 출력하는 단계Outputting information about the unanalyzed lateral shape based on the comparison 를 포함하고,Including, 상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 복수의 간섭측정 신호로부터 생성된 상기 시험 물체의 높이 프로파일(height pofile)로부터 추출된 하나 이상의 값을 포함하고,Information that can be generated from the plurality of interferometric signals includes one or more values extracted from a height pofile of the test object generated from the plurality of interferometric signals, 상기 분석되지 않은 횡방향 형상은 추출된 높이 프로파일 내에서 모호하거나 분명하지 않은, 방법.The unanalyzed transverse shape is not ambiguous or apparent within the extracted height profile. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 상기 하나 이상의 분석되지 않은 횡방향 형상은, 상기 시험 물체 상의 분석되지 않은 패터닝된 횡방향 구조의 피치(pitch), 변조 깊이(modulation depth), 및 구성요소의 폭(element width) 중 하나 이상에 대응하는, 방법.The at least one unanalyzed lateral shape of the test object is one of the pitch, modulation depth, and element width of the unanalyzed patterned lateral structure on the test object. Corresponding to one or more. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 상기 하나 이상의 분석되지 않은 횡방향 형상은, 적어도 상기 시험 물체 상의 분석되지 않은 패터닝된 횡방향 구조의 변조 깊이에 대응하는, 방법.And the one or more unanalyzed lateral shapes of the test object correspond to a modulation depth of at least an unanalyzed patterned lateral structure on the test object. 제3항에 있어서,The method of claim 3, 상기 일련의 특징은 상기 변조 깊이에 대한 상이한 값들인, 방법.And the series of features are different values for the modulation depth. 제4항에 있어서,5. The method of claim 4, 상기 복수의 모델은, 복수의 간섭측정 신호로부터 생성 가능한 정보의 가능한 결과들을 상기 변조 깊이의 상이한 값들 중에서 대응하는 것에 매핑(mapping)하는 상관 관계로 표현되고,The plurality of models are represented by a correlation that maps possible results of information that can be generated from a plurality of interferometric signals to corresponding ones of different values of the modulation depth, 상기 비교하는 단계는, 상기 변조 깊이의 상이한 값들 중에서 상기 복수의 간섭측정 신호로부터 생성 가능한 정보에 가장 잘 부합하는 것을 결정하는 단계를 포함하는, 방법.And the comparing step includes determining among the different values of the modulation depths that best matches information that can be generated from the plurality of interferometric signals. 제3항에 있어서,The method of claim 3, 상기 변조 깊이는 바이어스 오프셋 값에 관하여 표현될 수 있는, 방법.And the modulation depth can be expressed in terms of a bias offset value. 제2항에 있어서,3. The method of claim 2, 상기 간섭측정 신호들 중 적어도 일부는, 그 편광이 상기 패터닝된 횡방향 구조의 구성요소들에 대해 배향되는 상기 시험 물체의 조명으로부터 생성되는, 방법.At least some of the interferometric signals are generated from illumination of the test object whose polarization is oriented with respect to the components of the patterned transverse structure. 제7항에 있어서,The method of claim 7, wherein 상기 편광은 상기 패터닝된 횡방향 구조를 규정하는 개별 구성요소의 길이 방향에 직교하여 정렬된 선형 편광인, 방법.Wherein the polarization is linear polarization aligned orthogonally to the longitudinal direction of the individual components defining the patterned transverse structure. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상은, 상기 시험 물체 상의 계단(step)의 위치 및 높이 중 하나 이상에 대응하는, 방법.The one or more unanalyzed transverse shapes of the test object correspond to one or more of the height and position of a step on the test object. 제9항에 있어서,10. The method of claim 9, 상기 일련의 특징은 상기 계단의 위치 또는 높이에 대한 상이한 값들을 포함하는, 방법.The series of features include different values for the location or height of the stairs. 삭제delete 제1항에 있어서,The method of claim 1, 상기 시험 물체는, 개별 구성요소가 상기 추출된 높이 프로파일 내에서 모호하거나 분명하지 않은 패터닝된 횡방향 구조를 포함하는, 방법.Wherein the test object comprises a patterned transverse structure in which individual components are not ambiguous or apparent in the extracted height profile. 제12항에 있어서,The method of claim 12, 상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 높이 프로파일로부터 추출된 상기 패터닝된 횡방향 구조 내의 분석되지 않은 구성요소들의 집합(collection)의 높이에 대한 값인, 방법.The information generateable from the plurality of interferometric signals is a value for a height of a collection of unanalyzed components in the patterned transverse structure extracted from the height profile. 제13항에 있어서,14. The method of claim 13, 상기 분석되지 않은 횡방향 형상에 관한 정보는, 상기 패터닝된 횡방향 구조의 구성요소의 폭 및 변조 깊이 중 하나 이상에 대응하는, 방법.The information about the unanalyzed transverse shape corresponds to one or more of the width and modulation depth of a component of the patterned transverse structure. 제13항에 있어서,14. The method of claim 13, 상기 간섭측정 신호들에 대한 상이한 표면 위치들은, 상기 추출된 높이 프로파일의 기준 높이 값을 제공하는 상기 시험 물체의 기준부(reference portion)를 포함하는, 방법. The different surface locations for the interferometric signals include a reference portion of the test object that provides a reference height value of the extracted height profile. 제15항에 있어서, 16. The method of claim 15, 상기 시험 물체는 에칭되어 상기 패터닝된 구조를 생성하고,The test object is etched to produce the patterned structure, 상기 시험 물체의 기준부는 상기 시험 물제의 에칭되지 않은 것으로 알려져 있는 방법.The reference portion of the test object is known to be unetched of the test object. 제12항에 있어서,The method of claim 12, 상기 높이 프로파일을 결정하는 상기 간섭측정 신호 중 적어도 일부는, 그 편광이 상기 패터닝된 횡방향 구조의 구성요소에 대해 배향되어 있는, 상기 시험 물체의 조명으로부터 생성되는, 방법.At least some of the interferometric signals that determine the height profile are generated from illumination of the test object with its polarization oriented with respect to the components of the patterned transverse structure. 제17항에 있어서,18. The method of claim 17, 상기 편광은 상기 패터닝된 횡방향 구조를 규정하는 상기 개별 구성요소의 길이 방향에 직교하는 방향으로 정렬된 선형 편광인 방법.Wherein the polarization is linearly polarized light aligned in a direction orthogonal to the longitudinal direction of the individual component defining the patterned transverse structure. 제1항에 있어서,The method of claim 1, 상기 높이 프로파일은 상기 간섭측정 신호의 주파수 도메인 분석으로부터 취득되는, 방법.The height profile is obtained from frequency domain analysis of the interferometric signal. 제1항에 있어서,The method of claim 1, 상기 높이 프로파일은 각각의 간섭측정 신호에서의 코히어런스 최고점(coherence peak)의 상대적인 위치로부터 취득되는, 방법.And the height profile is obtained from the relative position of the coherence peak in each interferometric signal. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 상기 분석되지 않은 횡방향 형상은, 400nm보다 작은 형상 크기(feature size)를 가지는, 방법. And the unanalyzed lateral shape of the test object has a feature size of less than 400 nm. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 상기 분석되지 않은 횡방향 형상은, 200nm보다 작은 형상 크기를 가지는, 방법.And the unanalyzed lateral shape of the test object has a shape size of less than 200 nm. 제1항에 있어서,The method of claim 1, 상기 시험 물체의 상기 분석되지 않은 횡방향 형상은, 100nm보다 작은 형상 크기를 가지는, 방법.And the unanalyzed lateral shape of the test object has a shape size of less than 100 nm. 제1항에 있어서,The method of claim 1, 상기 모델들은 엄격한 결합파 분석법(rigorous coupled wave analysis, RCWA)을 사용하여 계산적으로 생성되는, 방법.Wherein the models are generated computationally using rigorous coupled wave analysis (RCWA). 제1항에 있어서,The method of claim 1, 상기 모델들은 알려진 특성(property)들을 가지는 시험 물체들로부터 경험적으로 생성되는, 방법.The models are empirically generated from test objects having known properties. 제1항에 있어서,The method of claim 1, 상기 분석되지 않은 횡방향 형상에 관한 정보는 사용자에게 출력되는, 방법.Information about the unanalyzed lateral shape is output to the user. 제1항에 있어서,The method of claim 1, 상기 분석되지 않은 횡방향 형상에 관한 정보는 반도체 제조용의 자동화된 공정 제어 시스템에 출력되는, 방법.Information about the unanalyzed lateral shape is output to an automated process control system for semiconductor manufacturing. 제1항에 있어서,The method of claim 1, 상기 간섭측정 신호는 주사 간섭측정 신호인, 방법.And the interferometric signal is a scanning interferometric signal. 제28항에 있어서,The method of claim 28, 상기 주사 간섭측정 신호는, 검출기 상에서 기준광과 간섭을 일으키도록 상기 시험 물체로부터 나오는 시험광을 결상(imaging)하는 단계, 및 상기 시험광과 기준광의 간섭 부분들 사이의 공통 소스에서 상기 검출기까지의 광 경로 길이차를 변화시키는 단계에 의해 생성되고,The scanning interferometry signal comprises imaging light from the test object to interfere with reference light on a detector, and light from the common source to the detector between the interference portions of the test light and the reference light. Generated by varying the path length difference, 상기 시험광 및 상기 기준광은 상기 공통 소스로부터 생성되며,The test light and the reference light are generated from the common source, 상기 주사 간섭측정 신호는 상기 광 경로 길이차가 변화될 때 상기 검출기에 의해 측정되는 간섭 세기에 대응하는, 방법.And the scanning interferometric signal corresponds to an interference intensity measured by the detector when the optical path length difference is changed. 제29항에 있어서,30. The method of claim 29, 상기 주사 간섭측정 신호를 생성하는 단계를 더 포함하는 방법.Generating the scanning interferometry signal. 제29항에 있어서,30. The method of claim 29, 상기 시험광 및 상기 기준광은, 상기 시험광 및 상기 기준광의 중심 주파수의 5%보다 큰 스펙트럼 대역폭을 가지는, 방법.Wherein the test light and the reference light have a spectral bandwidth greater than 5% of a center frequency of the test light and the reference light. 제31항에 있어서,The method of claim 31, wherein 상기 공통 소스는 스펙트럼 코히어런스 길이를 가지고, The common source has a spectral coherence length, 상기 광 경로 길이차는, 상기 주사 간섭측정 신호를 생성하기 위해 상기 스펙트럼 코히어런스 길이보다 넓은 범위에 걸쳐 변화되는, 방법.And the optical path length difference varies over a wider range than the spectral coherence length to produce the scan interferometry signal. 제29항에 있어서,30. The method of claim 29, 상기 시험 물체 상에 시험광을 전달하여 상기 검출기 상에 결상하기 위해 사용되는 광학기(optics)가, 상기 시험광에 대한 개구수를 0.8보다 크게 규정하는, 방법.Optics used to transfer test light onto the test object to form an image on the detector, the numerical aperture for the test light being greater than 0.8. 제33항에 있어서,34. The method of claim 33, 상기 공통 소스는 공간적으로 확장된 소스인, 방법.The common source is a spatially extended source. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 컴퓨터로 판독 가능한 매체를 포함하는 장치로서,An apparatus comprising a computer readable medium, 상기 컴퓨터로 판독 가능한 매체는:The computer readable medium includes: 컴퓨터 내의 프로세서로 하여금, 시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를, 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하게 하는 프로그램을 구비하고,And having a processor in the computer to compare information that can be generated from the plurality of scanning interferometry signals corresponding to different surface positions of the test object with information corresponding to the plurality of models for the test object, 상기 복수의 모델은, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상에 관련되는 일련의 특징에 의해 파라미터화 되어 있고,The plurality of models are parameterized by a series of features related to one or more unanalyzed lateral shapes of the test object, 상기 프로그램은, 상기 컴퓨터 내의 프로세서로 하여금, 상기 비교에 기초하여 상기 분석되지 않은 횡방향 형상에 관한 정보를 출력하도록 하며, The program causes the processor in the computer to output information about the unanalyzed lateral shape based on the comparison, 상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 복수의 간섭측정 신호로부터 생성된 상기 시험 물체의 높이 프로파일로부터 추출된 하나 이상의 값을 포함하고,The information generateable from the plurality of interferometric signals includes one or more values extracted from a height profile of the test object generated from the plurality of interferometric signals, 상기 분석되지 않은 횡방향 형상은 추출된 높이 프로파일 내에서 모호하거나 분명하지 않은, 컴퓨터로 판독 가능한 매체를 포함하는 장치.Wherein the unanalyzed lateral shape comprises a computer readable medium that is not ambiguous or apparent in the extracted height profile. 시험 물체의 상이한 표면 위치들에 대응하는 복수의 주사 간섭측정 신호를 생성하도록 구성된 주사 간섭측정 시스템; 및A scan interferometry system configured to generate a plurality of scan interferometry signals corresponding to different surface locations of the test object; And 상기 주사 간섭측정 신호를 수신하도록 상기 주사 간섭측정 시스템에 연결되고, 상기 복수의 주사 간섭측정 신호로부터 생성 가능한 정보를 상기 시험 물체에 대한 복수의 모델에 대응하는 정보와 비교하도록 프로그램된 전자 프로세서로서, 상기 복수의 모델은, 상기 시험 물체의 하나 이상의 분석되지 않은 횡방향 형상과 관련되는 일련의 특징에 의해 파라미터화 되어 있으며, 상기 비교에 기초하여 상기 분석되지 않은 횡방향 형상에 관한 정보를 출력하도록 프로그램된 전자 프로세서An electronic processor coupled to the scan interferometry system to receive the scan interferometry signal and programmed to compare information generated from the plurality of scan interferometry signals with information corresponding to a plurality of models for the test object, The plurality of models are parameterized by a series of features associated with one or more unanalyzed lateral shapes of the test object, and programmed to output information about the unanalyzed lateral shapes based on the comparison. Electronic processor 를 포함하고, Including, 상기 복수의 간섭측정 신호로부터 생성 가능한 정보는, 상기 복수의 간섭측정 신호로부터 생성된 상기 시험 물체의 높이 프로파일로부터 추출된 하나 이상의 값을 포함하고,The information generateable from the plurality of interferometric signals includes one or more values extracted from a height profile of the test object generated from the plurality of interferometric signals, 상기 분석되지 않은 횡방향 형상은 추출된 높이 프로파일 내에서 모호하거나 분명하지 않은, 장치.Wherein the unanalyzed transverse shape is not ambiguous or apparent within the extracted height profile. 삭제delete 삭제delete 삭제delete 삭제delete
KR1020087014372A 2005-11-15 2006-11-13 Interferometer and method for measuring characteristics of optically unresolved surface features KR101321861B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US73701605P 2005-11-15 2005-11-15
US60/737,016 2005-11-15
US11/525,355 US7324214B2 (en) 2003-03-06 2006-09-21 Interferometer and method for measuring characteristics of optically unresolved surface features
US11/525,355 2006-09-21
PCT/US2006/044102 WO2007059088A2 (en) 2005-11-15 2006-11-13 Interferometer and method for measuring characteristics of optically unresolved surface features

Publications (2)

Publication Number Publication Date
KR20080070856A KR20080070856A (en) 2008-07-31
KR101321861B1 true KR101321861B1 (en) 2013-10-25

Family

ID=39823274

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087014372A KR101321861B1 (en) 2005-11-15 2006-11-13 Interferometer and method for measuring characteristics of optically unresolved surface features

Country Status (2)

Country Link
JP (1) JP2009516171A (en)
KR (1) KR101321861B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210038947A (en) * 2018-08-01 2021-04-08 스카이버스 테크놀로지 씨오., 엘티디. Test apparatus and test method
KR20230108001A (en) 2022-01-10 2023-07-18 (주)프로옵틱스 Mirau Interferometer objective lens

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011085569A (en) 2009-09-15 2011-04-28 Toshiba Corp Pattern inspection apparatus and method
WO2011083544A1 (en) * 2010-01-06 2011-07-14 パナソニック株式会社 Film thickness measuring apparatus using interference and method of measuring film thickness using interference
TWI470184B (en) * 2011-08-20 2015-01-21 Tonta Electro Optical Co Ltd Surface profile measurment apparatus and alignment method thereof and a full aperture data measuing acquisition method
KR101879855B1 (en) * 2012-12-22 2018-07-19 (주)지오투정보기술 Digital map generating system for performing spatial modelling through a distortion correction of image
KR102253519B1 (en) * 2013-09-27 2021-05-18 호야 가부시키가이샤 Substrate provided with multilayer reflective film, mask blank, transfer mask, and semiconductor device production method
KR101640475B1 (en) 2014-06-30 2016-07-18 서울과학기술대학교 산학협력단 Method for measuring properties of substrate using antenna
US10236222B2 (en) * 2017-02-08 2019-03-19 Kla-Tencor Corporation System and method for measuring substrate and film thickness distribution
FR3089286B1 (en) * 2018-11-30 2022-04-01 Unity Semiconductor Method and system for measuring a surface of an object comprising different structures by low coherence interferometry
US20210172728A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. Methods and systems of optical inspection of electronic device manufacturing machines
CN111406198B (en) 2020-02-24 2021-02-19 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
CN113008160B (en) * 2020-02-24 2023-02-10 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
CN111356896B (en) 2020-02-24 2021-01-12 长江存储科技有限责任公司 System and method for semiconductor chip surface topography metrology
JP7043555B2 (en) * 2020-09-04 2022-03-29 Ckd株式会社 3D measuring device
WO2023149469A1 (en) * 2022-02-07 2023-08-10 株式会社東京精密 Shape measuring device adjustment method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5280859A (en) * 1975-12-27 1977-07-06 Canon Inc Measuring method using interferometer
WO2004079294A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Characterizing and profiling complex surface structures using scanning interferometry

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079295A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7292346B2 (en) * 2003-09-15 2007-11-06 Zygo Corporation Triangulation methods and systems for profiling surfaces through a thin film coating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5280859A (en) * 1975-12-27 1977-07-06 Canon Inc Measuring method using interferometer
WO2004079294A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Characterizing and profiling complex surface structures using scanning interferometry

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210038947A (en) * 2018-08-01 2021-04-08 스카이버스 테크놀로지 씨오., 엘티디. Test apparatus and test method
KR102534974B1 (en) * 2018-08-01 2023-05-30 스카이버스 테크놀로지 씨오., 엘티디. Test device and test method
KR20230108001A (en) 2022-01-10 2023-07-18 (주)프로옵틱스 Mirau Interferometer objective lens

Also Published As

Publication number Publication date
JP2009516171A (en) 2009-04-16
KR20080070856A (en) 2008-07-31

Similar Documents

Publication Publication Date Title
KR101321861B1 (en) Interferometer and method for measuring characteristics of optically unresolved surface features
US7684049B2 (en) Interferometer and method for measuring characteristics of optically unresolved surface features
KR101169293B1 (en) Profiling complex surface structures using scanning interferometry
JP5827794B2 (en) Profiling complex surface structures using scanning interferometry
US7106454B2 (en) Profiling complex surface structures using scanning interferometry
US7271918B2 (en) Profiling complex surface structures using scanning interferometry
JP5502491B2 (en) Apparatus and method for characterization of surface features
KR101054786B1 (en) Methods and systems for analyzing low coherence interferometric signals for information about thin film structures
US7139081B2 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
EP1604169B1 (en) Method for profiling complex surface structures using scanning interferometry

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161011

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171012

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20181010

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 7