KR101269115B1 - Structure is simplified euv plasma generating apparatus - Google Patents

Structure is simplified euv plasma generating apparatus Download PDF

Info

Publication number
KR101269115B1
KR101269115B1 KR1020120028463A KR20120028463A KR101269115B1 KR 101269115 B1 KR101269115 B1 KR 101269115B1 KR 1020120028463 A KR1020120028463 A KR 1020120028463A KR 20120028463 A KR20120028463 A KR 20120028463A KR 101269115 B1 KR101269115 B1 KR 101269115B1
Authority
KR
South Korea
Prior art keywords
laser
vacuum chamber
gas
plasma
tlm
Prior art date
Application number
KR1020120028463A
Other languages
Korean (ko)
Inventor
임재원
유부엽
장명식
Original Assignee
주식회사 에프에스티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 에프에스티 filed Critical 주식회사 에프에스티
Priority to KR1020120028463A priority Critical patent/KR101269115B1/en
Priority to US14/386,003 priority patent/US20150097107A1/en
Priority to PCT/KR2013/002249 priority patent/WO2013141578A1/en
Application granted granted Critical
Publication of KR101269115B1 publication Critical patent/KR101269115B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2008Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Abstract

PURPOSE: An EUV plasma generating apparatus is provided to stably output EUV generated in a gas cell by using chambers which have different vacuum states. CONSTITUTION: A laser source(100) outputs a laser. A TLM(Tunable Laser Mirror)(220) reflects a laser beam outputted from the laser source. A FM(Focusing Mirror)(230) focuses is the laser beam reflected from the TLM. A gas cell(240) generates plasma by using the laser beam and a reaction gas to generate EUV. A vacuum chamber(200) accommodates the TLM, the FM, and the gas cell under a vacuum condition.

Description

EUV plasma generating apparatus {Structure is simplified EUV plasma generating apparatus}

The present invention relates to an extreme ultraviolet generator using a plasma having a simplified structure, and more particularly, to an extreme ultraviolet generator capable of generating an extreme ultraviolet beam while simplifying the structure as much as possible.

As the degree of integration of a semiconductor integrated circuit increases, the circuit pattern becomes finer and the resolution is reduced in an exposure apparatus using visible light or ultraviolet light which has been conventionally used. In the semiconductor manufacturing process, the resolution of the exposure apparatus is proportional to the numerical aperture (NA) of the transfer optical system, and is inversely proportional to the wavelength of light used for exposure. Therefore, an attempt has been made to use an EUV (Extreme Ultraviolet) light source having a short wavelength in place of visible light or ultraviolet light for exposure transfer as an attempt to increase the resolution. A laser plasma EUV light source and a discharge plasma EUV light source are applied as the EUV light generator used in such an exposure transfer apparatus.

It has been extensively researched and developed to use a Ne plasma using Ne gas as a reactant of a laser plasma light source as a light source employing a wavelength of 20 nm or less, typically 13.5 nm, for use in an EUV exposure apparatus, (The ratio of the EUV light intensity obtained with respect to the input energy). Since Ne is a gas material at room temperature, there arises a problem that a problem of debris occurs. However, in order to obtain a high-output EUV light source, the use of Ne gas as a target is limited, and it is also desired to use other materials.

A region of 200 nm to 100 nm corresponding to half of the long wavelength side in a vacuum ultraviolet region having a light wavelength of 200 nm to 10 nm is referred to as VUV light, and a region of 100 nm to 10 nm corresponding to half of the short wavelength side is referred to as EUV light It is classified. EUV light having a center wavelength of less than 100 nm generated from a plasma is difficult to be absorbed in the optical system such as air or a condensing mirror Follow.

In the EUV region, EUV laser is used. In this short wavelength region, there are many unresolved problems such as laser oscillation method, measurement method, optical materials used, and development of application fields is a future problem. In order to solve the problem that the EUV light is lost in the air or the optical system, a vacuum environment (<10 -3 torr) below a certain pressure is required and a condenser mirror and a lens coated with a special material should be used.

Therefore, it is necessary to develop EUV light generating apparatus using laser plasma more efficiently by applying these conditions.

Accordingly, the applicant of the present invention, Korean Patent Application No. 10-2011-0017579, name of the invention: when looking at the stabilized extreme ultraviolet light generating apparatus using a plasma through Figure 1, the laser source 10 for outputting a laser, in the laser source The gas cell 20, which generates extreme ultraviolet rays by generating a plasma by a laser and a gas by receiving a gas from a gas supply path to a plasma induction path corresponding to a section in which the output laser is incident and focused. The first vacuum chamber unit 30 which maintains a constant vacuum degree, the second vacuum chamber which maintains a constant vacuum degree as a space for injecting extreme ultraviolet rays generated from the gas cell and emitting the extreme ultraviolet rays to the outside. The unit 40, a gas supply unit for supplying a gas for inducing the laser and the plasma to the gas supply path of the gas cell and the first dust And a first vacuum pump and a second vacuum pump for forming vacuum degrees of the empty chamber portion and the second vacuum chamber portion, respectively, and a plurality of optical systems 71 to 75 transferring light output from the race source.

The extreme ultraviolet ray generator according to the present invention is a very superior technology capable of generating a stabilized extreme ultraviolet ray through a plasma reaction as an invention filed by the present applicant.

However, as the structure is very complicated, the design is difficult and the laser alignment or instrument placement process is complicated. In addition, there is a disadvantage in that the production cost is high because it requires a large number of parts according to the complex structure is disadvantageous to appeal to industrial applications.

The present invention for solving the above problems to minimize the degradation of efficiency while generating a stabilized extreme ultraviolet beam while simplifying the structure as much as possible, stabilization using a plasma that can effectively capture the EUV light source generated from the plasma An object of the present invention is to provide an extreme ultraviolet generator.

The present invention for achieving the above object, a laser source for outputting a laser, a tunable laser mirror (TLM) for reflecting the laser beam output from the laser source, FM (Focusing focusing the laser beam reflected from the TLM) Mirror) is supplied with a reaction gas from a gas supply path to a plasma induction furnace corresponding to a section in which the laser focused by the FM is focused and generates plasma by a laser beam and the reaction gas to generate extreme ultraviolet rays. And a vacuum chamber for accommodating the gas cell and the TLM, FM, and the gas cell in a vacuum state.

In addition, the first aperture is provided for the alignment of the laser beam focused in the FM, and the second aperture for transmitting only the central wavelength in the extreme ultraviolet beam generated in the gas cell.

In addition, the vacuum chamber is divided into a first vacuum chamber portion and a second vacuum chamber portion, the second vacuum chamber portion maintains a higher vacuum than the first vacuum chamber portion, the first vacuum chamber portion, TLM, FM And a gas cell and a first aperture, wherein the second vacuum chamber part is configured to receive the second aperture.

In addition, a beam splitter for reflecting a part of the light reflected by the TLM and an image sensor for detecting a wavefront (wavefront) of the beam reflected through the beam splitter.

The laser source may have an IR wave length of 800 nm to 1600 nm and a pulse width of 30 fs to 50 fs.

The first aperture may be removed after aligning the beam output from the FM.

The present invention constructed and operated as described above has the advantage that the structure is very simple under the conditions for generating EUV light, so that the manufacturing is easy and cost reduction can be realized.

In addition, there is an effect that the beam alignment is very easy through the simplification of the optical system structure, in addition, there is an advantage that can be stably output of the extreme ultraviolet rays generated in the gas cell by configuring the chamber portion having a different degree of vacuum.

1 is a schematic diagram of an extreme ultraviolet ray generating apparatus using plasma according to the related art,
FIG. 2 is a configuration diagram of an extreme ultraviolet ray generating apparatus using a plasma with a simplified structure according to the present invention,
Figure 3 is a detailed view of the extreme ultraviolet light generating apparatus using a simplified plasma structure according to the present invention.

Hereinafter, with reference to the accompanying drawings will be described in detail a preferred embodiment of the extreme ultraviolet generating apparatus using a simplified plasma structure according to the present invention.

The extreme ultraviolet generator using the simplified plasma structure according to the present invention, a laser source 100 for outputting a laser, a TLM (Tunable Laser Mirror; 220) for reflecting the laser beam output from the laser source, in the TLM Focusing Mirror (FM) for focusing the reflected laser beam, and reacting with the laser beam by receiving a reaction gas from a gas supply path to a plasma induction furnace corresponding to a section in which the laser focused in the FM is focused. It is characterized in that it comprises a gas cell 240 for generating a plasma by the gas to generate extreme ultraviolet rays and the vacuum chambers (200, 210) for receiving the TLM, FM, gas cells in a vacuum state.

The extreme ultraviolet generator according to the present invention is to provide an extreme ultraviolet generator that can satisfy the efficiency of the extreme ultraviolet light while simplifying the structure of the optical system for transmitting the light output from the laser source in the apparatus for generating EUV light Make a point.

2 is a configuration diagram of an extreme ultraviolet ray generating apparatus using a plasma with a simplified structure according to the present invention.

The extreme ultraviolet generator using the plasma according to the present invention includes a laser source 100 for outputting a laser beam, a TLM (Tunable Laser Mirror) 220 for reflecting the laser beam, and a FM (Focusing Mirror) for focusing the reflected laser beam; 230, a gas cell 240 generating extreme ultraviolet light through a plasma reaction, and a vacuum chamber accommodating the TLM, FM, and gas cells.

The laser source 100 is a source source for outputting a laser having an arbitrary wavelength. The laser source 100 generates extreme ultraviolet rays having a wavelength of 20 nm or less through plasma induction of the laser output from the laser source. In the present invention, for example, a femto sencond class laser source using a titanium sapphire amplified laser system in detail as a specification, the characteristics of the pulse width of the IR femtosecond pulse laser (30s ~ 50fs, IR wave) It is desirable to have a condition of 800 nm to 1600 nm.

The TLM is a mirror that reflects a laser beam output from a laser source located outside the vacuum chamber. The TLM is disposed in an incident path output from the laser source, and reflects the incident laser beam to a focusing mirror 230 described later. In this case, the turnable laser mirror is reflected such that the angle reflected by the focusing mirror has an angle of incidence of approximately 2 °, that is, the angle of incidence incident from the focusing mirror is reflected by approximately 2 °.

The FM 230 focuses and reflects the incident light for extreme ultraviolet light generation. The laser beam output from the laser source is reflected by the TLM mirror and reflected by the focusing mirror, and the focusing mirror FM focuses the incident laser beam into a gas cell that generates EUV light through plasma induction.

The gas cell is made of a transparent material, preferably made of quartz, a through path through which a laser can pass is formed, and a center of the plasma cell is a focal region in which a laser output from a laser source is focused. An exhaust path is formed at both sides of the plasma induction furnace, and a gas supply path for supplying gas to the plasma induction furnace is connected to the plasma induction furnace.

The gas cell 240 is formed of a transparent material, and a light induction path is formed at both sides, and a plasma induction path is formed at the center to connect the light induction paths. The light reflected from the focusing mirror is focused to be focused on the center portion of the plasma induction path and reacts with the reactive gas supplied to the plasma induction path to generate EUV light. That is, the plasma induction furnace corresponding to the central portion is focused by focusing the laser output from the laser source, and the external gas supply unit 290 supplies Ne gas through the plasma induction furnace and through the gas supply passage. Further, on both sides of the plasma induction furnace, there are formed exhaust passages for exhausting the supplied gas to the outside and for maintaining the degree of vacuum in the plasma induction furnace. If the gas supplied through the gas supply path diffuses outside the region where the laser focus is focused, it is impossible to induce smooth plasma due to scattering of the gas particles. In addition, although a certain degree of vacuum is maintained in the plasma induction furnace, if it can not maintain a certain degree of vacuum due to various problems (vacuum chamber sealing, impurities, etc.) of the vacuum system, And the gas exhaust and the degree of vacuum are maintained through the furnace. The exhaust passage exhausts through an external drain pump 291 (a device for evacuating gas).

On the other hand, a vacuum chamber for accommodating constituent elements for generating extreme ultraviolet light in a vacuum state is constituted. The vacuum chamber is divided into a first vacuum chamber 200 region and a second vacuum chamber 210 region.

The first vacuum chamber part 200 corresponds to a region where extreme ultraviolet rays are generated and the second vacuum chamber part 210 corresponds to an area for stably supplying extreme ultraviolet rays generated from the first vacuum chamber part. In the present invention, extreme ultraviolet rays are generated through a gas cell, which will be described later, by inducing plasma by a laser beam and a gas supplied from the outside. At this time, since a gas such as Ne, Xe, He, etc. is supplied into the gas cell from the outside, it is difficult to maintain a constant vacuum degree, and thus, in the chamber where the gas cell is located, EUV light efficiency generated in the gas cell may be reduced. Accordingly, the gas cell is positioned in the first vacuum chamber portion maintaining a constant degree of vacuum, and the EUV light generated in the gas cell is directly transmitted to the second vacuum chamber portion having a lower degree of vacuum to prevent the efficiency from being lowered.

The first vacuum chamber part and the second vacuum chamber part constitute a first vacuum pump 300 and a second vacuum pump 310, respectively, in order to maintain different degrees of vacuum. In order to form a lower vacuum degree in the second vacuum chamber, A plurality of vacuum pumps suitable for the above can be provided. For example, it is composed of a medium vacuum type vacuum pump such as Cryo pump, Diffusion pump, Turbo pump and Ion pump. Vacuum chambers each portion is preferably first the 10 -3 torr or less, a second vacuum chamber maintained in a vacuum chamber to a vacuum degree of less than 10 -6 torr.

Thus, ultraviolet light is generated in the first vacuum chamber and efficiency is prevented from lowering in the second vacuum chamber, so that the final light is supplied to the application. In this case, the divided vacuum chamber is divided by forming a partition in one chamber, the partition is provided with an optical lens that can transmit the extreme ultraviolet rays generated in the gas cell.

Meanwhile, the extreme ultraviolet generator according to the present invention includes a first aperture 250 additionally applied for beam alignment, and a second aperture 260 passing only light having a central wavelength to prevent damage to an optical component. It further includes.

The first aperture is used to align the laser beam. When the laser is first generated, the first aperture is installed to guide the direction of the beam and is removed from the generator when the alignment is completed.

When the second aperture 260 generates plasma in a vacuum state and an EUV beam is generated, when a plasma is generated, a beam of relatively high energy in various wavelength bands is simultaneously generated in addition to the EUV beam so as not to release the second aperture. It can cause damage to several rear-side optical components, allowing only the beam of the center wavelength to pass through the center of the aperture and blocking other beams.

Meanwhile, a beam splitter 270 is installed on the optical path reflected from the TLM for wave front detection of the light output through the laser source and reflects a predetermined amount of incident light. The image sensor 280 is installed in the configuration to detect the wavefront of the incident light.

3 is a detailed view of an apparatus for generating extreme ultraviolet rays using plasma having a simplified structure according to the present invention. A gas supply path communicating with the outside is formed in the gas cell in which extreme ultraviolet light is generated through the plasma induction to supply gas to the plasma induction furnace, and a gas exhaust path communicating with the light induction path is formed at both sides of the gas supply path Respectively. Therefore, the gas supply path is connected to the external gas supply unit 290 to supply the reaction gas required for the plasma reaction, and the gas exhaust path is connected to the external drain pump 291 to exhaust the gas after the reaction to the outside. .

The present invention configured as described above has an advantage in that the optical system is very simplified in the process of generating extreme ultraviolet rays from a laser beam output from an externally located laser source, so that light alignment is easy and cost reduction can be realized.

While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. On the contrary, those skilled in the art will appreciate that many modifications and variations of the present invention are possible without departing from the spirit and scope of the appended claims. And all such modifications and changes as fall within the scope of the present invention are therefore to be regarded as being within the scope of the present invention.

100: laser source
200: first vacuum chamber
210: second vacuum chamber
220: TLM
230: FM
240 gas cell
250: first aperture
260: second aperture
270 beam splitter
280: image sensor
290: gas supply unit
291: drain pump
300: first vacuum pump
310: Second vacuum pump

Claims (6)

A laser source for outputting a laser;
Tunable Laser Mirror (TLM) for reflecting the laser beam output from the laser source;
Focusing Mirror (FM) for focusing the laser beam reflected from the TLM;
A gas cell for receiving a laser beam focused by the FM and supplying a reaction gas from a gas supply path to a plasma induction furnace corresponding to a focal point and generating a plasma by a laser beam and a reactive gas to generate extreme ultraviolet rays; And
And a vacuum chamber accommodating the TLM, FM, and gas cells in a vacuum state.
A first aperture provided for alignment of the laser beam focused in the FM, and a second aperture for transmitting only central light in the extreme ultraviolet beam generated in the gas cell,
The vacuum chamber includes:
Divided into a first vacuum chamber portion and a second vacuum chamber portion,
The second vacuum chamber portion maintains a higher degree of vacuum than the first vacuum chamber portion,
And the first vacuum chamber part accommodates the TLM, the FM, the gas cell, and the first aperture, and the second vacuum chamber part accommodates the second aperture.
delete delete The method of claim 1,
A beam splitter for partially reflecting the light reflected by the TLM; and
And an image sensor for detecting a wave front of a beam reflected through the beam splitter. 2.
The method of claim 1, wherein the laser source,
Ultraviolet ray generator using simplified plasma with IR wave length 800nm ~ 1600nm, pulse width 30fs ~ 50fs.
The method of claim 1, wherein the first aperture,
The extreme ultraviolet generator using the simplified plasma structure, characterized in that the removable after aligning the beam output from the FM.
KR1020120028463A 2012-03-20 2012-03-20 Structure is simplified euv plasma generating apparatus KR101269115B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020120028463A KR101269115B1 (en) 2012-03-20 2012-03-20 Structure is simplified euv plasma generating apparatus
US14/386,003 US20150097107A1 (en) 2012-03-20 2013-03-19 Apparatus for generating extreme ultraviolet light using plasma
PCT/KR2013/002249 WO2013141578A1 (en) 2012-03-20 2013-03-19 Apparatus for generating extreme ultraviolet light using plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020120028463A KR101269115B1 (en) 2012-03-20 2012-03-20 Structure is simplified euv plasma generating apparatus

Publications (1)

Publication Number Publication Date
KR101269115B1 true KR101269115B1 (en) 2013-05-29

Family

ID=48667144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120028463A KR101269115B1 (en) 2012-03-20 2012-03-20 Structure is simplified euv plasma generating apparatus

Country Status (1)

Country Link
KR (1) KR101269115B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180073111A (en) * 2016-12-22 2018-07-02 한국과학기술원 Euv high harmonic generation apparatus
CN111913369A (en) * 2020-08-24 2020-11-10 福建安芯半导体科技有限公司 Stepping high-precision photoetching machine
KR20230157795A (en) 2022-05-10 2023-11-17 주식회사 이솔 Device for EUV Light Source
KR20230158806A (en) 2022-05-12 2023-11-21 주식회사 이솔 EUV light source device and plasma gas recycling system for high-density plasma generation
KR20230171246A (en) 2022-06-13 2023-12-20 주식회사 이솔 Device for EUV Light Source

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007179881A (en) 2005-12-28 2007-07-12 Ushio Inc Extreme ultraviolet light source device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007179881A (en) 2005-12-28 2007-07-12 Ushio Inc Extreme ultraviolet light source device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180073111A (en) * 2016-12-22 2018-07-02 한국과학기술원 Euv high harmonic generation apparatus
KR101909790B1 (en) 2016-12-22 2018-10-18 한국과학기술원 Euv high harmonic generation apparatus
CN111913369A (en) * 2020-08-24 2020-11-10 福建安芯半导体科技有限公司 Stepping high-precision photoetching machine
CN111913369B (en) * 2020-08-24 2022-06-07 福建安芯半导体科技有限公司 Stepping high-precision photoetching machine
KR20230157795A (en) 2022-05-10 2023-11-17 주식회사 이솔 Device for EUV Light Source
KR20230158806A (en) 2022-05-12 2023-11-21 주식회사 이솔 EUV light source device and plasma gas recycling system for high-density plasma generation
KR20230171246A (en) 2022-06-13 2023-12-20 주식회사 이솔 Device for EUV Light Source

Similar Documents

Publication Publication Date Title
KR101269115B1 (en) Structure is simplified euv plasma generating apparatus
JP2017191324A (en) Solid-state laser and inspection system using 193-nm laser
US8253926B2 (en) Exposure device
JP2014530380A (en) Solid-state laser and inspection system using 193NM laser
KR101172622B1 (en) Stabilized euv generation device using the plasma
JP2016508627A (en) 193nm laser and inspection system
JP2009164331A (en) Atomic oscillator and oscillation device
JP2016519782A (en) Inspection system using 193 nm laser and 193 nm laser
JP5544663B2 (en) EUV mask inspection apparatus and EUV mask inspection method
KR20160141798A (en) System and method for transverse pumping of laser-sustained plasma
KR101207983B1 (en) Using the EUV plasma generation device
US9036251B2 (en) Slab amplification device, laser apparatus, and extreme ultraviolet light generation system
JPWO2014119199A1 (en) Laser apparatus and extreme ultraviolet light generator
US20150028231A1 (en) Laser apparatus
US10374381B2 (en) Extreme ultraviolet light generating apparatus
US20150097107A1 (en) Apparatus for generating extreme ultraviolet light using plasma
KR101401241B1 (en) EUV beam generating device to implement the alignment
US20130092849A1 (en) Laser device, laser apparatus, and extreme ultraviolet light generation system
JP4718468B2 (en) LASER ANALYZER, LASER ANALYSIS METHOD, AND GAS LEAK INSPECTION DEVICE
TWI709731B (en) Radiation sensor apparatus, system and method for determining a position of a radiation beam
JPWO2015033830A1 (en) Laser amplifier, laser apparatus, and extreme ultraviolet light generation system
KR101324545B1 (en) Laser beam through the stabilization and calibration for EUV generation device to improve energy efficiency
KR101359754B1 (en) Precision adjustable optical EUV light generating device
TW201906262A (en) Methods and apparatus for optical metrology
JP2000111699A (en) Soft x-ray light source device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170502

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190520

Year of fee payment: 7