KR101100762B1 - MIM capacitor and fabricating method thereof - Google Patents

MIM capacitor and fabricating method thereof Download PDF

Info

Publication number
KR101100762B1
KR101100762B1 KR1020040101499A KR20040101499A KR101100762B1 KR 101100762 B1 KR101100762 B1 KR 101100762B1 KR 1020040101499 A KR1020040101499 A KR 1020040101499A KR 20040101499 A KR20040101499 A KR 20040101499A KR 101100762 B1 KR101100762 B1 KR 101100762B1
Authority
KR
South Korea
Prior art keywords
capacitor
forming
lower electrode
metal wiring
upper electrode
Prior art date
Application number
KR1020040101499A
Other languages
Korean (ko)
Other versions
KR20060062603A (en
Inventor
금소현
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020040101499A priority Critical patent/KR101100762B1/en
Publication of KR20060062603A publication Critical patent/KR20060062603A/en
Application granted granted Critical
Publication of KR101100762B1 publication Critical patent/KR101100762B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/30Stacked capacitors
    • H01G4/306Stacked capacitors made by thin film techniques
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136213Storage capacitors associated with the pixel electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Nonlinear Science (AREA)
  • Mathematical Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 엠아이엠 캐패시터 및 그 제조 방법에 관한 것으로, 보다 상세하게는 하부전극을 금속배선 영역보다 길게 형성하여 하부전극이 금속확산 방지기능을 포함하도록 함으로써 공정을 단순화하고 금속배선의 전자이동(electromigration;EM) 특성을 향상시키고, 엠아이엠 캐패시터 제조시 박막레지스터(thin film resistor)를 함께 형성함으로써 집적도를 향상시키는 기술이다. 이를 위해, 본 발명의 엠아이엠 캐패시터는, 금속물질로 형성된 금속배선과, 상기 금속배선과 접속되도록 하부전극, 유전체막, 상부전극을 순차적으로 적층하여 형성하되, 상기 하부전극 및 상기 유전체막의 범위를 상기 금속배선보다 소정 범위이상 넓게 형성한 캐패시터와, 상기 캐패시터와 병렬로 상부전극을 증착하여 형성한 박막 레지스터와, 상기 캐패시터 및 상기 박막 레지스터를 제 2 금속배선과 연결하기 위한 복수개의 비아콘택플러그를 포함하여 구성함을 특징으로 한다.The present invention relates to an M capacitor and a method of manufacturing the same, and more particularly, by forming the lower electrode longer than the metal wiring region to simplify the process by the lower electrode includes a metal diffusion prevention function and electromigration of the metal wiring (electromigration) It is a technique of improving the integration characteristics by forming a thin film resistor (EM) characteristics and improve the EM capacitor manufacturing. To this end, the M capacitor of the present invention is formed by sequentially stacking a metal wiring formed of a metal material and a lower electrode, a dielectric film, and an upper electrode so as to be connected to the metal wiring, and the range of the lower electrode and the dielectric film is defined. A capacitor formed wider than the metal wiring by a predetermined range, a thin film resistor formed by depositing an upper electrode in parallel with the capacitor, and a plurality of via contact plugs for connecting the capacitor and the thin film resistor with a second metal wiring; It is characterized by including the configuration.

Description

엠아이엠 캐패시터 및 그 제조 방법{MIM capacitor and fabricating method thereof}MIM capacitor and fabrication method

도 1은 종래의 엠아이엠 캐패시터의 단면도.1 is a cross-sectional view of a conventional M capacitor.

도 2는 본 발명의 실시예에 따른 엠아이엠 캐패시터의 단면도.2 is a cross-sectional view of an M capacitor according to an embodiment of the present invention.

도 3a 내지 도 3g는 도 2의 엠아이엠 캐패시터의 제조방법을 도시한 공정도.Figures 3a to 3g is a process diagram showing a method of manufacturing the M capacitor of Figure 2;

본 발명은 엠아이엠 캐패시터 및 그 제조 방법에 관한 것으로, 보다 상세하게는 하부전극을 금속배선 영역보다 길게 형성하여 하부전극이 금속확산 방지기능을 포함하도록 함으로써 공정을 단순화하고 금속배선의 전자이동(electromigration;EM) 특성을 향상시키고, 엠아이엠 캐패시터 제조시 박막레지스터(thin film resistor)를 함께 형성함으로써 집적도를 향상시키는 기술이다.The present invention relates to an M capacitor and a method of manufacturing the same, and more particularly, by forming the lower electrode longer than the metal wiring region to simplify the process by the lower electrode includes a metal diffusion prevention function and electromigration of the metal wiring (electromigration) It is a technique of improving the integration characteristics by forming a thin film resistor (EM) characteristics and improve the EM capacitor manufacturing.

일반적으로, 캐패시터는 전하를 저장하고, 반도체 소자의 동작에 필요한 전하를 공급하는 부분으로서, 반도체 소자가 고집적화 되어짐에 따라 단위셀(cell)의 크기는 작아지면서 소자의 동작에 필요한 정전용량(capacitance)은 약간씩 증가하는 것이 일반적인 경향이다. In general, a capacitor stores electric charges and supplies electric charges necessary for the operation of the semiconductor device. As the semiconductor device becomes highly integrated, the capacitance of the device becomes smaller while the size of the unit cell becomes smaller. Is a general trend.                         

특히, 높은 정밀도를 요구하는 씨모스 아이씨 로직 소자(CMOS IC Logic device)에 적용되는 아날로그 캐패시터(Analog Capacitor)는 어드벤스드 아날로그 모스 기술 (Advanced Analog MOS Technology), 특히, A/D 컨버터나 스위칭 캐패시터 필터 분야의 핵심 요소이다. 이러한 아날로그 캐패시터의 구조로는 피아이피(PIP : Poly-Insulator-Poly), 피아이엠(PIM : Poly -Insulator-Metal), 엠아이피(MIP : Metal-Insulator-Poly) 및 엠아이엠(MIM : Metal-Insulator-Metal) 등 다양한 구조들이 이용되어 왔다. In particular, analog capacitors applied to CMOS IC logic devices that require high precision are advanced analog MOS technologies, in particular, A / D converters or switching capacitor filters. It is a key element of the field. The structure of the analog capacitor is PIP (Poly-Insulator-Poly), PIM (Poly-Insulator-Metal), MIP (Metal-Insulator-Poly) and MIM (Metal-Metal) Insulator-Metal) and other structures have been used.

이들 중에서 엠아이엠(이하, MIM) 구조는 직렬 저항(series resistance)이 낮아 높은 Q(Quality Factor) 값의 캐패시터를 구현할 수 있고, 특히, 낮은 써멀 버짓(Thermal Budget) 및 낮은 Vcc, 그리고, 작은 기생성분(Parastic Resista nce amp; Capacitance)을 갖고 있어, 아날로그 캐패시터의 대표적 구조로 이용되고 있다.Among them, the MIM structure has a low series resistance, so that a capacitor having a high Q (Quality Factor) value can be realized, and in particular, a low thermal budget, a low Vcc, and a small parasitics. It has a component (Parastic Resistance; Capacitance) and is used as a representative structure of an analog capacitor.

도 1은 종래의 MIM 캐패시터의 단면도이다.1 is a cross-sectional view of a conventional MIM capacitor.

종래의 MIM 캐패시터는 금속배선(10)의 상부에 식각정지막(11) 및 하드마스크막(21)을 순차적으로 적층한 후, 그 일부를 제거하여 캐패시터를 형성할 영역을 형성하고, 그 영역에 하부전극(12)과 유전체막(13)이 순차적으로 적층되되, 트랜치타입으로 형성된다. 유전체막(13)의 상부에 소정 크기의 상부전극(14)과 캐핑레이어(15)가 순차적으로 증착되고, 상부전극(14)과 접속되는 비아콘택플러그(16)가 형성되며, 비아콘택플러그(16)의 상부에 식각정지막(18) 및 금속배선(19)이 형성된다. In the conventional MIM capacitor, the etch stop film 11 and the hard mask film 21 are sequentially stacked on the metal wiring 10, and then a portion of the MIM capacitor is removed to form an area for forming a capacitor. The lower electrode 12 and the dielectric film 13 are sequentially stacked, and are formed in a trench type. The upper electrode 14 and the capping layer 15 having a predetermined size are sequentially deposited on the dielectric layer 13, and a via contact plug 16 is formed to be connected to the upper electrode 14. An etch stop layer 18 and a metal wiring 19 are formed on the upper portion 16.                         

상기와 같은 구조를 갖는 종래의 MIM 캐패시터에서 하부전극(12)은 금속배선(10)의 금속 물질의 확산을 방지할 수 없고 하부전극으로서만 사용된다.In the conventional MIM capacitor having the above structure, the lower electrode 12 cannot prevent the diffusion of the metal material of the metal wiring 10 and is used only as the lower electrode.

또한, 메모리 소자 및 비메모리 소자내에 MIM 캐패시터를 포함하는 경우 박막 레지스터를 함께 사용하기 위해서는 별도의 박막 레지스터를 형성하여 별도로 연결하는 작업이 필요하고 그에 따라 소자의 면적 소모가 크고 및 집적도가 낮은 문제점이 있다.  In addition, when the MIM capacitors are included in the memory device and the non-memory device, in order to use the thin film resistors together, a separate thin film resistor is required to be connected and separately connected, and thus the area consumption of the device is high and the integration degree is low. have.

상기와 같은 문제점을 해결하기 위한 본 발명의 목적은, 하부전극을 금속배선 영역보다 길게 형성하여 하부전극이 금속확산 방지기능을 수행하도록 함으로써 별도의 금속확산 방지막을 형성할 필요가 없어 공정을 단순화하고 금속배선의 전자이동(electromigration;EM) 특성을 향상시키는데 있다. An object of the present invention for solving the above problems, by forming the lower electrode longer than the metal wiring area to perform the metal diffusion prevention function of the lower electrode, there is no need to form a separate metal diffusion prevention film to simplify the process It is to improve the electromigration (EM) characteristics of metal wiring.

또한, 본 발명의 다른 목적은, 엠아이엠 캐패시터 제조시 박막레지스터(thin film resistor)를 함께 형성함으로써 소자의 집적도를 향상시키는데 있다.In addition, another object of the present invention is to improve the degree of integration of the device by forming a thin film resistor (thin film resistor) together in the manufacture of MMC capacitor.

상기 과제를 달성하기 위한 본 발명의 실시예에 따른 엠아이엠 캐패시터는, 금속물질로 형성된 금속배선과, 상기 금속배선과 접속되도록 하부전극, 유전체막, 상부전극을 순차적으로 적층하여 형성하되, 상기 하부전극 및 상기 유전체막의 범위를 상기 금속배선보다 소정 범위이상 넓게 형성한 캐패시터와, 상기 캐패시터를 제 2 금속배선과 연결하기 위한 복수개의 비아콘택플러그를 포함하여 구성함을 특징으로 한다. The M capacitor according to the embodiment of the present invention for achieving the above object is formed by sequentially stacking a lower electrode, a dielectric film, and an upper electrode so as to be connected to a metal wiring formed of a metal material and the metal wiring. And a capacitor having a range of an electrode and the dielectric film wider than the metal wiring by a predetermined range, and a plurality of via contact plugs for connecting the capacitor with the second metal wiring.                     

또한, 본 발명의 실시예에 따른 엠아이엠 캐패시터의 제조방법은, (a) 금속배선을 포함한 층간절연막을 형성하고, 그 상부에 식각정지막 및 하드마스크막을 순차적으로 증착한 후, 식각공정을 통해 상기 금속배선을 노출시켜 캐패시터를 형성할 영역을 형성하는 공정과, (b) 하부전극용 도전물질과 유전체막을 형성하기 위한 유전물질을 순차적으로 전면 증착하고, 식각공정을 통해 상기 금속배선보다 소정 길이만큼 더 길게 상기 하부전극 및 상기 유전체막을 형성하는 공정과, (c) 상기 유전체막의 상부에 상부전극용 도전물질을 전면 증착하고, 사진식각공정을 통해 소정 크기의 상부전극을 형성하는 공정을 포함함을 특징으로 한다. In addition, in the method of manufacturing an MMC capacitor according to an embodiment of the present invention, (a) forming an interlayer insulating film including a metal wiring, and sequentially depositing an etch stop film and a hard mask film on the upper portion, and then through an etching process Forming a region for forming a capacitor by exposing the metal wiring; and (b) sequentially depositing a conductive material for the lower electrode and a dielectric material for forming a dielectric film, and sequentially depositing a predetermined length than the metal wiring through an etching process. Forming the lower electrode and the dielectric film as long as possible, and (c) depositing a conductive material for the upper electrode on top of the dielectric film and forming an upper electrode of a predetermined size through a photolithography process. It is characterized by.

또한 본 발명의 다른 실시예에 따른 엠아이엠 캐패시터의 제조방법은, (a) 금속배선을 포함한 층간절연막을 형성하고, 그 상부에 식각정지막 및 하드마스크막을 순차적으로 증착한 후, 식각공정을 통해 상기 금속배선을 노출시켜 캐패시터를 형성할 영역을 형성하는 공정과, (b) 하부전극용 도전물질과 유전체막을 형성하기 위한 유전물질을 순차적으로 전면 증착하고, 식각공정을 통해 하부전극과 유전체막을 형성하고, 박막레지스터를 형성할 영역을 형성하는 공정과, (c) 상부전극용 도전물질을 전면 증착하고, 사진식각공정을 통해 소정 크기의 상부전극을 형성하여 상기 캐패시터 및 상기 박막 레지스터를 형성하는 공정을 포함함을 특징으로 한다.In addition, according to another embodiment of the present invention, a method of manufacturing an MCM capacitor may include: (a) forming an interlayer insulating film including a metal wiring, and sequentially depositing an etch stop film and a hard mask film thereon, and then performing an etching process. Forming a region for forming a capacitor by exposing the metal wiring; (b) sequentially depositing a conductive material for a lower electrode and a dielectric material for forming a dielectric film, and sequentially forming a lower electrode and a dielectric film through an etching process. And forming a region for forming the thin film register, (c) depositing a conductive material for the upper electrode on the entire surface, and forming an upper electrode of a predetermined size through a photolithography process to form the capacitor and the thin film resistor. Characterized in that it comprises a.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 상세히 설명하면 다음과 같다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 실시예에 따른 엠아이엠 캐패시터의 단면도이다.2 is a cross-sectional view of an MI capacitor according to an embodiment of the present invention.

본 발명의 실시예에 따른 엠아이엠 캐패시터는, 금속배선(100)을 포함한 층 간절연막(101)의 상부에 식각정지막(102) 및 하드마스크막(103)을 순차적으로 적층하되, 금속배선(100)의 상부에 하부전극(106)과 유전체막(107)을 순차적으로 적층하여 트랜치타입으로 형성한다. In the M capacitor according to the embodiment of the present invention, the etch stop film 102 and the hard mask film 103 are sequentially stacked on the interlayer insulating film 101 including the metal wiring 100, but the metal wiring ( The lower electrode 106 and the dielectric film 107 are sequentially stacked on the upper portion 100 to form a trench type.

이때, 금속배선(100)과 접촉되는 하부전극(106)은 금속배선(100)의 가로 길이보다 소정 길이만큼 더 길게 형성함으로써, 하부전극(106)이 금속배선(100)의 금속물질이 다른 영역으로 확산되는 것을 충분히 방지하는 기능을 수행하도록 한다.In this case, the lower electrode 106 in contact with the metal wiring 100 is formed to be longer than the horizontal length of the metal wiring 100 by a predetermined length, so that the lower electrode 106 is a region where the metal material of the metal wiring 100 is different from each other. It is to perform a function to sufficiently prevent the spread.

유전체막(107)의 상부에 소정 크기의 상부전극(114)과 캐핑레이어(115)가 순차적으로 증착되고, 상부전극(114)과 접속되는 복수개의 비아콘택플러그(118)가 형성되며, 비아콘택플러그(118)의 상부에 금속배선(121)이 형성된다. 트랜치내의 하부전극(114)에 접속되는 비아콘택플러그(117)는 상부의 금속배선(120)과 접속되도록 형성된다.The upper electrode 114 and the capping layer 115 having a predetermined size are sequentially deposited on the dielectric layer 107, and a plurality of via contact plugs 118 are formed to be connected to the upper electrode 114. The metal wiring 121 is formed on the plug 118. The via contact plug 117 connected to the lower electrode 114 in the trench is formed to be connected to the upper metal wiring 120.

한편, 트랜치 외의 영역의 식각정지막(102) 및 하드마스크(103)의 상부에 박막 레지스터(thin film resistor; TFR)을 형성하기 위한 소정 크기의 상부전극(112)과 캐핑레이어(113)를 순차적으로 증착하고, 상부전극(112)에 접속되는 복수개의 비아콘택플러그(119)가 형성되며, 복수개의 비아콘택플러그(119)에 각각 접속되는 금속배선(122, 123)이 형성된다.Meanwhile, the upper electrode 112 and the capping layer 113 having a predetermined size for forming a thin film resistor (TFR) on the etch stop layer 102 and the hard mask 103 in the region other than the trench are sequentially formed. Are deposited, and a plurality of via contact plugs 119 are formed to be connected to the upper electrode 112, and metal wirings 122 and 123 are formed to be connected to the plurality of via contact plugs 119, respectively.

이와같이, 본 발명의 실시예에 따른 엠아이엠 캐패시터는 하부전극을 금속배선 영역보다 길게 형성하여 하부전극이 금속확산 방지기능을 포함하도록 함으로써 별도의 금속확산 방지막을 형성할 필요가 없어 공정을 단순화할 수 있고 금속배선의 전자이동(electromigration;EM) 특성을 향상시킬 수 있다. As described above, the M capacitor according to the embodiment of the present invention can simplify the process by forming the lower electrode longer than the metal wiring region so that the lower electrode includes the metal diffusion prevention function, thereby forming a separate metal diffusion prevention film. In addition, the electromigration (EM) characteristics of the metal wiring can be improved.                     

또한, 엠아이엠 캐패시터와 박막 레지스터(thin film resistor)을 동시에 제조하도록 마스크를 형성함으로써 집적도를 향상시킬 수 있다.In addition, the degree of integration may be improved by forming a mask to simultaneously fabricate an M capacitor and a thin film resistor.

이하, 도 3a 내지 도 3g를 참조하여, 엠아이엠 캐패시터의 제조방법을 설명한다.Hereinafter, a method of manufacturing an MCM capacitor will be described with reference to FIGS. 3A to 3G.

먼저, 도 3a에 도시한 바와 같이, 통상의 다마신(damascene)공정을 통해 금속배선(100)을 포함한 층간절연막(101)을 형성하고, 그 상부에 식각정지막(102) 및 하드마스크막(103)을 순차적으로 증착한 후, 식각공정을 통해 금속배선(100)을 노출시킨다. 이때, 식각정지막 (102)은 실리콘 나이트라이드(Si3N4)를 이용하여 형성하고, 하드마스크막(103)은 실리콘옥사이드(SiO2)를 이용하여 형성한다.First, as shown in FIG. 3A, an interlayer insulating film 101 including a metal wiring 100 is formed through a conventional damascene process, and an etch stop film 102 and a hard mask film (top) are formed thereon. After the 103 is sequentially deposited, the metal wiring 100 is exposed through an etching process. In this case, the etch stop layer 102 is formed using silicon nitride (Si 3 N 4 ), and the hard mask layer 103 is formed using silicon oxide (SiO 2 ).

여기서, 다마신 공정은 사진 식각(photo-lithography)기술을 이용하여, 하부 절연막질을 배선 모양으로 일정 깊이 식각하여 홈을 형성하고, 상기 홈에 알루미늄(Al), 구리(Cu) 또는 텅스텐(W) 등의 도전 물질을 채워넣고, 필요한 배선 이외의 도전 물질은 에치백(Etchback)이나 화학적기계적연마(Chemical Mechanical Polishing;CMP) 등의 기술을 이용하여 제거함으로써 처음에 형성한 홈 모양으로 배선을 형성하는 기술이다.Here, the damascene process uses a photo-lithography technique to form a groove by etching the lower insulating film in a predetermined depth to form a wiring, and aluminum (Al), copper (Cu), or tungsten (W) in the groove. The conductive material, such as), is filled, and the conductive material other than the necessary wiring is removed by using techniques such as etching back or chemical mechanical polishing (CMP) to form the wiring in the shape of the groove formed at the beginning. It is a technique to do.

특히, 듀얼 다마신 공정은 크게 비아 퍼스트(Via first)법과 트렌치 퍼스트(Trench first)법과 자기정렬(Self Aligned)법으로 구분되는데, 비아 퍼스트법은 절연막(Dielectric layer)을 사진 및 식각하여 비아홀(via hole)을 먼저 형성한 후, 절연막을 다시 식각하여 비아홀 상부에 트렌치(Trench)를 형성하는 방법이다. 그 리고, 트렌치 퍼스트법은 반대로 트렌치를 먼저 형성한 후, 비아홀을 형성하는 방법이며, 자기정렬 듀얼다마신법은 트렌치 구조하부에 비아홀이 정렬되어 형성되면, 트렌치 식각시에 비아홀도 동시에 형성되는 방법이다.In particular, the dual damascene process is largely divided into a via first method, a trench first method, and a self aligned method. The via first method uses a photo-etched dielectric layer to etch vias. After the hole is formed first, the insulating layer is etched again to form a trench in the upper portion of the via hole. In contrast, the trench first method is a method of forming a trench after forming a trench first, and the self-aligning dual damascene method is a method in which via holes are simultaneously formed when the trench is etched when the via holes are aligned under the trench structure. .

도 3b에 도시한 바와 같이, 도 3a의 상부에 하부전극을 형성하기 위한 도전물질(104)과 유전물질(105)을 순차적으로 전면 증착한다. 이때, 하부전극을 형성하기 위한 도전물질(104)은 50~ 100Å의 두께 이상으로 증착되는 것이 바람직하며, 사진 식각 공정 시에 하부전극의 얼라인(align) 문제를 해결하기 위해 하부전극용 도전물질을 증착하기 전에 키(Key)공정을 수행하는 것이 바람직하다.As shown in FIG. 3B, the conductive material 104 and the dielectric material 105 for sequentially forming the lower electrode are sequentially deposited on the top of FIG. 3A. At this time, the conductive material 104 for forming the lower electrode is preferably deposited to a thickness of 50 ~ 100Å or more, the conductive material for the lower electrode in order to solve the alignment problem of the lower electrode during the photolithography process It is preferable to perform a key process before depositing.

도 3c에 도시한 바와 같이, 식각공정을 통해 도전물질(104)과 유전물질(105)의 일부를 제거하고 순차적으로 적층된 소정 크기의 하부전극(104) 및 유전체막(105)을 형성한다. 이때, 하부전극(104)은 금속배선(100)의 가로 길이영역보다 소정 길이만큼 길도록 형성함으로써 금속배선(100)으로부터 금속물질의 확산을 방지한다.As shown in FIG. 3C, a portion of the conductive material 104 and the dielectric material 105 is removed through the etching process, and the lower electrode 104 and the dielectric film 105 having a predetermined size are sequentially formed. In this case, the lower electrode 104 is formed to be longer than the horizontal length region of the metal wiring 100 to prevent diffusion of the metal material from the metal wiring 100.

도 3d에 도시한 바와 같이, 도 3c의 상부에 도 3e의 상부전극(114) 및 캐핑레이어(115)를 형성하기 위한 도전물질(108)과 캐핑레이어를 형성하는 물질(109)을 순차적으로 전면 증착한 후, 상부전극(114) 및 박막 레지스터를 패터닝하기 위한 포토 레지스트(photo resist: PR)(110, 111)를 형성한다. 이때, 엠아이엠 캐패시터를 위한 포토레지스트(110)는 트랜치내에 형성되고, 박막 레지스터를 위한 포토레지스트(111)는 하부전극(106) 및 유전체막(107)이 없는 영역상에 형성된다. 여기 서, 캐핑레이어(115)는 실리콘 나이트라이드(Si3N4)를 이용하여 형성된다.As shown in FIG. 3D, the conductive material 108 for forming the upper electrode 114 and the capping layer 115 of FIG. 3E and the material 109 for forming the capping layer are sequentially sequentially formed on the upper portion of FIG. 3C. After deposition, the photoresist (PR) 110, 111 for patterning the upper electrode 114 and the thin film resistor is formed. In this case, the photoresist 110 for the M capacitor is formed in the trench, and the photoresist 111 for the thin film resistor is formed on the region without the lower electrode 106 and the dielectric film 107. Here, the capping layer 115 is formed using silicon nitride (Si 3 N 4 ).

도 3e에 도시한 바와 같이, 도 3d의 포토레지스트(110, 111)에 따라 소정 크기로 패터닝된 상부전극(114) 및 캐핑레이어(115)를 순차적으로 적층한 엠아이엠 캐패시터(MIM)와 박막 레지스터(TFR)을 형성한다. 이때, 상부전극(114) 및 하부전극(106)은 화학기상증착(chemical vapor deposition;CVD), 물리기상증착(physical vapor deposition;PVD)방식 또는 원자층증착(atomic layer deposition;ALD)을 이용하여 증착된다.As shown in FIG. 3E, an M capacitor and a thin film resistor in which the upper electrode 114 and the capping layer 115 patterned in a predetermined size are sequentially stacked according to the photoresist 110 and 111 of FIG. 3D. (TFR). In this case, the upper electrode 114 and the lower electrode 106 may be formed using chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer deposition (ALD). Is deposited.

여기서, 상부전극(114, 112) 및 하부전극(106)은 알루미늄(Al), 텅스텐(W), 구리(Cu), 탄탈륨 나이트라이드(TaN), 탄탈륨(Ta), 및 티타늄 나이트라이드(TiN) 등의 물질을 이용하여 형성되고, 유전체막(107) 및 캐핑레이어(113, 115)는 실리콘 나이트라이드(SiN), 실리콘산화 나이트라이드(SiON), 실리콘 탄소(SiC), 실리콘 나이트라이드 탄소(SiNC), 및 고유전물질 들을 사용하여 형성한다.Here, the upper electrodes 114 and 112 and the lower electrode 106 are aluminum (Al), tungsten (W), copper (Cu), tantalum nitride (TaN), tantalum (Ta), and titanium nitride (TiN). The dielectric film 107 and the capping layers 113 and 115 are formed using a material such as silicon nitride (SiN), silicon oxide nitride (SiON), silicon carbon (SiC), and silicon nitride carbon (SiNC). ) And high dielectric materials.

도 3f에 도시한 바와 같이, 도 3e의 상부에 층간절연막(116)을 전면 증착하고, 복수개의 비아콘택플러그(117~ 119)를 형성하기 위한 비아홀(미도시)을 형성한 후, 금속막(미도시)을 증착한 후, 전기도금법(electroplating)을 사용하여 금속물질로 비아홀(미도시)에 매립하고 평탄화식각공정(Chemical Mechanical Polishing;CMP)을 행함으로써, 엠아이엠 캐패시터(MIM)의 상부전극(114)에 접속되는 복수개의 비아콘택플러그(118)를 형성하고, 트랜치 내의 하부전극(106)과 접속되는 비아콘택플러그(117)를 형성하며, 박막 레지스터(TFR)의 상부전극(112)과 접 속되는 복수개의 비아콘택플러그(119)를 형성한다. 여기서, 전기도금법은 전기적으로 기판 표면을 다른 금속으로 피복해서 표면의 광택을 증가시킬 뿐만아니라, 표면경도를 높이고 내식성을 증가시키는 표면처리법이다.As shown in FIG. 3F, an interlayer insulating film 116 is deposited on the entire surface of FIG. 3E and a via hole (not shown) for forming a plurality of via contact plugs 117 to 119 is formed. After depositing, the upper electrode of the MM capacitor (MIM) by embedding in a via hole (not shown) with a metal material using electroplating and performing a chemical mechanical polishing (CMP) A plurality of via contact plugs 118 connected to the 114, a via contact plug 117 connected to the lower electrode 106 in the trench, and an upper electrode 112 of the thin film resistor TFR; A plurality of via contact plugs 119 are formed. Here, the electroplating method is a surface treatment method that not only increases the glossiness of the surface by electrically coating the substrate surface with another metal, but also increases the surface hardness and the corrosion resistance.

도 3g에 도시한 바와 같이, 도 3f의 상부에 비아콘택플러그(117)에 접속되는 금속배선(120), 복수개의 비아콘택플러그(118)와 접속되는 금속배선(121), 복수개의 비아콘택플러그(119)에 각각 접속되는 금속배선(122, 123)을 포함한 층간절연막(124)을 형성한다.As shown in FIG. 3G, the metal wiring 120 connected to the via contact plug 117, the metal wiring 121 connected to the plurality of via contact plugs 118, and the plurality of via contact plugs are disposed on the upper portion of FIG. 3F. An interlayer insulating film 124 including metal wirings 122 and 123 respectively connected to 119 is formed.

여기서, 금속배선(100, 120~ 123) 및 비아콘택플러그(117~ 119)는 구리(Cu), 알루미늄(Al) 등의 금속물질을 이용하여 형성한다.Here, the metal wires 100 and 120 to 123 and the via contact plugs 117 to 119 are formed using metal materials such as copper (Cu) and aluminum (Al).

이상에서 살펴본 바와 같이, 본 발명은 하부전극을 금속배선 영역보다 길게 형성하여 하부전극이 금속확산 방지기능을 수행하도록 함으로써 별도의 금속확산 방지막을 형성할 필요가 없어 공정을 단순화하고 금속배선의 전자이동(electromigration;EM) 특성을 향상시키는 효과가 있다.As described above, the present invention does not need to form a separate metal diffusion barrier by forming the lower electrode longer than the metal wiring region so that the lower electrode performs the metal diffusion prevention function, thereby simplifying the process and moving the electrons of the metal wiring. It is effective in improving (electromigration; EM) characteristics.

또한, 엠아이엠 캐패시터 제조시 박막레지스터(thin film resistor)를 함께 형성함으로써 소자의 집적도를 향상시키는 효과가 있다.In addition, by forming a thin film resistor (thin film resistor) together in the manufacture of the M capacitor has the effect of improving the integration of the device.

아울러 본 발명의 바람직한 실시예는 예시의 목적을 위한 것으로, 당업자라면 첨부된 특허청구범위의 기술적 사상과 범위를 통해 다양한 수정, 변경, 대체 및 부가가 가능할 것이며, 이러한 수정 변경 등은 이하의 특허청구범위에 속하는 것으로 보아야 할 것이다.It will be apparent to those skilled in the art that various modifications, additions, and substitutions are possible, and that various modifications, additions and substitutions are possible, within the spirit and scope of the appended claims. As shown in Fig.

Claims (15)

금속물질로 형성된 금속배선;A metal wire formed of a metal material; 상기 금속배선과 접속되도록 하부전극, 유전체막, 상부전극을 순차적으로 적층하여 형성하되, 상기 하부전극 및 상기 유전체막의 범위를 상기 금속배선보다 소정 범위이상 넓게 형성한 캐패시터; 및A capacitor formed by sequentially stacking a lower electrode, a dielectric film, and an upper electrode so as to be connected to the metal wiring, and having a range of the lower electrode and the dielectric film wider than the metal wiring by a predetermined range or more; And 상기 캐패시터를 제 2 금속배선과 연결하기 위한 복수개의 비아콘택플러그;를 포함하며,And a plurality of via contact plugs for connecting the capacitors to the second metal wires. 상기 상부전극은 상기 유전체막의 일 영역에 배치되며,The upper electrode is disposed in one region of the dielectric film, 상기 복수개의 비아콘택플러그 중 적어도 하나는 상기 하부전극에 접속되며, 적어도 다른 하나는 상기 상부전극에 접속되는 엠아이엠 캐패시터.At least one of the plurality of via contact plugs is connected to the lower electrode, and at least the other is connected to the upper electrode. 제 1항에 있어서, The method of claim 1, 상기 캐패시터와 병렬구조로 형성된 박막 레지스터를 더 포함하여 구성함을 특징으로 하는 엠아이엠 캐패시터.M capacitor characterized in that it further comprises a thin film resistor formed in parallel with the capacitor. 제 1항에 있어서, 상기 상부전극 및 상기 하부전극은 알루미늄(Al), 텅스텐(W), 구리(Cu), 탄탈륨 나이트라이드(TaN), 탄탈륨(Ta), 및 티타늄 나이트라이드(TiN) 중에서 어느 하나의 물질로 구성됨을 특징으로 하는 엠아이엠 캐패시터.The method of claim 1, wherein the upper electrode and the lower electrode is any one of aluminum (Al), tungsten (W), copper (Cu), tantalum nitride (TaN), tantalum (Ta), and titanium nitride (TiN). MM capacitor, characterized in that composed of one material. 제 1항에 있어서, 상기 유전체막은 실리콘 나이트라이드(SiN), 실리콘산화 나이트라이드(SiON), 실리콘 탄소(SiC), 실리콘 나이트라이드 탄소(SiNC), 및 고유전물질 중에서 어느 하나의 물질로 구성됨을 특징으로 하는 엠아이엠 캐패시터.The method of claim 1, wherein the dielectric film is made of any one of silicon nitride (SiN), silicon oxide nitride (SiON), silicon carbon (SiC), silicon nitride carbon (SiNC), and a high dielectric material. IMM capacitor characterized by the above. (a) 금속배선을 포함한 층간절연막을 형성하고, 그 상부에 식각정지막 및 하드마스크막을 순차적으로 증착한 후, 식각공정을 통해 상기 금속배선을 노출시켜 캐패시터를 형성할 영역을 형성하는 공정; (a) forming an interlayer insulating film including a metal wiring, sequentially depositing an etch stop film and a hard mask film thereon, and then forming an area to form a capacitor by exposing the metal wiring through an etching process; (b) 하부전극용 도전물질과 유전체막을 형성하기 위한 유전물질을 순차적으로 전면 증착하고, 식각공정을 통해 상기 금속배선보다 소정 길이만큼 더 길게 상기 하부전극 및 상기 유전체막을 형성하는 공정; (b) sequentially depositing the conductive material for the lower electrode and the dielectric material for forming the dielectric film and sequentially forming the lower electrode and the dielectric film by a predetermined length longer than the metal wiring through an etching process; (c) 상기 유전체막의 상부에 상부전극용 도전물질을 전면 증착하고, 사진식각공정을 통해 소정 크기의 상부전극을 형성하는 공정;(c) depositing a conductive material for the upper electrode on top of the dielectric film and forming an upper electrode of a predetermined size through a photolithography process; (d) 적어도 하나는 상부전극에 접속하고, 적어도 다른 하나는 상기 하부전극에 접속되는 복수개의 비아콘택플러그를 형성하는 공정; 및(d) forming a plurality of via contact plugs at least one of which is connected to the upper electrode and at least one of which is connected to the lower electrode; And (e) 상기 복수개의 비아콘택플러그에 접속되는 복수개의 상부 금속배선을 형성하는 공정;를 포함하며,and (e) forming a plurality of upper metal wires connected to the plurality of via contact plugs. 상기 상부전극은 상기 유전체막의 일 영역에 배치되는 엠아이엠 캐패시터 제조방법.The upper electrode is an M capacitor manufacturing method disposed in one region of the dielectric film. 삭제delete 제 5항에 있어서, 상기 (b)공정의 상기 하부전극용 도전물질의 증착시에 상기 하부전극용 도전물질과 상기 금속배선의 얼라인(align)을 위해 키(key)공정을 수행함을 특징으로 하는 엠아이엠 캐패시터의 제조방법.6. The method of claim 5, wherein a key process is performed to align the lower electrode conductive material and the metal wiring during deposition of the lower electrode conductive material in the step (b). Method of manufacturing the MCM capacitor. 제 5항에 있어서, 상기 상부전극용 도전물질 및 상기 하부전극용 도전물질은 알루미늄(Al), 텅스텐(W), 구리(Cu), 탄탈륨 나이트라이드(TaN), 탄탈륨(Ta), 또는 티타늄 나이트라이드(TiN)중에서 어느 하나의 물질로 형성됨을 특징으로 하는 엠아이엠 캐패시터의 제조방법.The method of claim 5, wherein the conductive material for the upper electrode and the conductive material for the lower electrode is aluminum (Al), tungsten (W), copper (Cu), tantalum nitride (TaN), tantalum (Ta), or titanium nit Method for manufacturing an M capacitor, characterized in that formed of any one of the ride (TiN). 제 5항에 있어서, 상기 식각정지막 및 상기 유전체막은 실리콘 나이트라이드(SiN), 실리콘산화 나이트라이드(SiON), 실리콘 탄소(SiC), 실리콘 나이트라이드 탄소(SiNC), 및 고유전물질 중에서 어느 하나의 물질로 형성됨을 특징으로 하는 엠아이엠 캐패시터의 제조방법.The method of claim 5, wherein the etch stop layer and the dielectric layer are any one of silicon nitride (SiN), silicon oxide nitride (SiON), silicon carbon (SiC), silicon nitride carbon (SiNC), and a high dielectric material. Method of manufacturing an IC capacitor, characterized in that formed of the material. (a) 금속배선을 포함한 층간절연막을 형성하고, 그 상부에 식각정지막 및 하드마스크막을 순차적으로 증착한 후, 식각공정을 통해 상기 금속배선을 노출시켜 캐패시터를 형성할 영역을 형성하는 공정; (a) forming an interlayer insulating film including a metal wiring, sequentially depositing an etch stop film and a hard mask film thereon, and then forming an area to form a capacitor by exposing the metal wiring through an etching process; (b) 하부전극용 도전물질과 유전체막을 형성하기 위한 유전물질을 순차적으로 전면 증착하고, 식각공정을 통해 상기 금속배선보다 소정 길이만큼 더 길게 상기 하부전극과 유전체막을 형성하고, 박막레지스터를 형성할 영역을 형성하는 공정;(b) sequentially depositing the conductive material for the lower electrode and the dielectric material for forming the dielectric film, sequentially forming the lower electrode and the dielectric film by a predetermined length longer than the metal wiring through an etching process, and forming a thin film resistor. Forming a region; (c) 상부전극용 도전물질을 전면 증착하고, 사진식각공정을 통해 소정 크기의 상부전극을 형성하여 상기 캐패시터 및 상기 박막 레지스터를 형성하는 공정;(c) depositing the conductive material for the upper electrode on the entire surface and forming an upper electrode of a predetermined size through a photolithography process to form the capacitor and the thin film resistor; (d) 상기 캐패시터 및 상기 박막 레지스터의 상부전극에 접속하는 복수개의 비아콘택플러그를 형성하는 공정; 및(d) forming a plurality of via contact plugs connected to the capacitor and the upper electrode of the thin film resistor; And (e) 상기 복수개의 비아콘택플러그에 접속되는 복수개의 상부 금속배선을 형성하는 공정;을 포함하며,and (e) forming a plurality of upper metal wires connected to the plurality of via contact plugs. 상기 상부전극은 상기 유전체막의 일 영역에 배치되며,The upper electrode is disposed in one region of the dielectric film, 상기 캐패시터에 접속하는 복수개의 비아콘택플러그 중 적어도 하나는 상기 하부전극에 접속되며, 적어도 다른 하나는 상기 상부전극에 접속되는 엠아이엠 캐패시터의 제조방법.At least one of the plurality of via contact plugs connected to the capacitor is connected to the lower electrode, and at least the other is connected to the upper electrode. 삭제delete 삭제delete 제 10항에 있어서, 상기 (b)공정의 상기 하부전극용 도전물질의 증착시에 상기 하부전극용 도전물질과 상기 금속배선의 얼라인(align)을 위해 키(key)공정을 수행함을 특징으로 하는 엠아이엠 캐패시터의 제조방법.The method of claim 10, wherein a key process is performed to align the lower electrode conductive material and the metal wiring at the time of depositing the lower electrode conductive material in the step (b). Method of manufacturing the MCM capacitor. 제 10항에 있어서, 상기 상부전극용 도전물질 및 상기 하부전극용 도전물질은 알루미늄(Al), 텅스텐(W), 구리(Cu), 텅스텐실리콘나이트라이드(WSiNx), 니켈크롬(NiCr), 페로실리콘(FeSi), 탄탈륨 나이트라이드(TaNx), 탄탈륨(Ta), 또는 티타 늄 나이트라이드(TiNx) 계열 중에서 어느 하나의 물질로 형성됨을 특징으로 하는 엠아이엠 캐패시터의 제조방법.The method of claim 10, wherein the conductive material for the upper electrode and the conductive material for the lower electrode is aluminum (Al), tungsten (W), copper (Cu), tungsten silicon nitride (WSiNx), nickel chromium (NiCr), ferro Method for manufacturing an M capacitor, characterized in that formed of any one of silicon (FeSi), tantalum nitride (TaNx), tantalum (Ta), or titanium nitride (TiNx) series. 제 10항에 있어서, 상기 식각정지막 및 상기 유전체막은 실리콘 나이트라이드(SiN), 실리콘산화 나이트라이드(SiON), 실리콘 탄소(SiC), 실리콘 나이트라이드 탄소(SiNC), 및 고유전물질 중에서 어느 하나의 물질로 형성됨을 특징으로 하는 엠아이엠 캐패시터의 제조방법.The method of claim 10, wherein the etch stop layer and the dielectric layer are any one of silicon nitride (SiN), silicon oxide nitride (SiON), silicon carbon (SiC), silicon nitride carbon (SiNC), and a high dielectric material. Method of manufacturing an IC capacitor, characterized in that formed of the material.
KR1020040101499A 2004-12-04 2004-12-04 MIM capacitor and fabricating method thereof KR101100762B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040101499A KR101100762B1 (en) 2004-12-04 2004-12-04 MIM capacitor and fabricating method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040101499A KR101100762B1 (en) 2004-12-04 2004-12-04 MIM capacitor and fabricating method thereof

Publications (2)

Publication Number Publication Date
KR20060062603A KR20060062603A (en) 2006-06-12
KR101100762B1 true KR101100762B1 (en) 2012-01-02

Family

ID=37158735

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040101499A KR101100762B1 (en) 2004-12-04 2004-12-04 MIM capacitor and fabricating method thereof

Country Status (1)

Country Link
KR (1) KR101100762B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094624B2 (en) 2019-04-23 2021-08-17 Samsung Electronics Co., Ltd. Semiconductor device having capacitor

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101024722B1 (en) * 2008-09-30 2011-03-24 주식회사 동부하이텍 Image sensor and manufacturing method of image sensor

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040008432A (en) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 Method for forming the capacitor of Metal-Insulator-Metal structure
KR20040038156A (en) * 2002-10-31 2004-05-08 주식회사 하이닉스반도체 Method for forming metal-insulator-metal capacitor of semiconductor device
KR20040074769A (en) * 2003-02-18 2004-08-26 삼성전자주식회사 Method for manufacturing Metal-Insulator-Metal capacitor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040008432A (en) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 Method for forming the capacitor of Metal-Insulator-Metal structure
KR20040038156A (en) * 2002-10-31 2004-05-08 주식회사 하이닉스반도체 Method for forming metal-insulator-metal capacitor of semiconductor device
KR20040074769A (en) * 2003-02-18 2004-08-26 삼성전자주식회사 Method for manufacturing Metal-Insulator-Metal capacitor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094624B2 (en) 2019-04-23 2021-08-17 Samsung Electronics Co., Ltd. Semiconductor device having capacitor

Also Published As

Publication number Publication date
KR20060062603A (en) 2006-06-12

Similar Documents

Publication Publication Date Title
CN108336022B (en) Interconnection structure of semiconductor device
US6259128B1 (en) Metal-insulator-metal capacitor for copper damascene process and method of forming the same
US6593185B1 (en) Method of forming embedded capacitor structure applied to logic integrated circuit
US8994146B2 (en) Metal-insulator-metal (MIM) capacitor within topmost thick inter-metal dielectric layers
KR100773256B1 (en) Stacked structure for parallel capacitors and method of fabrication
US8445355B2 (en) Metal-insulator-metal capacitors with high capacitance density
US20060197183A1 (en) Improved mim capacitor structure and process
US20070155147A1 (en) Semiconductor device and method for fabricating the same
KR102192013B1 (en) Device including mim capacitor and resistor
KR20010076367A (en) A capacitor for integration with copper damascene processes and a method of manufacture therefore
KR100806034B1 (en) Semiconductor device having metal-insulator-metal capacitor and fabrication method for the same
KR100835409B1 (en) Method for manufacturing damascene mim type capacitor of semiconductor device
TW201316456A (en) Methods of forming semiconductor devices having capacitor and via contacts
US10083958B2 (en) Deep trench metal-insulator-metal capacitors
US6825080B1 (en) Method for forming a MIM capacitor
US7169680B2 (en) Method for fabricating a metal-insulator-metal capacitor
KR101100765B1 (en) MIM capacitor and fabricating method thereof
KR101100762B1 (en) MIM capacitor and fabricating method thereof
US6358792B1 (en) Method for fabricating metal capacitor
US20070075348A1 (en) High density, high Q capacitor on top of a protective layer
KR101100764B1 (en) MIM capacitor fabricating method
KR100968646B1 (en) Method for manufacturing passive device of semiconductor device
KR101044612B1 (en) Method of manufacturing a semiconductor device
KR100695993B1 (en) MIM capacitor of pile up structure and fabricating method thereof
KR100667914B1 (en) MIM capacitor having horizontal structure and fabricating method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20191119

Year of fee payment: 9