KR101094996B1 - Fabricating of phase change random access memory and fabricating thereof - Google Patents

Fabricating of phase change random access memory and fabricating thereof Download PDF

Info

Publication number
KR101094996B1
KR101094996B1 KR1020100065655A KR20100065655A KR101094996B1 KR 101094996 B1 KR101094996 B1 KR 101094996B1 KR 1020100065655 A KR1020100065655 A KR 1020100065655A KR 20100065655 A KR20100065655 A KR 20100065655A KR 101094996 B1 KR101094996 B1 KR 101094996B1
Authority
KR
South Korea
Prior art keywords
phase change
diffusion barrier
upper electrode
change material
titanium
Prior art date
Application number
KR1020100065655A
Other languages
Korean (ko)
Inventor
이기정
김진혁
이근
권영석
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020100065655A priority Critical patent/KR101094996B1/en
Application granted granted Critical
Publication of KR101094996B1 publication Critical patent/KR101094996B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/066Patterning of the switching material by filling of openings, e.g. damascene method
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/068Patterning of the switching material by processes specially adapted for achieving sub-lithographic dimensions, e.g. using spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Abstract

PURPOSE: A phase change memory device and a manufacturing method thereof are provided to form a diffusion preventing film between a phase change material film and an upper electrode, thereby improving the electrical features between the phase change film material film and the upper electrode. CONSTITUTION: A high concentration n-type impurity area(110) is formed in a cell area of a semiconductor substrate(100). A lower electrode(130) is formed on the high concentration n-type impurity area. A hole of an interlayer insulating film(120) with the lower electrode is buried in a phase change material film(150). A diffusion preventing film(162) is formed on the phase change material film. An upper electrode(164) is formed on the frontal surface of the subtracted with the diffusion preventing film.

Description

상변화 메모리 소자 및 그 제조 방법{Fabricating Of Phase Change Random Access Memory And Fabricating Thereof}Phase change memory device and fabrication method thereof {Fabricating Of Phase Change Random Access Memory And Fabricating Thereof}

본 발명은 비휘발성 메모리 소자 및 그 제조 방법에 관한 것으로서, 보다 구체적으로 상부 전극을 포함하는 상변화 메모리 소자 및 그 제조 방법에 관한 것이다.The present invention relates to a nonvolatile memory device and a method of manufacturing the same, and more particularly, to a phase change memory device including an upper electrode and a method of manufacturing the same.

상변화 메모리(Phase-Change Random Access Memory; PCRAM) 소자는 히터로 작용하는 하부 전극을 통해 상변화 물질에 줄 열(Jule heating)을 가하여 상변화 물질의 상변화를 일으킨다. 그리고, 상변화 물질의 결정질 상태와 비정질 상태 간의 전기 저항 차이를 이용하여 데이터를 기록/소거한다.A phase-change random access memory (PCRAM) device generates a phase change of a phase change material by applying Joule heating to the phase change material through a lower electrode serving as a heater. Data is recorded / erased using the difference in electrical resistance between the crystalline state and the amorphous state of the phase change material.

이러한, 상변화 메모리 소자는 하부 전극과 상부 전극을 전기적으로 연결함과 동시에 소자 내부로 전류를 공급하거나 전기적인 신호를 보내기 위한 통로인 상변화 물질막을 채우기 위한 컨택홀을 갖추고 있다.The phase change memory device has a contact hole for electrically connecting the lower electrode and the upper electrode and filling a phase change material film, which is a passage for supplying current or transmitting an electrical signal into the device.

상기 상변화 메모리 소자는 상기 컨택홀에 매립된 상변화 물질막 상에 상부 전극을 형성한다. 이때, 상변화 물질막을 형성하는 성분 중 하나인 텔루륨(Te) 성분이 상부 전극쪽으로 이동하게 되는데, 그로 인해 상변화 물질막에는 텔루륨(Te) 성분이 빠진 자리에 심(seem)이 형성된다. 또한, 상변화 물질막과 상부 전극 사이에는 갭(gap)이 존재하여 접촉 저항을 증대시키는 문제를 야기시킨다.The phase change memory device forms an upper electrode on a phase change material layer embedded in the contact hole. At this time, the tellurium (Te) component, which is one of the components forming the phase change material film, is moved toward the upper electrode. As a result, a seam is formed at the position where the tellurium (Te) component is missing. . In addition, a gap exists between the phase change material film and the upper electrode, causing a problem of increasing contact resistance.

더하여, 상변화 물질막의 텔루륨(Te) 성분이 상부 전극으로 이동함에 따라 상변화 물질막의 구성비가 정상적인 구성비를 유지하지 못하게 되어, 소자의 동작 불량을 유발하는 문제를 야기시킨다.In addition, as the tellurium (Te) component of the phase change material film moves to the upper electrode, the composition ratio of the phase change material film does not maintain a normal composition ratio, which causes a problem that causes device malfunction.

본 발명은 상술한 문제점을 해결하기 위하여 안출된 것으로, 상변화 물질막과 상부 전극 간의 전기적 특성을 개선하기 위한 것이다.SUMMARY OF THE INVENTION The present invention has been made to solve the above-described problem, and is intended to improve electrical characteristics between the phase change material film and the upper electrode.

본 발명의 실시 예에 따른 상변화 메모리 소자의 제조 방법은, 반도체 기판 상부에 하부 전극을 형성하는 단계; 상기 하부 전극이 형성된 층간 절연막의 홀을 매립시키는 상변화 물질막을 형성하는 단계; 상기 상변화 물질막 상부에 두께가 증대될수록 티타늄 농도가 증대되는 확산 방지막을 형성하는 단계; 및 상기 확산 방지막이 형성된 기판 전면에 상부 전극을 형성하는 단계를 포함한다.A method of manufacturing a phase change memory device according to an embodiment of the present invention includes forming a lower electrode on an upper portion of a semiconductor substrate; Forming a phase change material film filling a hole in the interlayer insulating film on which the lower electrode is formed; Forming a diffusion barrier layer on the phase change material layer, the titanium concentration of which increases as the thickness thereof increases; And forming an upper electrode on an entire surface of the substrate on which the diffusion barrier is formed.

본 발명의 다른 실시 예에 따른 상변화 메모리 소자는, 반도체 기판 상부에 형성되는 하부 전극; 상기 하부 전극 상부에 형성되는 상변화 물질막; 상기 상변화 물질막 상에 형성된 두께가 증대될수록 티타늄 농도가 증대되는 확산 방지막; 및 상기 확산 방지막 상부에 형성된 상부 전극을 포함한다.A phase change memory device according to another exemplary embodiment of the present disclosure may include a lower electrode formed on a semiconductor substrate; A phase change material film formed on the lower electrode; A diffusion barrier layer in which titanium concentration increases as the thickness formed on the phase change material layer increases; And an upper electrode formed on the diffusion barrier.

본 발명에 따른 상변화 메모리 소자의 제조 방법은, 상변화 물질막과 상부 전극 사이에 확산 방지막을 형성하여 상변화막 물질막과 상부전극 간의 전기적 특성을 개선시키는데 효과가 있다.The manufacturing method of the phase change memory device according to the present invention is effective in improving the electrical characteristics between the phase change material film and the upper electrode by forming a diffusion barrier between the phase change material film and the upper electrode.

도1 내지 도6은 본 발명의 일실실 예에 따른 상변화 메모리 소자의 제조 방법을 순차적으로 나타내는 단면도들, 및
도7 및 도8은 본 발명의 다른 실시예에 따른 상변화 메모리 소자의 제조 방법을 나타내는 단면도들이다.
1 to 6 are cross-sectional views sequentially illustrating a method of manufacturing a phase change memory device according to an embodiment of the present invention; and
7 and 8 are cross-sectional views illustrating a method of manufacturing a phase change memory device according to another exemplary embodiment of the present invention.

도1 내지 도6은 본 발명의 일실시예에 따른 상변화 메모리 소자의 제조 방법을 순차적으로 나타내는 단면도들이다.1 to 6 are cross-sectional views sequentially illustrating a method of manufacturing a phase change memory device according to an embodiment of the present invention.

도1을 참조하면, 고농도 n형 불순물 영역(110)이 형성된 반도체 기판(100)상에 스위칭 소자인 다이오드 패턴(135)을 형성한다.Referring to FIG. 1, a diode pattern 135 as a switching element is formed on a semiconductor substrate 100 on which a high concentration n-type impurity region 110 is formed.

보다 자세히 설명하면, 반도체 기판(100)의 셀 영역에 고농도 n형 불순물 영역(110)을 형성한다. 고농도 n형 불순물 영역(110)은 고농도를 갖는 n형 불순물 이온을 주입한 후, 열처리 공정에 의해 형성할 수 있다. In more detail, the high concentration n-type impurity region 110 is formed in the cell region of the semiconductor substrate 100. The high concentration n-type impurity region 110 may be formed by implanting n-type impurity ions having a high concentration, followed by a heat treatment process.

이러한, 셀 영역의 고농도 n형 불순물 영역(110)은 워드 라인 영역으로 주변 영역에 형성되는 접합 영역(junction area; 미도시)과 동시에 형성할 수 있다. 고농도 n형 불순물 영역(110)이 형성된 반도체 기판(100) 상부에 제1 층간 절연막(120)을 형성한다.The high concentration n-type impurity region 110 of the cell region may be formed at the same time as a junction region (not shown) formed in the peripheral region as a word line region. The first interlayer insulating layer 120 is formed on the semiconductor substrate 100 on which the high concentration n-type impurity region 110 is formed.

제1 층간 절연막(120)은 치밀한 막질 특성을 가지면서, 층간 평탄화 특성을 포함하는 HDP(High density plasma)막일 수 있다. 고농도 n형 불순물 영역(110)의 소정 부분이 노출되도록 제1 층간 절연막(120)을 식각하여, 홀(122)을 형성한다. The first interlayer insulating layer 120 may be a high density plasma (HDP) film having dense film quality characteristics and including interlayer planarization characteristics. The hole 122 is formed by etching the first interlayer insulating layer 120 to expose a predetermined portion of the high concentration n-type impurity region 110.

이어서, 홀(122) 내에 n형의 SEG(selective epitaxial growth)층 (132) 및 p형의 SEG층(134)으로 구비된 다이오드 패턴(135)을 형성한다. 이 때, 다이오드 패턴(135)은 홀(122) 내부에 형성되도록 한 후, CMP(Chemical Mechanical Polishing) 공정 및/또는 블랭킷 식각(blanket etching)을 수행한다.Subsequently, the diode pattern 135 including the n-type selective epitaxial growth (SEG) layer 132 and the p-type SEG layer 134 is formed in the hole 122. In this case, the diode pattern 135 is formed in the hole 122 and then performs a chemical mechanical polishing (CMP) process and / or blanket etching.

이어서, 도2를 참조하면, 다이오드 패턴(135) 상부에 하부 전극용 물질막(미도시)을 도포하여 하부 전극(130)을 형성한다. Next, referring to FIG. 2, a lower electrode material layer (not shown) is coated on the diode pattern 135 to form the lower electrode 130.

본 실시예에서, 하부 전극용 물질막은 상변화 물질에 줄 열(Jule heating)을 가하여 상변화 물질의 상변화를 일으키도록 제공되는 것으로, 예를 들어, 티타늄막(Ti) 또는 티타늄 질화막(TiN)일 수 있다. 이러한, 티타늄막(Ti) 또는 티타늄 질화막(TiN)은 다른 내화성 금속막에 비해 자연 산화가 잘 이루어지고 표면 응집(agglomeration) 특성을 가지고 있어, 큰 비저항을 제공한다. In the present embodiment, the material layer for the lower electrode is provided to cause phase change of the phase change material by applying Joule heating to the phase change material. For example, a titanium film (Ti) or a titanium nitride film (TiN) Can be. Such a titanium film (Ti) or titanium nitride film (TiN) has a natural oxidation and surface agglomeration characteristics better than other refractory metal film, and provides a large resistivity.

도3을 참조하면, 상기 홀(122) 측벽에 스페이서(140)를 형성한다.Referring to FIG. 3, spacers 140 are formed on sidewalls of the hole 122.

먼저, 하부 전극(130)이 노출된 기판(100) 전면에 스페이서 절연막(미도시)을 형성한 다음, 식각 및 에치백 공정을 통해 스페이서(140)을 형성하는데, 스페이서(140) 바닥부는 하부전극(140)의 일부와 중첩되도록 형성한다. 본 발명에서의 스페이서(140)는 홀(122)의 크기를 최소화시키기 위한 것으로, 일예로, 질화막 또는 산화막 중 적어도 어느 하나의 막으로 형성한다. First, a spacer insulating film (not shown) is formed on the entire surface of the substrate 100 on which the lower electrode 130 is exposed, and then a spacer 140 is formed through etching and etch back processes, and the bottom of the spacer 140 has a lower electrode. It is formed so as to overlap a part of the 140. The spacer 140 in the present invention is for minimizing the size of the hole 122. For example, the spacer 140 is formed of at least one of a nitride film and an oxide film.

계속해서, 도4를 참조하면, 하부전극(130) 및 스페이서(140)로 둘러쌓인 영역에 컨택홀(155)에 내부에 상변화 물질막(150)을 매립한다. 이러한, 상변화 물질막(150)은 스페이서(140)에 의해 하부 전극(130)과의 접촉 면적을 줄일 수 있다.4, a phase change material film 150 is embedded in the contact hole 155 in a region surrounded by the lower electrode 130 and the spacer 140. The phase change material layer 150 may reduce the contact area with the lower electrode 130 by the spacer 140.

도5를 참조하면, 상기의 결과물에 상변화 물질막(150) 상에 두께가 증대될수록 티타늄(Ti) 농도가 증대되는 확산 방지막(162)을 형성한다. 실질적으로, 확산 방지막(162)의 티타늄(Ti) 농도가 상부 전극의 티타늄(Ti) 농도보다 상대적으로 낮다.Referring to FIG. 5, a diffusion barrier layer 162 is formed in the resultant material in which a titanium (Ti) concentration increases as the thickness increases on the phase change material layer 150. Substantially, the titanium (Ti) concentration of the diffusion barrier layer 162 is relatively lower than the titanium (Ti) concentration of the upper electrode.

보다 구체적으로, 상변화 물질막(150)이 형성된 기판 전면 상에 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition) 및 ALD(Atomic Layer Deposition) 중 어느 하나의 증착 방식을 이용하여 확산 방지 물질막(미도시)을 증착시킨 뒤, 소정의 두께가 되도록 CMP(Chemical Mechanical Polishing) 공정 및/또는 블랭킷 식각(blanket etching)을 수행하여 확산 방지막(162)을 형성한다. More specifically, the diffusion barrier material layer may be formed by depositing any one of physical vapor deposition (PVD), chemical vapor deposition (CVD), and atomic layer deposition (ALD) on the entire surface of the substrate on which the phase change material layer 150 is formed. After deposition (not shown), the diffusion barrier layer 162 is formed by performing a chemical mechanical polishing (CMP) process and / or blanket etching to have a predetermined thickness.

이때, 확산 방지막(162)의 두께(h1)는 일예로, 추후에 형성될 상부 전극(도6 참조, 180)의 두께(h2)보다 작게 형성될 수 있다. 그러한, 이유는 확산 방지막(162)은 상변화 물질막(150)의 구성 성분 중인 하나인 텔루륨(Te) 성분이 상부전극(164)으로 이동하는 것을 방지하기 위한 것으로, 텔루륨(Te) 성분이 상부전극(164)쪽으로 이동하지 않을 정도의 두께이면 충분하기 때문에 상부전극(164)의 두께보다 작게 형성되는 것이 바람직하다.In this case, the thickness h1 of the diffusion barrier 162 may be smaller than the thickness h2 of the upper electrode 180 (see FIG. 6) to be formed later. The reason for this is that the diffusion barrier 162 prevents the tellurium (Te) component, which is one of the components of the phase change material layer 150, from moving to the upper electrode 164, and the tellurium (Te) component. The thickness of the upper electrode 164 is preferably smaller than the thickness of the upper electrode 164 because it is sufficient to not move toward the upper electrode 164.

확산 방지막(162)은 티타늄 실리 사이드 질화막(TiSiN), 티타늄 알루미늄 질화막(TiAlN), 티타늄 실리 사이드계 질화막(TiSiCxN) 및 티타늄 알루미늄계 질화막(TiAlCxN) 중 어느 하나를 이용한다.The diffusion barrier 162 uses any one of a titanium silicide nitride (TiSiN), a titanium aluminum nitride (TiAlN), a titanium silicide nitride (TiSiCxN), and a titanium aluminum nitride (TiAlCxN).

더하여, 확산 방지막(162)이 탄소를 포함하는 경우, 확산 방지막(162)은 상기 확산 방지 물질막의 증착 후에 확산 방지막(162) 내에 탄소 농도를 조절하는 공정을 통해 형성될 수 있으며, 이때, 탄소의 농도는 일 예로, 3%~50%로 조절하는 것이 바람직하다.In addition, when the diffusion barrier 162 includes carbon, the diffusion barrier 162 may be formed through a process of adjusting the carbon concentration in the diffusion barrier 162 after deposition of the diffusion barrier material layer, wherein, For example, the concentration is preferably adjusted to 3% to 50%.

확산 방지 물질막 내의 탄소 농도를 조절하는 이유는, 확산 방지막(162)의 구성 성분 중의 하나인 티타늄(Ti)의 소스 성분만을 남기도록 하여 상변화 물질막(150)의 텔루륨 성분(Te)이 이동하는 것을 보다 강화시키기 위함이다.The reason for controlling the carbon concentration in the diffusion barrier material film is to leave only the source component of titanium (Ti), which is one of the components of the diffusion barrier film 162, so that the tellurium component Te of the phase change material film 150 This is to strengthen the movement.

확산 방지막(162) 내에 탄소 농도를 조절하는 공정으로는, 티타늄(Ti) 주입 시간 및/또는 티타늄(Ti) 주입량을 점차적으로 증진하는 공정을 이용하거나, 저온에서 증착이 가능한 플라즈마(Plasma) 또는 리모트 플라즈마(Remote Plasama)를 이용할 수 있다.As a process for adjusting the carbon concentration in the diffusion barrier 162, a plasma or remote that can be deposited at low temperature using a process of gradually increasing the titanium (Ti) injection time and / or the titanium (Ti) injection amount, or It is possible to use a plasma (Remote Plasama).

여기서, 플라즈마 또는 리모트 플라즈마 공정을 이용할 경우, 일 예로, 질소(N2), 아르곤(Ar), 수소(H2) 및 암모니아(NH3) 중 어느 하나의 처리 가스를 이용할 수 있다. Here, when using a plasma or a remote plasma process, for example, a treatment gas of any one of nitrogen (N 2), argon (Ar), hydrogen (H 2) and ammonia (NH 3) may be used.

본 발명에서, 확산 방지막(162)은 두께가 증대될수록 티타늄(Ti) 농도가 증가되는데, 상변화 물질막(150)과의 접합 부위에는 Te-Ti와 같은 부산물의 발생을 줄여, 접촉 저항의 증대를 방지할 수 있다. In the present invention, the diffusion barrier layer 162 increases the concentration of titanium (Ti) as the thickness increases, reducing the occurrence of by-products such as Te-Ti at the junction with the phase change material layer 150, thereby increasing the contact resistance Can be prevented.

더하여, 본 발명에서, 플라즈마 또는 리모트 플라즈마 공정을 통해 탄소의 농도를 조절하여 확산 방지막(162)을 형성할 경우에는, 탄소(C)와 같은 불순물을 제거함과 동시에 고온에서는 분해가 제대로 이루어지지 않았던 리간드(legand)들을 분해할 수 있다.In addition, in the present invention, in the case of forming the diffusion barrier layer 162 by controlling the concentration of carbon through a plasma or remote plasma process, a ligand that is not decomposed properly at a high temperature while removing impurities such as carbon (C). You can break down the legs.

또한, 본 발명에 따른, 확산 방지막(162)은 본 발명에서는 상변화 물질막(150)이 형성된 기판 전면을 덮도록 형성하였지만, 이에 한정되는 것이 아니라, 상변화 물질막(150)에서 유출되는 텔루륨(Te) 성분이 상부전극(162)로 이동하는 것을 방지하기 위한 것이기 때문에, 도7과 같이 상변화 물질막(150)이 형성된 일부에만 형성할 수 있다.In addition, although the diffusion barrier layer 162 according to the present invention is formed to cover the entire surface of the substrate on which the phase change material layer 150 is formed, the present invention is not limited thereto. Since it is to prevent the rulium (Te) component from moving to the upper electrode 162, it may be formed only in a portion where the phase change material film 150 is formed as shown in FIG.

도6을 참조하면, 상기의 결과물에 확산 방지막(162) 상에 공지의 기술로 상부전극(164)을 형성하여, 확산 방지막(162)과 상부 전극(164)이 하나의 구조체(1602)를 갖도록 형성할 수 있다.Referring to FIG. 6, the upper electrode 164 is formed on the diffusion barrier layer 162 by a known technique in the above result, so that the diffusion barrier layer 162 and the upper electrode 164 have a structure 1602. Can be formed.

이때, 상부전극(164)은 상기 상변화 물질막(150)과의 전기적으로 연결되도록 일예로, 티타늄막(Ti) 또는 티타늄 질화막(TiN)으로 형성되는 것이 바람직하다.In this case, the upper electrode 164 is preferably formed of a titanium film Ti or a titanium nitride film TiN to be electrically connected to the phase change material film 150.

본 발명은 상변화 물질막(150)의 텔루륨 성분의 유출을 방지할 수 있는 확산 방지막(162) 및 상부전극(164)를 하나의 구조체로 형성함으로써, 상변화 물질막을 형성하는 성분 중 하나인 텔루륨(Te) 성분이 상부전극(164)쪽으로 이동하는 현상을 방지할 수 있다. 그에 의해 본 발명은 상변화 물질막(150)에 텔루륨(Te) 성분이 유출되어 심(seem)이 형성되는 것을 방지함과 동시에 상변화 물질막(150)과 상부전극(164) 사이의 갭(gap)에 의한 접촉 저항의 증대를 방지할 수 있다.According to the present invention, the diffusion barrier layer 162 and the upper electrode 164, which can prevent leakage of the tellurium component of the phase change material layer 150, are formed as one structure, thereby forming one of the components that form the phase change material layer. It is possible to prevent the tellurium (Te) component from moving toward the upper electrode 164. Accordingly, the present invention prevents the formation of a seam by leaking tellurium (Te) components into the phase change material film 150 and at the same time a gap between the phase change material film 150 and the upper electrode 164. Increase of contact resistance by a gap can be prevented.

더하여, 본 발명은 상변화 물질막(150)의 텔루륨(Te) 성분이 상부 전극(164)으로 이동함에 따라 상변화 물질막의 구성비가 정상적인 구성비를 유지하지 못하게 되어, 소자의 동작 불량을 유발하는 문제를 방지할 수 있다.
In addition, according to the present invention, as the tellurium (Te) component of the phase change material film 150 moves to the upper electrode 164, the composition ratio of the phase change material film does not maintain a normal composition ratio, causing malfunction of the device. Problems can be prevented.

도8은 본 발명의 다른 실시예에 따른 상변화 메모리 소자에 대하여 설명한다. 도8은 본 발명의 다른 실시예에 따른 상변화 메모리 소자의 제조 방법 중에서 상변화 물질막의 생성 공정 이후 생성되는 상부 전극의 제조 방법을 나타내는 단면도이다.8 illustrates a phase change memory device according to another embodiment of the present invention. 8 is a cross-sectional view illustrating a method of manufacturing an upper electrode generated after a process of generating a phase change material film in a method of manufacturing a phase change memory device according to another exemplary embodiment of the present invention.

도8을 참조하면, 보다 구체적으로, PVD, CVD 및 ALD 중 어느 하나의 증착 방식을 이용하여 상변화 물질막(150) 상에 상부전극(160)을 증착시킨 뒤, CMP(Chemical Mechanical Polishing) 공정 및 블랭킷 식각(blanket etching)을 수행한다.Referring to FIG. 8, more specifically, after depositing the upper electrode 160 on the phase change material film 150 using any one of PVD, CVD, and ALD, a chemical mechanical polishing (CMP) process. And blanket etching is performed.

이때, 상부전극(160)은 도5의 확산 방지막(162)과 동일한 티타늄 실리 사이드 질화막(TiSiN), 티타늄 알루미늄 질화막(TiAlN), 티타늄 실리 사이드계 질화막(TiSiCxN) 및 티타늄 알류미늄계 질화막(TiAlCxN) 중 어느 하나를 이용한다. 상부 전극(160)을 도5의 확산 방지막(162)과 동일한 물질로 형성하는 이유는, 상부전극(160)은 도6에 도시된 바와 같이 티타늄막(Ti)을 이용하고, 확산 방지막(162) 또한 티타늄막(Ti)계열을 이용하기 때문에, 추가적인 공정 방식 없이 한번에 Te성분을 방지할 수 있는 확산 방지막을 겸용할 수 있는 상부전극(160)으로 형성할 수 있기 때문이다.
또한, 상부전극(160)은 도 5의 확산방지막(162)의 특징과 같이 상부전극(160)의 두께가 증대될수록 티타늄(Ti)의 농도 또한 증가한다.
In this case, the upper electrode 160 may be formed of the same titanium silicide nitride layer (TiSiN), titanium aluminum nitride layer (TiAlN), titanium silicide nitride layer (TiSiCxN), and titanium aluminum nitride layer (TiAlCxN) as in the diffusion barrier layer 162 of FIG. 5. Use either one. The upper electrode 160 is formed of the same material as the diffusion barrier 162 of FIG. 5 because the upper electrode 160 uses a titanium film Ti as shown in FIG. 6, and the diffusion barrier 162 is formed. In addition, since the titanium film (Ti) series is used, it can be formed as the upper electrode 160 that can also be used as a diffusion barrier to prevent the Te component at once without an additional process method.
In addition, as the upper electrode 160 has a characteristic of the diffusion barrier 162 of FIG. 5, as the thickness of the upper electrode 160 increases, the concentration of titanium (Ti) also increases.

이처럼, 본 발명은 상변화 물질막(150)의 텔루륨 성분의 유출을 방지할 수 있는 확산 방지 역할을 하는 상부전극(160)을 형성함으로써, 상변화 물질막을 형성하는 성분 중 하나인 텔루륨(Te) 성분이 상부전극(160)쪽으로 이동하는 현상을 방지할 수 있다. 그에 의해 본 발명은 상변화 물질막(150)에 텔루륨(Te) 성분이 유출되어 심(seem)이 형성되는 것을 방지함과 동시에 상변화 물질막(150)과 상부전극(160) 사이의 갭(gap)에 의한 접촉 저항을 유발을 방지할 수 있다.As such, the present invention forms an upper electrode 160 that serves to prevent diffusion of the tellurium component of the phase change material film 150, thereby forming tellurium, which is one of the components forming the phase change material film. The phenomenon in which the Te) component moves toward the upper electrode 160 can be prevented. Accordingly, the present invention prevents the formation of a seam by leaking tellurium (Te) components into the phase change material film 150 and at the same time a gap between the phase change material film 150 and the upper electrode 160. It is possible to prevent the contact resistance caused by the gap.

더하여, 본 발명은 상변화 물질막(150)의 텔루륨(Te) 성분이 상부전극(160)으로 이동함에 따라 상변화 물질막의 구성비가 정상적인 구성비를 유지하지 못하게 되어, 소자의 동작 불량을 유발하는 문제를 방지할 수 있다.
In addition, according to the present invention, as the tellurium (Te) component of the phase change material film 150 moves to the upper electrode 160, the composition ratio of the phase change material film does not maintain a normal composition ratio, causing malfunction of the device. Problems can be prevented.

본 발명이 속하는 기술분야의 당업자는 본 발명이 그 기술적 사상이나 필수적 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있으므로, 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적인 것이 아닌 것으로서 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 등가개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.As those skilled in the art to which the present invention pertains may implement the present invention in other specific forms without changing the technical spirit or essential features, the embodiments described above should be understood as illustrative and not restrictive in all aspects. Should be. The scope of the present invention is shown by the following claims rather than the detailed description, and all changes or modifications derived from the meaning and scope of the claims and their equivalents should be construed as being included in the scope of the present invention. do.

100: 기판 110: 고농도 n형 불순물 영역
120: 층간 절연막 135: 다이오드 패턴
130: 하부 전극 140: 스페이서
150: 상변화 물질막 162: 확산 방지막
164: 상부 전극
100: substrate 110: high concentration n-type impurity region
120: interlayer insulating film 135: diode pattern
130: lower electrode 140: spacer
150: phase change material film 162: diffusion barrier film
164: upper electrode

Claims (11)

반도체 기판 상부에 하부 전극을 형성하는 단계;
상기 하부 전극이 형성된 층간 절연막의 홀을 매립시키는 상변화 물질막을 형성하는 단계;
상기 상변화 물질막 상부에 두께가 증대될수록 티타늄 농도가 증대되는 확산 방지막을 형성하는 단계; 및
상기 확산 방지막이 형성된 기판 전면에 상부 전극을 형성하는 단계를 포함하는 상변화 메모리 소자의 제조방법.
Forming a lower electrode on the semiconductor substrate;
Forming a phase change material film filling a hole in the interlayer insulating film on which the lower electrode is formed;
Forming a diffusion barrier layer on the phase change material layer, the titanium concentration of which increases as the thickness thereof increases; And
And forming an upper electrode on an entire surface of the substrate on which the diffusion barrier is formed.
청구항 2은(는) 설정등록료 납부시 포기되었습니다.Claim 2 has been abandoned due to the setting registration fee. 제1 항에 있어서,
상기 확산 방지막의 두께는 상기 상부 전극의 두께보다 작게 형성되는 상변화 메모리 소자의 제조방법.
The method according to claim 1,
And the thickness of the diffusion barrier layer is smaller than the thickness of the upper electrode.
청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 제2 항에 있어서,
상기 확산 방지막은 티타늄 실리 사이드 질화막(TiSiN), 티타늄 알루미늄 질화막(TiAlN), 티타늄 실리 사이드계 질화막(TiSiCxN) 및 티타늄 알루미늄계 질화막(TiAlCxN) 중 선택되는 하나인 상변화 메모리 소자의 제조방법.
The method of claim 2,
The diffusion barrier layer is one of a titanium silicide nitride (TiSiN), titanium aluminum nitride (TiAlN), titanium silicide nitride (TiSiCxN) and titanium aluminum nitride (TiAlCxN) is a manufacturing method of a phase change memory device.
삭제delete 청구항 5은(는) 설정등록료 납부시 포기되었습니다.Claim 5 was abandoned upon payment of a set-up fee. 제3 항에 있어서,
상기 확산 방지막이 탄소를 포함하는 경우, 탄소 농도는 3%~50%로 조절하는 상변화 메모리 소자의 제조방법.
The method of claim 3,
If the diffusion barrier comprises carbon, the carbon concentration is adjusted to 3% to 50% of the manufacturing method of the phase change memory device.
청구항 6은(는) 설정등록료 납부시 포기되었습니다.Claim 6 was abandoned when the registration fee was paid. 제5 항에 있어서,
상기 확산 방지막은 티타늄 주입 시간을 점차적으로 증진시켜가며 형성하는 상변화 메모리 소자의 제조방법.
The method of claim 5,
The diffusion barrier layer is a method of manufacturing a phase change memory device to be formed while gradually increasing the titanium injection time.
청구항 7은(는) 설정등록료 납부시 포기되었습니다.Claim 7 was abandoned upon payment of a set-up fee. 제5 항에 있어서,
상기 확산 방지막은 티타늄 주입량을 점차적으로 증진시켜가며 형성하는 상변화 메모리 소자의 제조방법.
The method of claim 5,
The diffusion barrier layer is a method of manufacturing a phase change memory device which is formed while gradually increasing the titanium injection amount.
청구항 8은(는) 설정등록료 납부시 포기되었습니다.Claim 8 was abandoned when the registration fee was paid. 제5 항에 있어서,
상기 확산 방지막은 플라즈마 또는 리모트 플라즈마를 이용하여 형성하는 탄소막(Carbon)인 상변화 메모리 소자의 제조방법.
The method of claim 5,
The diffusion barrier layer is a carbon film (Carbon) formed by using a plasma or a remote plasma manufacturing method of a phase change memory device.
청구항 9은(는) 설정등록료 납부시 포기되었습니다.Claim 9 was abandoned upon payment of a set-up fee. 제8 항에 있어서,
상기 플라즈마 또는 리모트 플라즈마 처리 가스는, 질소(N2), 아르곤(Ar), 수소(H2) 및 암모니아(NH3) 중 어느 하나인 상변화 메모리 소자의 제조방법.
The method of claim 8,
The plasma or remote plasma processing gas is any one of nitrogen (N 2), argon (Ar), hydrogen (H 2) and ammonia (NH 3).
반도체 기판 상부에 형성되는 하부 전극;
상기 하부 전극 상부에 형성되는 상변화 물질막;
상기 상변화 물질막 상에 형성된 두께가 증대될수록 티타늄 농도가 증대되는 확산 방지막; 및
상기 확산 방지막 상부에 형성된 상부 전극을 포함하는 상변화 메모리 소자.
A lower electrode formed on the semiconductor substrate;
A phase change material film formed on the lower electrode;
A diffusion barrier layer in which titanium concentration increases as the thickness formed on the phase change material layer increases; And
Phase change memory device comprising an upper electrode formed on the diffusion barrier.
청구항 11은(는) 설정등록료 납부시 포기되었습니다.Claim 11 was abandoned upon payment of a setup registration fee. 제10 항에 있어서,
상기 확산 방지막의 두께는 상기 상부 전극의 두께보다 작게 형성되는 상변화 메모리 소자.
The method of claim 10,
And the thickness of the diffusion barrier layer is smaller than the thickness of the upper electrode.
KR1020100065655A 2010-07-08 2010-07-08 Fabricating of phase change random access memory and fabricating thereof KR101094996B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020100065655A KR101094996B1 (en) 2010-07-08 2010-07-08 Fabricating of phase change random access memory and fabricating thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100065655A KR101094996B1 (en) 2010-07-08 2010-07-08 Fabricating of phase change random access memory and fabricating thereof

Publications (1)

Publication Number Publication Date
KR101094996B1 true KR101094996B1 (en) 2011-12-20

Family

ID=45506439

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100065655A KR101094996B1 (en) 2010-07-08 2010-07-08 Fabricating of phase change random access memory and fabricating thereof

Country Status (1)

Country Link
KR (1) KR101094996B1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100480622B1 (en) 2002-10-16 2005-03-31 삼성전자주식회사 Semiconductor device having dielectric layer improved dielectric characteristic and leakage current and method for manufacturing the same
KR100748557B1 (en) 2006-05-26 2007-08-10 삼성전자주식회사 Phase-change memory device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100480622B1 (en) 2002-10-16 2005-03-31 삼성전자주식회사 Semiconductor device having dielectric layer improved dielectric characteristic and leakage current and method for manufacturing the same
KR100748557B1 (en) 2006-05-26 2007-08-10 삼성전자주식회사 Phase-change memory device

Similar Documents

Publication Publication Date Title
US9112137B2 (en) Semiconductor device and method of fabricating the same
US9969622B2 (en) Ternary tungsten boride nitride films and methods for forming same
JP2008182227A (en) Phase change memory element provided with selectively grown phase change layer and its manufacturing method
CN101154576A (en) Method of forming tungsten polymetal gate having low resistance
US8158966B2 (en) Phase change memory device having protective layer and method for manufacturing the same
KR20110007230A (en) Vapor phase methods for forming electrodes in phase change memory devices
US8802453B2 (en) Phase change random access memory and method for manufacturing the same
KR20090029488A (en) Method of forming chalcogenide layer including te, and method of fabricating phase-change memory device
US8330226B2 (en) Phase-change random access memory devices with a phase-change nanowire having a single element
KR100945791B1 (en) Phase-Change Memory Device and Fabrication Method Thereof
US20120009731A1 (en) Method of manufacturing phase-change random access memory
US20130193402A1 (en) Phase-change random access memory device and method of manufacturing the same
US8802536B2 (en) Phase-change memory device and method of fabricating the same
US8609503B2 (en) Phase change memory device and fabrication method thereof
TW202127588A (en) Titanium silicon nitride barrier layer
KR101094996B1 (en) Fabricating of phase change random access memory and fabricating thereof
US8921822B2 (en) Phase-change random access memory device and method of manufacturing the same
US8686385B2 (en) Phase-change random access memory device and method of manufacturing the same
KR20090021762A (en) Method of manufacturing a phase-change memory device
US8901528B2 (en) Phase-change random access memory and method of manufacturing the same
US9373788B2 (en) Method for fabricating semiconductor device
KR20090070304A (en) Method of forming ohmic contact layer in phase change device
KR20110072024A (en) Method for fabricating phase-change memory device
KR20110035780A (en) Phase change random access memory with contact hole of high aspect ratio

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee