KR101078581B1 - Method for forming high dielectric film and method for manufacturing semiconductor device - Google Patents

Method for forming high dielectric film and method for manufacturing semiconductor device Download PDF

Info

Publication number
KR101078581B1
KR101078581B1 KR1020097008423A KR20097008423A KR101078581B1 KR 101078581 B1 KR101078581 B1 KR 101078581B1 KR 1020097008423 A KR1020097008423 A KR 1020097008423A KR 20097008423 A KR20097008423 A KR 20097008423A KR 101078581 B1 KR101078581 B1 KR 101078581B1
Authority
KR
South Korea
Prior art keywords
dielectric film
high dielectric
film
forming
semiconductor device
Prior art date
Application number
KR1020097008423A
Other languages
Korean (ko)
Other versions
KR20090074218A (en
Inventor
히로아키 우치다
츠요시 다카하시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090074218A publication Critical patent/KR20090074218A/en
Application granted granted Critical
Publication of KR101078581B1 publication Critical patent/KR101078581B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/615Polycyclic condensed aromatic hydrocarbons, e.g. anthracene
    • H10K85/621Aromatic anhydride or imide compounds, e.g. perylene tetra-carboxylic dianhydride or perylene tetracarboxylic di-imide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Abstract

고유전체막의 형성 방법은 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기로 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함한다. 또한, 반도체 장치의 제조 방법은 반도체 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 게이트 절연막으로서 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기에서 고유전체막에 자외선을 조사해서 막 내의 수소를 탈락시키는 단계와, 고유전체막 상에 게이트 전극을 형성하는 단계를 포함한다.The method of forming a high dielectric film includes forming a high dielectric film by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material on a substrate, and irradiating ultraviolet light to the high dielectric film in a low-pressure oxygen-containing atmosphere. Desorbing hydrogen. In addition, a method of manufacturing a semiconductor device includes forming a high dielectric film as a gate insulating film by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material on a semiconductor substrate, and applying the high dielectric film to a high dielectric film in a low pressure oxygen-containing atmosphere. Irradiating ultraviolet light to drop hydrogen in the film, and forming a gate electrode on the high-k dielectric film.

Description

고유전체막의 형성 방법, 반도체 장치의 제조 방법 및 고유전체막의 형성 장치를 제어하는 프로그램이 기억된 기억 매체{METHOD FOR FORMING HIGH DIELECTRIC FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE}TECHNICAL FIELD FOR FORMING HIGH DIELECTRIC FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE

본 발명은 반도체 디바이스에 있어서의 게이트 절연막이나 커패시터막에 적합한 고유전체막의 형성 방법 및 그것을 이용한 반도체 장치의 제조 방법에 관한 것이다.The present invention relates to a method of forming a high dielectric film suitable for a gate insulating film and a capacitor film in a semiconductor device, and a method of manufacturing a semiconductor device using the same.

최근, LSI의 고집적화, 고속화의 요청으로부터 LSI를 구성하는 반도체 소자의 디자인룰이 점점 미세화되고 있고, 그에 따라 CMOS 디바이스에 있어서는 게이트 절연막이 SiO2 용량 환산 막두께의 EOT(Equivalent Oxide Thickness)에서 1.5㎚ 정도 이하의 수치가 요구되고 있다. 이러한 얇은 절연막을 게이트 누설(gate leak) 전류를 증가시키지 않고 실현하는 재료로서 고유전율 재료, 이른바 High-k 재료가 주목되고 있다.In recent years, the design rules for semiconductor devices constituting LSI have been increasingly refined due to the demand for higher integration and higher speed of LSI. Accordingly, in CMOS devices, the gate insulating film is 1.5 nm at the equivalent oxide thickness (EOT) of the SiO 2 capacitance conversion film thickness. The numerical value below the grade is calculated | required. As a material for realizing such a thin insulating film without increasing the gate leak current, a high dielectric constant material, a so-called high-k material, has attracted attention.

고유전율 재료를 게이트 절연막으로서 이용하는 경우는 실리콘 기판과의 상호 확산이 없고, 열역학적으로 안정할 필요가 있어서 그 관점으로부터 하프 늄(hafnium), 지르코늄(zirconium)의 산화물 또는 그 금속 규산염(silicate)이 유망시되고 있다.When a high dielectric constant material is used as the gate insulating film, there is no mutual diffusion with the silicon substrate and it is necessary to be stable thermodynamically. From that point of view, hafnium, zirconium oxide, or metal silicate is promising. It is published.

이러한 고유전체막의 성막 방법으로서는 금속 원료 가스로서 유기 금속 재료를 이용하여 성막하는 화학 증착(CVD)법이나, 금속 원료 가스와 산화제를 교대로 공급하는 원자층 증착(ALD)법에 의해 성막하는 방법이 알려져 있다[예컨대, 일본 특허 공개 제 2004-079753 호(특허문헌 1)]. 그리고, 이러한 성막 후, 질소 첨가 공정이나 어닐링(annealing) 공정 등을 소망에 의해 실행해서 고유전체 게이트 절연막을 형성하고 있다.As a method of forming a high dielectric film, a chemical vapor deposition (CVD) method using an organic metal material as a metal source gas, or an atomic layer deposition (ALD) method of alternately supplying a metal source gas and an oxidant may be used. It is known (for example, Unexamined-Japanese-Patent No. 2004-079753 (patent document 1)). After the film formation, a nitrogen-adding step, an annealing step, or the like is desired to form a high dielectric gate insulating film.

그런데, 이들의 방법으로 고유전체막을 형성하는 경우에는 디바이스로의 악영향을 극력 피하는 관점으로부터 보다 저온 조건에서의 막형성이 지향되고 있다. 한편, 유기 금속 원료에는 다량의 수소가 포함되어 있고, 또한 산화제로서도 수소를 포함하는 가스가 이용되고 있어서, 유기 금속 원료를 산화하는 과정에서 수소 함유 성분이 생성된다. 이러한 수소 함유 성분이 막 내에 취입되면 저온 조건 하에서는 막으로부터 방출되기 어렵고 막 내에 잔류하기 쉽게 된다. 이러한 수소 함유 성분이 막 내에 잔류하면, 반도체 장치(트랜지스터)를 형성한 경우에 계면 준위의 발생이나 Vth 시프트를 일으켜서 디바이스의 신뢰성을 손상시켜 버린다.By the way, when forming a high dielectric film by these methods, film formation in low temperature conditions is aimed at the point which avoids the bad influence to a device as much as possible. On the other hand, a large amount of hydrogen is contained in the organometallic raw material, and a gas containing hydrogen is also used as the oxidant, so that a hydrogen-containing component is generated in the process of oxidizing the organometallic raw material. When such hydrogen-containing components are blown into the membrane, they are less likely to be released from the membrane under low temperature conditions and are likely to remain in the membrane. When such a hydrogen-containing component remains in the film, when a semiconductor device (transistor) is formed, an interface level is generated or a Vth shift occurs, thereby impairing the reliability of the device.

본 발명의 목적은 유기 금속 재료를 이용한 저온 성막 조건 하에 있어서도, 막 내에 수소 함유 성분이 잔류하기 어려운 고유전체막의 형성 방법을 제공하는 것이다.An object of the present invention is to provide a method for forming a high dielectric film in which hydrogen-containing components are less likely to remain in a film even under low temperature film forming conditions using an organic metal material.

본 발명의 다른 목적은 그러한 고유전체막의 제조 방법을 적용한 반도체 장치의 제조 방법을 제공하는 것이다.Another object of the present invention is to provide a method of manufacturing a semiconductor device to which such a method of manufacturing a high dielectric film is applied.

또 다른 목적은 그러한 고유전체막의 형성 방법을 실행하는 프로그램이 기억된 기억 매체를 제공하는 것이다.Another object is to provide a storage medium in which a program for executing such a method of forming a high dielectric film is stored.

본 발명의 제 1 관점에 의하면, 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는 고유전체막의 형성 방법이 제공된다.According to a first aspect of the present invention, a step of forming a high dielectric film by ALD or CVD using an organometallic raw material on a substrate at a temperature of 350 ° C. or lower, and applying ultraviolet light to the high dielectric film in a low pressure oxygen-containing atmosphere Provided is a method of forming a high dielectric film comprising the step of irradiating to desorb hydrogen in the film.

본 발명의 제 2 관점에 의하면, 고유전체막을 게이트 절연막 또는 축전기 막으로서 갖는 반도체 장치의 제조 방법에 있어서, 상기 고유전체막은 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는 방법에 의해 형성되는 반도체 장치의 제조 방법이 제공된다.According to a second aspect of the present invention, in the method of manufacturing a semiconductor device having a high dielectric film as a gate insulating film or a capacitor film, the high dielectric film is subjected to ALD or CVD at a temperature of 350 DEG C or lower using an organic metal raw material on a substrate. There is provided a method of manufacturing a semiconductor device, which is formed by a step of forming a high dielectric film by the step of forming a high dielectric film, and irradiating the high dielectric film with ultraviolet rays in a low pressure oxygen-containing atmosphere to desorb hydrogen in the film.

본 발명의 제 3 관점에 의하면, 반도체 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 게이트 절연막으로서 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계와, 상기 고유전체막 상에 게이트 전극을 형성하는 단계를 포함하는 반도체 장치의 제조 방법이 제공된다.According to a third aspect of the present invention, there is provided a method for forming a high dielectric film as a gate insulating film by ALD or CVD using an organometallic raw material on a semiconductor substrate at a temperature of 350 ° C. or lower, and the high dielectric material in a low pressure oxygen-containing atmosphere. A method of manufacturing a semiconductor device is provided comprising irradiating ultraviolet light to a film to desorb hydrogen in the film, and forming a gate electrode on the high-k dielectric film.

상기 제 1 내지 제 3 관점에 있어서, 상기 고유전체막으로서는 Hf 또는 Zr의 산화물 또는 규산염을 적합하게 이용할 수 있다. 이 경우에, 상기 유기 금속 원료로서는 아미드계 금속 화합물을 이용할 수 있다. 고유전체막을 성막할 때에 산화제로서 H2O를 이용할 수 있다.In the first to third aspects, an oxide or silicate of Hf or Zr can be suitably used as the high dielectric film. In this case, an amide metal compound can be used as the organometallic raw material. When forming a high dielectric film, H 2 O can be used as an oxidizing agent.

또한, 상기 자외선의 조사는 0.665㎩ 내지 665㎩의 압력으로 실행하는 것이 바람직하다. 상기 자외선의 조사는 기판을 500℃ 이하의 온도로 가열한 상태에서 실행되는 것이 바람직하다. 상기 자외선의 조사는 O2 가스 분위기에서 실행할 수 있다. 상기 자외선의 조사는 파장이 172㎚의 자외선을 이용하여 실행할 수 있다.Moreover, it is preferable to perform the said irradiation of the ultraviolet-ray at the pressure of 0.665 kPa-665 kPa. It is preferable to perform irradiation of the said ultraviolet-ray in the state heated the board | substrate to the temperature of 500 degrees C or less. Irradiation of the ultraviolet rays can be carried out in O 2 gas atmosphere. Irradiation of the said ultraviolet-ray can be performed using the ultraviolet-ray whose wavelength is 172 nm.

본 발명의 제 4 관점에 의하면, 컴퓨터 상에서 동작하고, 고유전체막의 형성 장치를 제어하는 프로그램이 기억된 기억 매체에 있어서, 상기 프로그램은 실행시에 기판상에 유기 금속 원료를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 고유전체막을 성막하는 단계와, 저압의 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는 고유전체막의 형성 방법이 실행되도록, 컴퓨터에 상기 고유전체막의 형성 장치를 제어시키는 기억 매체가 제공된다.According to a fourth aspect of the present invention, in a storage medium in which a program operating on a computer and controlling a device for forming a high dielectric film is stored, the program is 350 ° C. or less using an organometallic raw material on a substrate when executed. And forming a high dielectric film by ALD or CVD at a temperature, and irradiating the high dielectric film with ultraviolet light in a low pressure oxygen-containing atmosphere to desorb hydrogen in the film. There is provided a storage medium for controlling the apparatus for forming the high dielectric film.

본 발명에 의하면, 막 내에 수소 함유 성분이 잔류하기 쉬운, 유기 금속 원료를 이용한 350℃ 이하의 조건에서 ALD 또는 CVD에 의해 고유전체막을 성막한 후에 저압 조건에서 산소 함유 가스 분위기에서의 자외선 조사를 실행하는 것에 의해, 고유전체막으로부터 수소를 탈리시킬 수 있어서 수소 함유 성분이 막 내에 잔류하는 것에 의한 디바이스의 신뢰성 저하를 방지할 수 있다.According to the present invention, after the high dielectric film is formed by ALD or CVD under a condition of 350 ° C. or less using an organic metal raw material in which hydrogen-containing components are likely to remain in the film, ultraviolet irradiation in an oxygen-containing gas atmosphere is performed under low pressure conditions. By doing so, it is possible to detach hydrogen from the high-k dielectric film and to prevent the deterioration of the reliability of the device due to remaining of the hydrogen-containing component in the film.

ALD법에 의해 고유전체막을 성막할 때에 자외선을 조사하는 기술은 일본 특허 공개 제 1997-121035 호 공보에도 개시되어 있다. 그러나, 이 공보에 개시된 기술에서는 O3 분위기에서의 자외선 조사(UV-O3 어닐링)를 고유전체막 형성과 교대로 실행해서 소정 두께의 고유전체막을 형성하고, 이로써 막의 산소 결손을 수복하는 것이며, 고유전체막을 성막 후에 자외선 조사함으로써, 막으로부터 수소를 탈리시키는 본 발명과는 명확히 다르다. 이 때문에, 일본 특허 공개 제 1997-121035 호 공보의 기술에서는 산소 결손을 수복하는 O3의 농도를 유지하기 위해서 고압에서 UV-O3 어닐링을 실행할 필요가 있지만, 본 발명에서는 수소를 탈리시키기 위해서 저압의 산소 함유 가스 분위기를 형성하는 것이다.The technique of irradiating ultraviolet rays when forming a high dielectric film by the ALD method is also disclosed in Japanese Patent Laid-Open No. 1997-121035. However, in the technique disclosed in this publication, ultraviolet irradiation (UV-O 3 annealing) in an O 3 atmosphere is alternately performed with formation of a high dielectric film to form a high dielectric film of a predetermined thickness, thereby repairing oxygen vacancies in the film. The high dielectric film is clearly different from the present invention in which hydrogen is released from the film by irradiating with ultraviolet rays after film formation. For this reason, it is necessary in the Japanese Patent Laid-Open No. 1997-121035 discloses a technique to execute a UV-O 3 anneal at high pressure in order to maintain the concentration of O 3 to repair the oxygen deficiency, in the present invention, in order to low pressure desorption of hydrogen Oxygen-containing gas atmosphere is formed.

본 발명에 있어서의 수소 탈리의 메카니즘에 대해서는 반드시 명확하지는 않지만, 자외선 조사에 의해 C-H 등의 수소 원자와 다른 원자의 결합을 절단해서 그 부분을 산소 함유 가스에 의해 수복함에 의하는 것으로 추측된다.The mechanism of hydrogen desorption in the present invention is not necessarily clear, but it is presumed to be caused by cleaving the bond between hydrogen atoms such as C-H and other atoms by ultraviolet irradiation and repairing the portion by oxygen-containing gas.

도 1은 본 발명의 고유전체막의 형성 방법의 제 1 실시형태의 공정을 설명하기 위한 흐름도,1 is a flowchart for explaining a process of the first embodiment of the method for forming a high dielectric film of the present invention;

도 2a는 본 발명의 고유전체막의 형성 방법의 제 1 실시형태의 공정을 설명하기 위한 공정 단면도,Fig. 2A is a cross sectional view for explaining a step in the first embodiment of the method for forming a high dielectric film of the present invention;

도 2b는 본 발명의 고유전체막의 형성 방법의 제 1 실시형태의 공정을 설명하기 위한 공정 단면도,Fig. 2B is a cross sectional view for explaining a step in the first embodiment of the method for forming a high dielectric film of the present invention;

도 3은 성막한 채의 수소가 잔류한 상태의 고유전체막을 게이트 절연막으로 하고, 그 위에 게이트 전극을 형성하며, 그 후 필요한 처리를 실행해서 MOS형 디바이스를 형성할 때에 Vstress 측정에 의해 Vfb 시프트를 구한 결과를 도시한 도면,Fig. 3 shows a Vfb shift by Vstress measurement when a high dielectric film in a state where hydrogen remains as a film is formed as a gate insulating film, a gate electrode is formed thereon, and then a necessary process is performed to form a MOS device. Drawing showing the result of the calculation,

도 4는 본 실시형태에 따른 고유전체막의 형성 방법을 실시하기 위한 고유전체막 형성 장치를 도시하는 모식도,4 is a schematic diagram showing a high dielectric film forming apparatus for carrying out the method for forming a high dielectric film according to the present embodiment;

도 5a는 도 4의 고유전체막 형성 장치에 탑재된 자외선 조사 유닛을 도시하는 수직 단면도,5A is a vertical sectional view showing an ultraviolet irradiation unit mounted in the high dielectric film forming apparatus of FIG. 4;

도 5b는 도 4의 고유전체막 형성 장치에 탑재된 자외선 조사 유닛을 도시하는 수평 단면도,FIG. 5B is a horizontal sectional view showing an ultraviolet irradiation unit mounted in the high dielectric film forming apparatus of FIG. 4;

도 6a는 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예를 도시하는 공정 단면도,Fig. 6A is a cross sectional view of the production example of the MOS semiconductor device to which the method of the present embodiment is applied;

도 6b는 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예를 도시하는 공정 단면도,Fig. 6B is a cross sectional view showing the manufacture example of the MOS semiconductor device to which the method of the present embodiment is applied;

도 6c는 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예를 도시하는 공정 단면도,Fig. 6C is a cross sectional view of the production example of the MOS semiconductor device to which the method of the present embodiment is applied;

도 6d는 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예를 도시하는 공정 단면도,Fig. 6D is a cross sectional view of the manufacturing example of the MOS semiconductor device to which the method of the present embodiment is applied;

도 6e는 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예를 도시하 는 공정 단면도,FIG. 6E is a cross sectional view of the production example of the MOS semiconductor device to which the method of this embodiment is applied; FIG.

도 7a는 HfO막을 성막한 후, 자외선 조사가 있는 경우에 막의 수소 농도를 측정한 결과를 도시한 도면,7A is a diagram showing the results of measuring the hydrogen concentration of a film in the presence of ultraviolet irradiation after forming a HfO film;

도 7b는 HfO막을 성막한 후, 자외선 조사가 없는 경우에 막의 수소 농도를 측정한 결과를 도시한 도면.FIG. 7B shows the results of measuring the hydrogen concentration of the film in the absence of ultraviolet irradiation after the HfO film is formed. FIG.

이하, 첨부 도면을 참조해서 본 발명의 실시형태에 대해서 구체적으로 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described concretely with reference to an accompanying drawing.

도 1은 본 발명의 고유전체막의 형성 방법의 제 1 실시형태의 공정을 설명하기 위한 흐름도이며, 도 2a 및 도 2b는 그 때의 공정 단면도이다.Fig. 1 is a flowchart for explaining a step in the first embodiment of the method for forming a high dielectric film of the present invention, and Figs. 2A and 2B are cross sectional views of the process at that time.

이 방법은 기판(1)상에 고유전체막(2)을 성막하는 공정(단계 1, 도 2a)과, 고유전체막(2)을 저압 분위기로 보지해서 자외선(UV)(3)을 조사하는 공정(단계 2, 도 2b)을 갖는다.This method comprises the steps of depositing a high dielectric film 2 on the substrate 1 (step 1, FIG. 2A), and irradiating ultraviolet (UV) 3 while holding the high dielectric film 2 in a low pressure atmosphere. Process (step 2, FIG. 2B).

단계 1에 의해 성막되는 고유전체막(2)의 재료로서는 여러가지 것을 들 수 있으며, 상술한 하프늄, 지르코늄의 산화물 또는 그 금속 규산염, 즉 HfO2, ZrO2, HfSiOx 등은 물론, 그 외에 SrTiOx, La2O3, Y2O3를 들 수 있다.Examples of the material of the high-k dielectric film 2 formed by the step 1 include various hafnium and zirconium oxides or metal silicates thereof, that is, HfO 2 , ZrO 2 , HfSiO x , and the like, as well as SrTiO x. , La 2 O 3 , Y 2 O 3 can be mentioned.

고유전체막(2)은 유기 금속 원료를 이용한 ALD 또는 CVD에 의해 350℃ 이하의 온도에서 성막한다. 유기 금속 원료를 이용하여 ALD 또는 CVD에 의해 350℃ 이 하로 함으로써, 디바이스에 NiSi 등의 내열성이 낮은 재료를 이용한 경우에도 악영향을 받는 일이 없다. 바람직한 성막 온도는 300℃ 이하이다.The high dielectric film 2 is formed at a temperature of 350 ° C. or lower by ALD or CVD using an organic metal raw material. By using the organometallic raw material to be 350 ° C. or lower by ALD or CVD, even if a material having low heat resistance such as NiSi is used for the device, it is not adversely affected. Preferable film-forming temperature is 300 degrees C or less.

상기 재료를 성막하기 위한 유기 금속 원료로서는 TEMAH(테트라에토키스메틸에틸아미드하프늄)이나 TDEAH(테트라디에틸아미드하프늄) 및 TEMAZ(테트라에토키스메틸에틸아미드지르코늄) 등을 들 수 있다.Examples of the organic metal raw material for forming the material include TEMAH (tetraetokismethylethylamide hafnium), TDEAH (tetradiethylamide hafnium), TEMAZ (tetraetokismethylethylamide zirconium), and the like.

또한, 이들 유기 금속 원료를 산화해서 상기 고유전체막(2)이 형성되지만, 그 때의 산화제로서는 H2O, O3, O2, NO2, N2O, NO 등을 들 수 있다. 이 중에서도 H2O는 막 내의 잔류 탄소를 보다 저감할 수 있기 때문에 바람직하다.Also, these oxidation by the organic metal raw material the high-dielectric film (2) is formed, there may be mentioned that when the oxidizing agent as H 2 O, O 3, O 2, NO 2, N 2 O, NO and the like. Among these, H 2 O is preferable because the residual carbon in the film can be further reduced.

이들 유기 금속 원료와 산화제를 이용하여 ALD에 의해 고유전체막을 성막하는 경우에는 챔버 내에 마련된 서셉터 상에 기판을 탑재하고, 챔버 내를 소정의 진공 분위기로 하고, 기판을 350℃ 이하의 소정의 온도로 가열하면서, 우선 챔버 내에 소정 유량으로 유기 금속 원료를 공급해서 기판상에 흡착시키고, 그 후 산화제를 소정 유량으로 공급해서 흡착한 유기 금속 원료를 산화시켜서 1 내지 수 분자층 정도의 고유전체막을 성막하는 공정을 교대로 반복해서 원하는 두께의 고유전율막을 성막한다.In the case of forming a high dielectric film by ALD using these organometallic raw materials and an oxidizing agent, a substrate is mounted on a susceptor provided in the chamber, the chamber is kept in a predetermined vacuum atmosphere, and the substrate has a predetermined temperature of 350 ° C. or lower. While heating the furnace, first, an organic metal raw material is supplied to the chamber at a predetermined flow rate and adsorbed onto the substrate, and then an oxidant is supplied at a predetermined flow rate to oxidize the adsorbed organic metal raw material to form a high dielectric film of about 1 to several molecular layers. The steps of alternating are repeated to form a high dielectric constant film having a desired thickness.

또한, 이들 유기 금속 원료와 산화제를 이용하여 CVD에 의해 고유전체막을 성막하는 경우에는 챔버 내에 마련된 서셉터 상에 기판을 탑재하고, 기판을 350℃ 이하의 소정의 온도로 가열하면서, 유기 금속 원료와 산화제를 동시에 공급해서 원하는 두께의 고유전율막을 성막한다.In the case of forming a high dielectric film by CVD using these organic metal raw materials and an oxidizing agent, a substrate is mounted on a susceptor provided in the chamber, and the substrate is heated to a predetermined temperature of 350 ° C. or lower, An oxidant is simultaneously supplied to form a high dielectric constant film of desired thickness.

상기 ALD는 지극히 얇은 유기 금속 원료막을 흡착시킨 후에 산화제로 산화시키므로 반응성이 높고, CVD보다도 저온에서의 성막이 가능하다. 이 때문에, 보다 저온의 성막을 실시하기 위해서는 ALD가 바람직하다.The ALD is highly reactive because it adsorbs an extremely thin organometallic raw material film and then oxidizes it with an oxidizing agent, and can form a film at a lower temperature than CVD. For this reason, ALD is preferable in order to form a film at a lower temperature.

그런데, 이렇게 하여 ALD나 CVD에 의해 고유전체막을 형성한 경우에는 유기 금속 원료에 수소가 다량으로 포함되기 때문에, 막 내에 수소 함유 성분이 잔류하기 쉽고, 또한 성막 온도가 350℃ 이하인 저온이기 때문에, 잔류한 수소 함유 성분으로부터 수소가 탈리하기 어렵다. 이 때문에, 이러한 방법에 의해 성막한 채의 상태의 고유전체막을 그대로 반도체 디바이스에 사용하면, 막 내에 잔류한 수소에 의해, 계면 준위의 발생이나, Vth 시프트를 야기한다. 예를 들면, 성막한 채의 수소가 잔류한 상태의 고유전체막을 게이트 절연막으로 하고, 그 위에 게이트 전극을 형성하고, 그 후 필요한 처리를 실행해서 MOS형 디바이스를 형성할 때에 Vstress 측정에 의해 Vfb 시프트를 구한 결과, 도 3에 도시하는 바와 같이, △Vfb가 1.0V로 되는 것이 확인되었다.By the way, when a high dielectric film is formed by ALD or CVD in this way, since a large amount of hydrogen is contained in an organometallic raw material, a hydrogen-containing component tends to remain in a film | membrane, and since it is a low temperature with a film forming temperature of 350 degrees C or less, it remains. Hydrogen is difficult to desorb from one hydrogen-containing component. For this reason, when the high dielectric film in the state formed by this method is used for a semiconductor device as it is, hydrogen remaining in the film causes the generation of an interface level and a Vth shift. For example, a Vfb shift is performed by measuring Vstress when forming a MOS device by using a high dielectric film in a state where hydrogen remains while forming a gate insulating film, forming a gate electrode thereon, and then performing a necessary process. As a result, as shown in FIG. 3, it was confirmed that (DELTA) Vfb became 1.0V.

이 때문에, 본 실시형태에서는 상술한 바와 같이 수소 함유 성분이 잔류하기 쉬운 조건에서 고유전체막을 성막한 후, 단계 2로서 자외선 조사 공정을 실행한다. 이 단계 2의 자외선 조사 공정은 저압 조건의 산소 함유 분위기에서 실행한다. 이렇게 저압에 있어서의 UV 조사 처리에 의해, 고유전체막 내의 수소를 충분히 탈리할 수 있다. 즉, 저압에서의 자외선 조사에 의해 수소 함유 성분 중에 있어서의 수소와 다른 원소의 결합을 절단할 수 있고, 이것에 의해 수소가 이탈하는 것으로 추측된다. 여기서 저압이라는 것은 수소가 탈리 가능한 정도로 충분히 낮은 압력 을 말한다. 즉, 고유전체막 내의 수소는 자외선 조사시의 압력이 낮은 쪽이 탈리하기 쉽고, 이 때문에 저압 분위기에서 자외선 조사를 실행한다. 구체적인 압력으로서는 665㎩ 이하가 적합하다. 또한, 자외선 조사시의 분위기는 산소 함유 분위기, 예를 들면 O2 가스 분위기로 한다. 이것은 O2 가스에 자외선을 조사해서 O2 라디칼을 발생시키고, 막 내의 수소 탈리와 동시에 탄소의 탈리도 보조하기 위해서이다. 또한, 이 때의 온도는 500℃ 이하가 바람직하다. 이것은 기판상에 형성된 디바이스로의 써멀 버짓(thermal budget)을 저감하기 위해서이다. 바람직하게는 450℃ 이하, 보다 바람직하게는 400℃ 이하이다. 조사하는 자외선으로서는 파장이 150㎚ 내지 250㎚ 정도의 것이 바람직하고, 예를 들면 자외선 램프에 의해 조사할 수 있다. 자외선 조사에는 Xe 램프(파장 0.172㎛)를 적합하게 이용할 수 있다. 자외선의 조사 시간은 몇십 초 정도부터 1분 정도가 적합하다.For this reason, in the present embodiment, as described above, after forming the high dielectric film under conditions where hydrogen-containing components are likely to remain, an ultraviolet irradiation step is performed as step 2. The ultraviolet irradiation process of this step 2 is performed in the oxygen containing atmosphere of low pressure conditions. Thus, by the UV irradiation treatment at low pressure, hydrogen in the high dielectric film can be sufficiently desorbed. That is, the bond of hydrogen and another element in a hydrogen containing component can be cut | disconnected by the ultraviolet irradiation at low pressure, and it is estimated that hydrogen escapes by this. Here, low pressure means a pressure low enough to desorb hydrogen. That is, the lower the pressure at the time of ultraviolet irradiation of hydrogen in the high-k dielectric film is likely to detach, and therefore, the ultraviolet irradiation is performed in a low pressure atmosphere. As a specific pressure, 665 Pa or less is suitable. The atmosphere at the time of ultraviolet ray irradiation is in an oxygen-containing atmosphere, for example, O 2 gas atmosphere. This is for irradiating O 2 gas with ultraviolet rays to generate O 2 radicals, and assisting the desorption of carbon simultaneously with hydrogen desorption in the film. Moreover, 500 degrees C or less of the temperature at this time is preferable. This is to reduce the thermal budget to the device formed on the substrate. Preferably it is 450 degrees C or less, More preferably, it is 400 degrees C or less. As ultraviolet-ray to irradiate, the thing whose wavelength is about 150 nm-250 nm is preferable, For example, it can irradiate with an ultraviolet lamp. Xe lamp (wavelength 0.172 micrometers) can be used suitably for ultraviolet irradiation. Irradiation time of ultraviolet-ray is suitable for about ten seconds to one minute.

이상과 같이 해서 형성된 고유전체막은 잔류 수소의 악영향을 적게 할 수 있으므로, MOS형 반도체 장치의 게이트 절연막이나 DRAM 등에 이용되는 커패시터막으로서 적합하다.The high-k dielectric film formed as described above can reduce the adverse effect of residual hydrogen, and thus is suitable as a capacitor film used for a gate insulating film, a DRAM, or the like of a MOS semiconductor device.

다음에, 본 실시형태에 따른 고유전체막의 형성 방법을 실시하기 위한 장치에 대해서 설명한다. 도 4는 본 실시형태에 따른 고유전체막의 형성 방법을 실시하기 위한 고유전체막 형성 장치를 도시하는 모식도이다.Next, an apparatus for carrying out the method of forming the high dielectric film according to the present embodiment will be described. 4 is a schematic diagram showing a high-k dielectric film forming apparatus for carrying out the method for forming a high-k dielectric film according to the present embodiment.

도 4에 도시하는 바와 같이, 이 고유전체막 형성 장치(10)는 CVD 또는 ALD에 의해 고유전체막을 성막하는 성막 유닛(11)과, 성막 유닛(11)에 의해 성막된 고유 전체막에 자외선(UV)을 조사하는 자외선 조사 유닛(12)과, 이들의 사이에서 진공을 깨지 않고 기판(1), 예를 들면 반도체 웨이퍼를 반송 가능한 반송실(13)을 갖고 있다. 반송실(13)에는 기판(1)을 반송하는 반송 기구(14)가 마련되어 있다. 또한, 반송실(13)에는 도시하지 않는 로드록실이 접속되어 있고, 그 로드록실의 외측에 복수의 기판을 탑재한 카세트가 배치되어 있다. 그리고, 카세트로부터 로드록실을 거쳐서 반송실로 기판(1)이 반송되어서 소정의 처리가 실행된다.As shown in Fig. 4, the high-k dielectric film forming apparatus 10 includes a film forming unit 11 for forming a high-k dielectric film by CVD or ALD, and an ultraviolet-ray in the high-k dielectric film formed by the film-forming unit 11. The ultraviolet irradiation unit 12 which irradiates UV), and the conveyance chamber 13 which can convey the board | substrate 1, for example, a semiconductor wafer, are not broken between them. The conveyance mechanism 14 which conveys the board | substrate 1 is provided in the conveyance chamber 13. In addition, a load lock chamber (not shown) is connected to the transfer chamber 13, and a cassette on which a plurality of substrates are mounted is disposed outside the load lock chamber. And the board | substrate 1 is conveyed from a cassette to a conveyance chamber through a load lock chamber, and a predetermined process is performed.

이 고유전체막 형성 장치(10)는 각 구성부, 즉 성막 유닛(11), 자외선 조사 유닛(12), 반송실(13) 및 반송 기구(14)를 제어하는 마이크로 프로세서(컴퓨터)로 이루어지는 프로세스 컨트롤러(15)를 갖고 있으며, 각 구성부가 이 프로세스 컨트롤러(15)에 접속되어서 제어되는 구성으로 되어 있다. 또한, 프로세스 컨트롤러(15)에는 공정 관리자가 고유전체막 형성 장치(10)를 관리하기 위해 명령의 입력 조작 등을 실행하는 키보드나, 플라즈마 처리 장치의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 사용자 인터페이스(16)가 접속되어 있다.The high-k dielectric film forming apparatus 10 is a process consisting of microprocessors (computers) that control each component, that is, the film forming unit 11, the ultraviolet irradiation unit 12, the transfer chamber 13, and the transfer mechanism 14. It has the controller 15, and each structure part is connected to this process controller 15, and it is set as the structure controlled. In addition, the process controller 15 includes a keyboard that a process manager executes a command input operation or the like for managing the high dielectric film forming apparatus 10, or a display that visualizes and displays the operation status of the plasma processing apparatus. The interface 16 is connected.

또한, 프로세스 컨트롤러(15)에는 고유전체막 형성 장치(10)에서 실행되는 각종 처리를 프로세스 컨트롤러(15)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 고유전체막 형성 장치(10)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 레시피(recipe)가 저장된 기억부(17)가 접속되어 있다. 레시피는 기억부(17) 내의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크나 반도체 메모리여도 좋고, CDROM, DVD, 플래쉬 메모리 등의 가반성(可搬性)의 것이어도 좋다. 또한, 다른 장치로부터, 예컨대 전용 회선을 거쳐서 레시피를 적당히 전송시 키도록 해도 좋다.In addition, the process controller 15 includes a control program for realizing various processes executed in the high dielectric film forming apparatus 10 under the control of the process controller 15, or according to processing conditions. A program for executing a process, i.e., a storage unit 17, in which a recipe is stored, is connected to each component unit. The recipe is stored in the storage medium in the storage unit 17. The storage medium may be a hard disk or a semiconductor memory, or may be portable such as a CDROM, a DVD, a flash memory, or the like. In addition, the recipe may be appropriately transmitted from another device via, for example, a dedicated line.

그리고, 필요에 따라 사용자 인터페이스(16)로부터의 지시 등에 의해 임의의 레시피를 기억부(17)로부터 호출해서 프로세스 컨트롤러(15)에 실행시킴으로써, 프로세스 컨트롤러(15)의 제어하에서 고유전체막 형성 장치(10)에서의 원하는 처리가 실행된다.Then, if necessary, an arbitrary recipe is called from the storage unit 17 and executed by the process controller 15 by an instruction from the user interface 16 or the like, so that the high dielectric film forming apparatus under the control of the process controller 15 ( The desired processing in 10) is executed.

상기 성막 유닛(11)은 이 종류의 성막 처리에 이용되는 통상의 구조를 갖는 것이고, 예컨대 일본 특허 공개 제 2004-079753 호 공보에 개시된 것을 이용할 수 있다.The film forming unit 11 has a conventional structure used for this kind of film forming process, and for example, those disclosed in Japanese Patent Laid-Open No. 2004-079753 can be used.

또한, 상기 자외선 조사 유닛(12)으로서는, 도 5a 및 도 5b에 도시한 바와 같은 구조의 것을 이용할 수 있다. 도 5a는 자외선 조사 유닛(12)을 도시하는 수직 단면도이며, 도 5b는 수평 단면도이다.As the ultraviolet irradiation unit 12, one having a structure as shown in Figs. 5A and 5B can be used. FIG. 5A is a vertical sectional view showing the ultraviolet irradiation unit 12, and FIG. 5B is a horizontal sectional view.

이 자외선 조사 유닛(12)은 직사각형 형상을 하는 챔버(21)를 갖고, 챔버(21) 내의 하방에는 기판(1)을 탑재하는 스테이지(22)가 마련되어 있다. 스테이지(22)는 그 하부가 챔버(21)의 바닥벽보다도 하방으로 돌출해 있고, 그 하방에 마련된 모터(23)에 의해 회전 가능하게 되어 있다. 챔버(21)의 바닥벽과 스테이지(22) 사이에는 유체 시일 등의 시일 기구(24)가 마련되어 있으며, 스테이지(22)가 회전할 때에도 챔버(21) 내가 기밀하게 보지 가능하게 되어 있다. 스테이지(22) 내에는 히터(25)가 매설되어 있고, 이 히터(25)에 도시하지 않는 전원으로부터 급전함으로써, 스테이지(22)를 거쳐서 기판(1)을 소정의 온도로 가열 가능하게 하고 있다.This ultraviolet irradiation unit 12 has a chamber 21 which has a rectangular shape, and below the chamber 21, the stage 22 which mounts the board | substrate 1 is provided. The lower part of the stage 22 protrudes below the bottom wall of the chamber 21, and is rotatable by the motor 23 provided below the stage 22. A sealing mechanism 24 such as a fluid seal is provided between the bottom wall of the chamber 21 and the stage 22, and the chamber 21 can be held in a gastight manner even when the stage 22 rotates. A heater 25 is embedded in the stage 22, and the substrate 1 is heated to a predetermined temperature via the stage 22 by feeding power from a power source not shown in the heater 25.

챔버(21)의 천장벽의 스테이지(22)와 대향하는 위치에는 자외선 조사 헤드(26)가 마련되어 있다. 이 자외선 조사 헤드(26)는 챔버(21)의 폭방향으로 연장하는 2개의 자외선 램프(27)가 마련되어 있다. 챔버(21)의 천장벽에는 이 자외선 램프(27)에 대응하도록 창(28)이 마련되어 있고, 자외선 램프(27)로부터 창(28)을 거쳐서 기판(1)에 자외선이 조사되도록 되어 있다.The ultraviolet irradiation head 26 is provided in the position which opposes the stage 22 of the ceiling wall of the chamber 21. The ultraviolet irradiation head 26 is provided with two ultraviolet lamps 27 extending in the width direction of the chamber 21. A window 28 is provided on the ceiling wall of the chamber 21 so as to correspond to the ultraviolet lamp 27, and ultraviolet rays are irradiated onto the substrate 1 from the ultraviolet lamp 27 via the window 28.

챔버(21)의 측벽의 대향하는 짧은 변의 한 쪽에는 3개의 가스 도입 부재(29)가 마련되어 있다. 이들 가스 도입 부재(29)에는 산소 함유 가스, 예를 들면 O2 가스를 공급하는 가스 공급계(30)가 접속되어 있고, 이 가스 공급계(30)로부터 가스 도입 부재(29)를 거쳐서 챔버(21) 내에 산소 함유 가스가 공급되고, 챔버(21) 내가 산소 함유 분위기로 보지되도록 되어 있다.Three gas introduction members 29 are provided on one side of the opposite short side of the side wall of the chamber 21. These gas introduction members 29 are connected to a gas supply system 30 for supplying an oxygen-containing gas, for example, O 2 gas, from the gas supply system 30 via a gas introduction member 29 to a chamber ( The oxygen-containing gas is supplied into the 21 and the chamber 21 is held in an oxygen-containing atmosphere.

챔버(21)의 측벽의 짧은 변의 다른 쪽에는 3개의 가스 도입 부재(29)의 배치 위치에 대응하도록 편평 형상의 배기구(31)가 마련되어 있다. 배기구(31)에는 배기관(32)이 접속되어 있고, 이 배기관(32)에는 진공 펌프 등을 갖는 도시하지 않는 배기 장치가 접속되어 있고, 이로써 챔버(21) 내를 진공 배기 가능하게 되어 있다.The other side of the short side of the side wall of the chamber 21 is provided with the flat exhaust port 31 corresponding to the arrangement position of the three gas introduction members 29. As shown in FIG. An exhaust pipe 32 is connected to the exhaust port 31, and an exhaust device (not shown) having a vacuum pump or the like is connected to the exhaust pipe 32, whereby the inside of the chamber 21 can be evacuated.

챔버(21)의 측벽의 긴 변에는 기판(1)을 반입출하기 위한 반입출구(33)가 마련되어 있으며, 이 반입출구(33)는 게이트 밸브(34)로 개폐 가능하게 되어 있다.A carry-in / out port 33 for carrying in and out of the substrate 1 is provided on the long side of the side wall of the chamber 21, and the carry-in / out port 33 can be opened and closed by the gate valve 34.

이와 같이 구성된 고유전체막 형성 장치(10)에 의해, 상술한 순서로 처리하기 위해서는, 우선 도시하지 않는 카세트로부터 로드록실을 거쳐서 진공에 보지된 반송실(13)에 기판(1)이 반송된다. 이어서, 반송실(13) 내의 반송 기구(14)에 의 해 기판(1)이 성막 유닛(11)에 반입되고, 거기에서 유기 금속 원료를 이용한 ALD 또는 CVD에 의해 350℃ 이하의 온도에서 기판(1)상에 고유전체막이 성막된다.In the high dielectric film forming apparatus 10 configured as described above, in order to process in the above-described order, first, the substrate 1 is conveyed from the cassette (not shown) to the transfer chamber 13 held in vacuum via the load lock chamber. Subsequently, the substrate 1 is carried into the film forming unit 11 by the transfer mechanism 14 in the transfer chamber 13, and the substrate 1 (ALD or CVD using an organic metal raw material therein) is used at a temperature of 350 ° C. or lower. A high dielectric film is formed on 1).

성막 후, 기판(1)은 반송 기구(14)에 의해 성막 유닛(11)으로부터 자외선 조사 유닛(12)으로 반송된다. 구체적으로는, 게이트 밸브(34)를 개방해서 반송 기구(14)에 의해 반입출구(33)로부터 기판(1)을 챔버(21) 내에 반입하고, 스테이지(22) 상에 탑재한다. 이 상태에서, 챔버(21) 내를 상술한 바와 같이 저압 조건의 산소 분위기로 해서 모터(23)로 스테이지(22)를 회전하면서 히터(25)로 기판(1)을 가열한다. 그리고, 자외선 조사 헤드(26)의 자외선 램프(27)로부터 스테이지(22)와 함께 회전하고 있는 기판(1)상에 자외선을 조사한다. 이로써, 고유전체막 내의 수소의 탈리가 실행된다.After the film formation, the substrate 1 is conveyed from the film formation unit 11 to the ultraviolet irradiation unit 12 by the transport mechanism 14. Specifically, the gate valve 34 is opened, the board | substrate 1 is carried in in the chamber 21 from the carrying in / out port 33 by the conveyance mechanism 14, and it mounts on the stage 22. As shown in FIG. In this state, the inside of the chamber 21 is heated to the board | substrate 1 with the heater 25, rotating the stage 22 with the motor 23 in the low pressure oxygen atmosphere as mentioned above. Then, ultraviolet rays are irradiated onto the substrate 1 that is rotating together with the stage 22 from the ultraviolet lamp 27 of the ultraviolet irradiation head 26. Thereby, desorption of hydrogen in the high dielectric film is performed.

이 때의 바람직한 조건은 상술한 바와 같으며, 고유전체막을 성막한 후 이렇게 자외선 조사를 실행함으로써, 고유전체막 내의 수소의 탈리를 실행할 수 있고, 수소 함유 성분이 막 내에 잔류하는 것에 의한 디바이스의 신뢰성 저하를 방지할 수 있다.Preferred conditions at this time are as described above, and by performing ultraviolet irradiation after forming the high dielectric film, the desorption of hydrogen in the high dielectric film can be performed, and the reliability of the device due to the hydrogen-containing component remaining in the film. The fall can be prevented.

다음에, 본 실시형태의 방법을 적용한 MOS형 반도체 장치의 제조예에 대해서 도 6a 내지 도 6e의 공정 단면도를 참조해서 설명한다.Next, a manufacturing example of the MOS semiconductor device to which the method of the present embodiment is applied will be described with reference to the process sectional views of FIGS. 6A to 6E.

우선, 소자 분리 영역(101)을 형성한 Si 기판(100)을 준비한다(도 6a). 이 때, Si 기판(100)의 주면(主面)의 트랜지스터 형성부(102)는 Si, SiON, SiO 등으로 형성되어 있다.First, the Si substrate 100 in which the element isolation region 101 is formed is prepared (FIG. 6A). At this time, the transistor formation part 102 of the main surface of the Si substrate 100 is formed of Si, SiON, SiO, or the like.

이어서, 상술한 바와 같이 유기 금속 원료를 이용하여 350℃ 이하의 온도에 서 ALD 또는 CVD에 의해 고유전체막으로 이루어지는 게이트 절연막(103)을 형성한다(도 6b). 계속해서, 이렇게 형성한 고유전체막으로 이루어지는 게이트 절연막(103)에 저압의 산소 함유 분위기에서 자외선을 조사해서 게이트 절연막(103) 내의 수소를 탈리시킨다(도 6c).Subsequently, as described above, a gate insulating film 103 made of a high dielectric film is formed by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material (FIG. 6B). Subsequently, the gate insulating film 103 formed of the high dielectric film thus formed is irradiated with ultraviolet light in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the gate insulating film 103 (FIG. 6C).

그 후, 통상적인 방법에 따라서 게이트 절연막(103) 상에 게이트 전극(104)을 형성한다(도 6d). 즉, 폴리실리콘 등의 게이트 전극 재료의 막을, 예컨대 CVD에 의해 전체면에 형성한 후, 포토리소그래피(photolithography) 기술에 의해 패턴화한 레지스트막을 마스크로서 플라즈마 에칭을 실행하는 것에 의해 게이트 전극(104)을 형성한다. 그 후, 측벽 산화막(105)의 형성 및 이온 주입 등에 의한 불순물 확산 영역(106)을 형성해서(도 6e), MOS형 반도체 장치를 얻는다.Thereafter, the gate electrode 104 is formed on the gate insulating film 103 according to a conventional method (Fig. 6D). That is, the gate electrode 104 is formed by forming a film of a gate electrode material such as polysilicon over the entire surface by, for example, CVD and then performing plasma etching as a mask on a resist film patterned by photolithography. To form. Thereafter, the impurity diffusion region 106 is formed by the formation of the sidewall oxide film 105 and ion implantation (FIG. 6E), thereby obtaining a MOS semiconductor device.

다음에, 본 발명의 효과를 확인한 실험 결과에 대해서 설명한다.Next, the experimental result which confirmed the effect of this invention is demonstrated.

우선, Si 기판상에 고유전체막으로서 HfO막을 10㎚의 두께로 성막했다. 이 때, 원료로서 TEMAH, 산화제로서 H2O를 이용하고, ALD에 의해 성막했다. 성막시의 온도는 250℃, 압력은 60㎩로 했다. 이어서, 이렇게 성막한 HfO막에 자외선 조사를 실행했다. 이 때, 챔버 내에 온도 : 450℃, 압력 : 2.7㎩의 O2 가스 분위기를 형성해서 Xe 램프(파장 172㎚)로 1분 조사했다. 이렇게 하여 형성한 HfO막에 대해서 SIMS에 의해 잔류 수소 농도를 측정했다. 비교를 위해, 이 처리를 실행하지 않은 HfO막에 관해서도 동일하게 잔류 수소 농도를 측정했다.First, a HfO film was formed to a thickness of 10 nm as a high dielectric film on a Si substrate. At this time, H 2 O was used as TEMAH, an oxidizing agent as raw materials, and the film formation by ALD. The temperature at the time of film formation was 250 degreeC, and the pressure was 60 Pa. Subsequently, ultraviolet rays were irradiated to the HfO film thus formed. At this time, an O 2 gas atmosphere having a temperature of 450 ° C. and a pressure of 2.7 kPa was formed in the chamber and irradiated with an Xe lamp (wavelength 172 nm) for 1 minute. Residual hydrogen concentration was measured by SIMS about the HfO film thus formed. For comparison, the residual hydrogen concentration was similarly measured for the HfO film not subjected to this treatment.

도 7a 및 도 7b에 그 결과를 도시한다. 도 7a는 자외선 조사가 있는 경우이 며, 도 7b는 자외선 조사가 없는 경우이다. 이 도면에 도시하는 바와 같이, 자외선 조사가 없는 경우에는 수소 농도가 2.5×1021atm/cm3이었던 것이 자외선 조사를 실행함으로써 7.0×1020atm/cm3로 백그라운드 레벨로 저하하는 것이 확인되었다. 또한, 자외선을 조사하지 않고 400℃의 O3 분위기에서 처리한 HfO막에 관해서도 동일하게 수소 농도를 측정한 결과, 자외선 조사가 없는 경우와 거의 동일한 수소 농도이며, 이것으로부터 수소 탈리 효과는 자외선 조사에 의한 것이 확인되었다.The results are shown in Figures 7A and 7B. FIG. 7A illustrates a case where there is ultraviolet irradiation, and FIG. 7B illustrates a case where there is no ultraviolet irradiation. As shown in this figure, when there was no ultraviolet irradiation, it was confirmed that the hydrogen concentration was 2.5x10 21 atm / cm <3> and dropped to background level at 7.0x10 <20> atm / cm <3> by performing ultraviolet irradiation. Also, the hydrogen concentration was measured in the same manner as for the HfO film treated in O 3 atmosphere at 400 ° C. without irradiating ultraviolet rays, and the hydrogen concentration was almost the same as in the absence of ultraviolet irradiation. Was confirmed.

또한, 본 발명은 상기 실시형태에 한정되는 일 없이 여러가지로 변형 가능하다. 예를 들면, 상기 실시형태에서는 자외선 조사를 위해 자외선 조사 램프를 이용했지만, 이것에 한정되는 것은 아니다. 또한, 본 실시형태의 방법에 의해 형성된 고유전체막을 게이트 절연막에 적용한 예에 대해서 도시했지만, 커패시터막으로서도 적합하게 이용할 수 있고, 다른 용도에의 적용도 가능하다. 또한, 상기 실시형태에서는 본 발명을 반도체 장치에 적용하는 경우에 대해서 도시했지만, 이것에 한정되는 것은 아니며, 예를 들면 액정 표시 장치(LCD)용 기판에 대표되는 플랫 패널 디스플레이(FPD)용 기판 등의 다른 기판에 고유전체막을 형성하는 경우에도 적용 가능하다.In addition, this invention can be variously modified without being limited to the said embodiment. For example, although the ultraviolet irradiation lamp was used for ultraviolet irradiation in the said embodiment, it is not limited to this. Moreover, although the example which applied the high dielectric film formed by the method of this embodiment to the gate insulating film was shown, it can be used suitably also as a capacitor film, and it can also apply to other uses. In addition, although the said embodiment showed the case where this invention is applied to a semiconductor device, it is not limited to this, For example, the board | substrate for flat panel displays (FPD) etc. represented by the board | substrate for liquid crystal display devices (LCD), etc. It is also applicable to the case where a high dielectric film is formed on other substrates.

본 발명은 MOS형 반도체 장치의 게이트 절연막이나 DRAM의 커패시터막의 형성에 적합하다.The present invention is suitable for forming a gate insulating film of a MOS semiconductor device and a capacitor film of a DRAM.

Claims (25)

기판상에, 유기 금속 원료, 및 산화제로서 H2O를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 Hf 또는 Zr의 산화물 또는 규산염인 고유전체막을 성막하는 단계와, Forming a high dielectric film of an oxide or silicate of Hf or Zr by ALD or CVD at a temperature of 350 ° C. or below using an organic metal raw material and H 2 O as an oxidizing agent, 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는Irradiating ultraviolet light to the high dielectric film in an oxygen-containing atmosphere to desorb hydrogen in the film. 고유전체막의 형성 방법.Method of forming a high dielectric film. 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 유기 금속 원료는 아미드계 금속 화합물인The organometallic raw material is an amide metal compound 고유전체막의 형성 방법.Method of forming a high dielectric film. 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 자외선의 조사는 0.665㎩ 내지 665㎩의 압력에서 실행되는Irradiation of the ultraviolet rays is carried out at a pressure of 0.665 kPa to 665 kPa 고유전체막의 형성 방법.Method of forming a high dielectric film. 제 1 항에 있어서,The method of claim 1, 상기 자외선의 조사는 기판을 500℃ 이하의 온도로 가열한 상태에서 실행되는Irradiation of the ultraviolet rays is carried out in a state in which the substrate is heated to a temperature of 500 ° C. or lower. 고유전체막의 형성 방법.Method of forming a high dielectric film. 제 1 항에 있어서,The method of claim 1, 상기 자외선의 조사는 O2 가스 분위기에서 실행되는Irradiation of the ultraviolet rays is carried out in an O 2 gas atmosphere 고유전체막의 형성 방법.Method of forming a high dielectric film. 제 1 항에 있어서,The method of claim 1, 상기 자외선의 조사는 파장이 172㎚의 자외선을 이용하여 실행하는Irradiation of the ultraviolet rays is carried out using ultraviolet rays having a wavelength of 172 nm. 고유전체막의 형성 방법.Method of forming a high dielectric film. Hf 또는 Zr의 산화물 또는 규산염인 고유전체막을 게이트 절연막 또는 캐퍼시터막으로서 갖는 반도체 장치의 제조 방법에 있어서,In the manufacturing method of a semiconductor device having a high dielectric film which is an oxide or silicate of Hf or Zr as a gate insulating film or a capacitor film, 상기 고유전체막은,The high dielectric film, 기판상에, 유기 금속 원료, 및 산화제로서 H2O를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 상기 고유전체막을 성막하는 단계와,Depositing the high dielectric film on the substrate by ALD or CVD at an temperature of 350 ° C. or lower using an organic metal raw material and H 2 O as an oxidizing agent, 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는 방법에 의해 형성되는Irradiating ultraviolet rays to the high dielectric film in an oxygen-containing atmosphere to desorb hydrogen in the film. 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 삭제delete 제 9 항에 있어서,The method of claim 9, 상기 유기 금속 원료는 아미드계 금속 화합물인The organometallic raw material is an amide metal compound 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 삭제delete 제 9 항에 있어서,The method of claim 9, 상기 자외선의 조사는 0.665㎩ 내지 665㎩의 압력에서 실행되는Irradiation of the ultraviolet rays is carried out at a pressure of 0.665 kPa to 665 kPa 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 9 항에 있어서,The method of claim 9, 상기 자외선의 조사는 기판을 500℃ 이하의 온도로 가열한 상태에서 실행되는Irradiation of the ultraviolet rays is carried out in a state where the substrate is heated to a temperature of 500 ° C. or lower. 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 9 항에 있어서,The method of claim 9, 상기 자외선의 조사는 O2 가스 분위기에서 실행되는Irradiation of the ultraviolet rays is carried out in an O 2 gas atmosphere 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 9 항에 있어서,The method of claim 9, 상기 자외선의 조사는 파장이 172㎚의 자외선을 이용하여 실행하는Irradiation of the ultraviolet rays is carried out using ultraviolet rays having a wavelength of 172 nm. 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 반도체 기판상에, 유기 금속 원료, 및 산화제로서 H2O를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 게이트 절연막으로서 Hf 또는 Zr의 산화물 또는 규산염인 고유전체막을 성막하는 단계와, Forming a high dielectric film of an oxide or silicate of Hf or Zr as a gate insulating film by ALD or CVD at a temperature of 350 ° C. or below using an organic metal raw material and H 2 O as an oxidizing agent on a semiconductor substrate; 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계와, Irradiating ultraviolet light to the high dielectric film in an oxygen-containing atmosphere to desorb hydrogen in the film; 상기 고유전체막 상에 게이트 전극을 형성하는 단계를 포함하는Forming a gate electrode on the high dielectric film; 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 삭제delete 제 17 항에 있어서,The method of claim 17, 상기 유기 금속 원료는 아미드계 금속 화합물인The organometallic raw material is an amide metal compound 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 삭제delete 제 17 항에 있어서,The method of claim 17, 상기 자외선의 조사는 0.665㎩ 내지 665㎩의 압력에서 실행되는Irradiation of the ultraviolet rays is carried out at a pressure of 0.665 kPa to 665 kPa 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 17 항에 있어서,The method of claim 17, 상기 자외선의 조사는 기판을 500℃ 이하의 온도로 가열한 상태에서 실행되는Irradiation of the ultraviolet rays is carried out in a state in which the substrate is heated to a temperature of 500 ° C. or lower. 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 17 항에 있어서,The method of claim 17, 상기 자외선의 조사는 O2 가스 분위기에서 실행되는Irradiation of the ultraviolet rays is carried out in an O 2 gas atmosphere 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 제 17 항에 있어서,The method of claim 17, 상기 자외선의 조사는 파장이 172㎚의 자외선을 이용하여 실행하는Irradiation of the ultraviolet rays is carried out using ultraviolet rays having a wavelength of 172 nm. 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device. 컴퓨터 상에서 동작하고, 고유전체막의 형성 장치를 제어하는 프로그램이 기억된 기억 매체에 있어서,In a storage medium storing a program operating on a computer and controlling a device for forming a high dielectric film, 상기 프로그램은, 실행시에,The program, at run time, 기판상에, 유기 금속 원료, 및 산화제로서 H2O를 이용하여 350℃ 이하의 온도에서 ALD 또는 CVD에 의해 Hf 또는 Zr의 산화물 또는 규산염인 고유전체막을 성막하는 단계와, Forming a high dielectric film of an oxide or silicate of Hf or Zr by ALD or CVD at a temperature of 350 ° C. or below using an organic metal raw material and H 2 O as an oxidizing agent, 산소 함유 분위기에서 상기 고유전체막에 자외선을 조사해서 막 내의 수소를 탈리시키는 단계를 포함하는 고유전체막의 형성 방법이 실행되도록, 컴퓨터에 상기 고유전체막의 형성 장치를 제어시키는Controlling a device for forming the high dielectric film in a computer such that a method of forming a high dielectric film comprising irradiating ultraviolet light to the high dielectric film in an oxygen containing atmosphere to desorb hydrogen in the film is performed. 기억 매체.Storage media.
KR1020097008423A 2006-10-27 2007-10-22 Method for forming high dielectric film and method for manufacturing semiconductor device KR101078581B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006293304A JP2008112762A (en) 2006-10-27 2006-10-27 Method of forming high dielectric film and method of manufacturing semiconductor device
JPJP-P-2006-293304 2006-10-27

Publications (2)

Publication Number Publication Date
KR20090074218A KR20090074218A (en) 2009-07-06
KR101078581B1 true KR101078581B1 (en) 2011-11-01

Family

ID=39324505

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097008423A KR101078581B1 (en) 2006-10-27 2007-10-22 Method for forming high dielectric film and method for manufacturing semiconductor device

Country Status (5)

Country Link
JP (1) JP2008112762A (en)
KR (1) KR101078581B1 (en)
CN (1) CN101529565A (en)
TW (1) TW200832545A (en)
WO (1) WO2008050708A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP5447632B2 (en) * 2012-11-29 2014-03-19 東京エレクトロン株式会社 Substrate processing equipment
KR102443695B1 (en) 2015-08-25 2022-09-15 삼성전자주식회사 Method of manufacturing semiconductor device
TWI785043B (en) * 2017-09-12 2022-12-01 日商松下知識產權經營股份有限公司 Capacitive element, image sensor, manufacturing method of capacitive element, and manufacturing method of image sensor
CN109494302B (en) * 2017-09-12 2024-04-05 松下知识产权经营株式会社 Capacitive element, image sensor, and method for manufacturing capacitive element

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100421219B1 (en) 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
KR100520633B1 (en) 2001-06-21 2005-10-11 마츠시타 덴끼 산교 가부시키가이샤 Semiconductor device and its manufacturing method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3228245B2 (en) * 1998-11-13 2001-11-12 日本電気株式会社 Method for producing tantalum oxide film
JP3742906B2 (en) * 2003-05-08 2006-02-08 シャープ株式会社 Manufacturing method of semiconductor device
KR100728962B1 (en) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 Capacitor of semiconductor device with zrconium oxide and method of manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100421219B1 (en) 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
KR100520633B1 (en) 2001-06-21 2005-10-11 마츠시타 덴끼 산교 가부시키가이샤 Semiconductor device and its manufacturing method

Also Published As

Publication number Publication date
JP2008112762A (en) 2008-05-15
KR20090074218A (en) 2009-07-06
TW200832545A (en) 2008-08-01
WO2008050708A1 (en) 2008-05-02
CN101529565A (en) 2009-09-09

Similar Documents

Publication Publication Date Title
US10910217B2 (en) Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus
JP4659856B2 (en) Method for forming fine pattern
US7507676B2 (en) Film formation method and apparatus for semiconductor process
WO2013065771A1 (en) Production method for semiconductor device, production device for semiconductor device, and storage medium
JP5661262B2 (en) Film forming method and film forming apparatus
WO2013035561A1 (en) Semiconductor device manufacturing method and substrate treatment system
US20100227276A1 (en) Method of manufacturing semiconductor device
US20090233454A1 (en) Film formation apparatus for semiconductor process and method for using same
JP6124477B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP2005123275A (en) Method and equipment forming film
KR20130033336A (en) Method of manufacturing semiconductor device and substrate processing apparatus
US10600642B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101078581B1 (en) Method for forming high dielectric film and method for manufacturing semiconductor device
JP2017005016A (en) Semiconductor device manufacturing method, substrate processing apparatus and program
JP2015069987A (en) Substrate processing device, method of manufacturing semiconductor device, and substrate processing method
US20210193486A1 (en) Cleaning method, method of manufacturing semiconductor device, and substrate processing apparatus
KR102419555B1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and program
US10804100B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20110281443A1 (en) Film formation method and film formation apparatus
JP6146874B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP5678252B2 (en) Method for forming Sr-Ti-O-based film
KR101232970B1 (en) Heat processing method and apparatus for semiconductor process, and computer readable medium
JP6823710B2 (en) Semiconductor device manufacturing methods, cleaning methods, substrate processing devices and programs
JP2009132961A (en) Film-forming method, film-forming apparatus and storage medium
JP2011066187A (en) Film formation method and processing system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee