JP2008112762A - Method of forming high dielectric film and method of manufacturing semiconductor device - Google Patents

Method of forming high dielectric film and method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2008112762A
JP2008112762A JP2006293304A JP2006293304A JP2008112762A JP 2008112762 A JP2008112762 A JP 2008112762A JP 2006293304 A JP2006293304 A JP 2006293304A JP 2006293304 A JP2006293304 A JP 2006293304A JP 2008112762 A JP2008112762 A JP 2008112762A
Authority
JP
Japan
Prior art keywords
high dielectric
dielectric film
forming
film
irradiating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006293304A
Other languages
Japanese (ja)
Inventor
Hiroaki Uchida
博章 内田
Takeshi Takahashi
高橋  毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006293304A priority Critical patent/JP2008112762A/en
Priority to PCT/JP2007/070527 priority patent/WO2008050708A1/en
Priority to KR1020097008423A priority patent/KR101078581B1/en
Priority to CNA200780040077XA priority patent/CN101529565A/en
Priority to TW096140440A priority patent/TW200832545A/en
Publication of JP2008112762A publication Critical patent/JP2008112762A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/615Polycyclic condensed aromatic hydrocarbons, e.g. anthracene
    • H10K85/621Aromatic anhydride or imide compounds, e.g. perylene tetra-carboxylic dianhydride or perylene tetracarboxylic di-imide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of forming a high dielectric film in which a hydrogen-containing component hardly remains in the film even in a low-temperature film deposition condition using an organic metal material. <P>SOLUTION: The method has a step of forming a high dielectric film using an organic metal material on a substrate 1 at ≤350°C using an ALD or CVD method, and a step of irradiating the high dielectric film with an ultraviolet ray in a low-pressure oxygen-containing atmosphere to desorb the hydrogen in the film. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体デバイスにおけるゲート絶縁膜やキャパシタ膜に好適な高誘電体膜の形成方法およびそれを用いた半導体装置の製造方法に関する。   The present invention relates to a method for forming a high dielectric film suitable for a gate insulating film or a capacitor film in a semiconductor device, and a method for manufacturing a semiconductor device using the same.

近時、LSIの高集積化、高速化の要請からLSIを構成する半導体素子のデザインルールが益々微細化されており、それにともなってCMOSデバイスにおいては、ゲート絶縁膜がSiO容量換算膜厚のEOT(Equivalent Oxide Thickness)で1.5nm程度以下の値が要求されている。このような薄い絶縁膜をゲートリーク電流を増加させずに実現する材料として高誘電率材料、いわゆるHigh−k材料が注目されている。 In recent years, design rules for semiconductor elements constituting an LSI have been increasingly miniaturized due to demands for higher integration and higher speed of the LSI, and accordingly, in a CMOS device, the gate insulating film has a SiO 2 capacitance equivalent film thickness. EOT (Equivalent Oxide Thickness) is required to be about 1.5 nm or less. As a material for realizing such a thin insulating film without increasing the gate leakage current, a high dielectric constant material, so-called High-k material, has attracted attention.

高誘電率材料をゲート絶縁膜として用いる場合は、シリコン基板との相互拡散がなく、熱力学的に安定である必要があり、その観点からハフニウム、ジルコニウムの酸化物またはその金属シリケートが有望視されている。   When a high dielectric constant material is used as a gate insulating film, it must be thermodynamically stable without interdiffusion with the silicon substrate, and from that point of view, hafnium, zirconium oxides or their metal silicates are promising. ing.

このような高誘電体膜の成膜方法としては金属原料ガスとして有機金属材料を用いて成膜する化学蒸着(CVD)法や、金属原料ガスと酸化剤とを交互に供給する原子層蒸着(ALD)法により成膜する方法が知られている(例えば、特許文献1)。そして、このような成膜の後、窒素添加工程やアニール工程などを所望により行って高誘電体ゲート絶縁膜を形成している。
特開2004−079753
As a method for forming such a high dielectric film, a chemical vapor deposition (CVD) method for forming a film using an organometallic material as a metal source gas, or an atomic layer deposition for alternately supplying a metal source gas and an oxidizing agent ( A method of forming a film by the ALD method is known (for example, Patent Document 1). After such film formation, a high dielectric gate insulating film is formed by performing a nitrogen addition process, an annealing process, or the like as desired.
JP2004-079753

ところで、これらの方法で高誘電体膜を形成する場合には、デバイスへの悪影響を極力避ける観点からより低温条件での膜形成が指向されている。一方、有機金属原料には多量の水素が含まれており、また酸化剤としても水素を含むガスが用いられていて、有機金属原料を酸化する過程で水素含有成分が生成される。このような水素含有成分が膜中に取り込まれると低温条件下では膜から放出され難く膜中に残留しやすくなる。このような水素含有成分が膜中に残留すると、半導体装置(トランジスタ)を形成した場合に、界面準位の発生や、Vthシフトを引き起こし、デバイスの信頼性を損ねてしまう。   By the way, when a high dielectric film is formed by these methods, film formation under a lower temperature condition is directed from the viewpoint of avoiding adverse effects on the device as much as possible. On the other hand, a large amount of hydrogen is contained in the organometallic raw material, and a gas containing hydrogen is also used as an oxidizing agent, and a hydrogen-containing component is generated in the process of oxidizing the organometallic raw material. When such a hydrogen-containing component is taken into the film, it is difficult for the hydrogen-containing component to be released from the film under low temperature conditions, and it tends to remain in the film. When such a hydrogen-containing component remains in the film, when a semiconductor device (transistor) is formed, an interface state is generated or a Vth shift is caused, thereby deteriorating device reliability.

本発明はかかる事情に鑑みてなされたものであって、有機金属材料を用いた低温成膜条件下であっても、膜中に水素含有成分が残留し難い高誘電体膜の形成方法、およびそのような高誘電体膜の製造方法を適用した半導体装置の製造方法を提供することを目的とする。また、そのような高誘電体膜の形成方法を実行する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体を提供することを目的とする。   The present invention has been made in view of such circumstances, and a method for forming a high dielectric film in which a hydrogen-containing component hardly remains in a film even under low-temperature film formation conditions using an organometallic material, and It is an object of the present invention to provide a semiconductor device manufacturing method to which such a high dielectric film manufacturing method is applied. It is another object of the present invention to provide a computer-readable storage medium in which a control program for executing such a method for forming a high dielectric film is stored.

上記課題を解決するため、本発明の第1の観点では、基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDにより高誘電体膜を成膜する工程と、低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程とを有することを特徴とする高誘電体膜の形成方法を提供する。   In order to solve the above-mentioned problem, in a first aspect of the present invention, a process of forming a high dielectric film by ALD or CVD at a temperature of 350 ° C. or lower using an organometallic raw material on a substrate, and a low-pressure oxygen-containing process There is provided a method for forming a high dielectric film, comprising: irradiating the high dielectric film with an ultraviolet ray in an atmosphere to desorb hydrogen in the film.

本発明の第2の観点では、高誘電体膜をゲート絶縁膜またはキャパシタ膜として有する半導体装置の製造方法であって、前記高誘電体膜は、基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDにより高誘電体膜を成膜する工程と、低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程とを有する方法によって形成されることを特徴とする半導体装置の製造方法を提供する。   According to a second aspect of the present invention, there is provided a method of manufacturing a semiconductor device having a high dielectric film as a gate insulating film or a capacitor film, wherein the high dielectric film is formed at 350 ° C. or lower using an organometallic raw material on a substrate. Forming a high dielectric film by ALD or CVD at a temperature of 5 ° C. and irradiating the high dielectric film with ultraviolet rays in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the film. A method for manufacturing a semiconductor device is provided.

本発明の第3の観点では、半導体基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDによりゲート絶縁膜として高誘電体膜を成膜する工程と、低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程と、前記高誘電体膜の上にゲート電極を形成する工程とを有することを特徴とする半導体装置の製造方法を提供する。   In a third aspect of the present invention, a high dielectric film is formed as a gate insulating film by ALD or CVD at a temperature of 350 ° C. or lower on a semiconductor substrate at a temperature of 350 ° C. or lower, and a low-pressure oxygen-containing atmosphere. A method of manufacturing a semiconductor device, comprising: irradiating ultraviolet rays on the high dielectric film to desorb hydrogen in the film; and forming a gate electrode on the high dielectric film. provide.

上記第1〜第3の観点において、前記高誘電体膜としては、HfまたはZrの酸化物またはシリケートを好適に用いることができる。この場合に、前記有機金属原料としては、アミド系金属化合物を用いることができる。高誘電体膜を成膜する工程は、酸化剤としてHOを用いることができる。 In the first to third aspects, an oxide or silicate of Hf or Zr can be suitably used as the high dielectric film. In this case, an amide metal compound can be used as the organometallic raw material. In the step of forming the high dielectric film, H 2 O can be used as an oxidizing agent.

また、前記紫外線を照射する工程は、0.665〜665Paの圧力で行うことが好ましい。前記紫外線を照射する工程は、基板を500℃以下の温度に加熱した状態で行われることが好ましい。前記紫外線を照射する工程は、Oガス雰囲気にて行うことができる。前記紫外線を照射する工程は、波長が172nmの紫外線を用いて行うことができる。 Moreover, it is preferable to perform the process of irradiating the said ultraviolet-ray at the pressure of 0.665-665Pa. The step of irradiating with ultraviolet rays is preferably performed in a state where the substrate is heated to a temperature of 500 ° C. or lower. The step of irradiating with ultraviolet rays can be performed in an O 2 gas atmosphere. The step of irradiating with ultraviolet rays can be performed using ultraviolet rays having a wavelength of 172 nm.

本発明の第4の観点では、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、前記制御プログラムは、実行時に、上記高誘電体膜の形成方法が行われるように、コンピュータに高誘電体膜形成装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体を提供する。   According to a fourth aspect of the present invention, there is provided a computer-readable storage medium storing a control program that operates on a computer, and the control program is configured to perform the above-described method for forming a high dielectric film at the time of execution. Furthermore, a computer-readable storage medium is provided, which causes a computer to control a high dielectric film forming apparatus.

本発明によれば、膜中に水素含有成分が残留しやすい、有機金属原料を用いた350℃以下の条件でALDまたはCVDにより高誘電体膜を成膜した後に、低圧条件で酸素含有ガス雰囲気での紫外線照射を行うことにより、高誘電体膜から水素を脱離させることができ、水素含有成分が膜中に残留することによるデバイスの信頼性低下を防止することができる。   According to the present invention, after a high dielectric film is formed by ALD or CVD under the condition of 350 ° C. or less using an organometallic raw material in which hydrogen-containing components tend to remain in the film, an oxygen-containing gas atmosphere under low pressure conditions By irradiating with UV light, hydrogen can be desorbed from the high dielectric film, and the reliability of the device can be prevented from being lowered due to the hydrogen-containing component remaining in the film.

ALD法により高誘電体膜する際に紫外線を照射する技術は、特開平9−121035号公報にも開示されている。しかし、この公報に開示された技術では、O雰囲気での紫外線照射(UV−Oアニーリング)を高誘電体膜形成と交互に行って所定厚さの高誘電体膜を形成し、これにより膜の酸素欠損を修復するものであり、高誘電体膜を成膜後に紫外線照射することにより、膜から水素を脱離させる本発明とは明確に異なっている。このため、特開平9−121035号公報の技術では酸素欠損を修復するOの濃度を維持するため高圧でUV−Oアニーリングを行う必要があるが、本発明では水素を脱離させるため低圧の酸素含有ガス雰囲気を形成するのである。 A technique of irradiating ultraviolet rays when forming a high dielectric film by the ALD method is also disclosed in Japanese Patent Laid-Open No. 9-121035. However, in the technique disclosed in this publication, ultraviolet irradiation (UV-O 3 annealing) in an O 3 atmosphere is alternately performed with the formation of a high dielectric film, thereby forming a high dielectric film with a predetermined thickness. This is to repair the oxygen deficiency of the film, which is clearly different from the present invention in which hydrogen is desorbed from the film by irradiating with ultraviolet rays after forming the high dielectric film. For this reason, in the technique of JP-A-9-121035, it is necessary to perform UV-O 3 annealing at a high pressure in order to maintain the concentration of O 3 for repairing oxygen deficiency. The oxygen-containing gas atmosphere is formed.

本発明における水素脱離のメカニズムについては必ずしも明確ではないが、紫外線照射によりC−H等の水素原子と他の原子との結合を切断し、その部分を酸素含有ガスにより修復することによるものと推測される。   Although the mechanism of hydrogen desorption in the present invention is not necessarily clear, it is caused by cutting a bond between a hydrogen atom such as C—H and other atoms by ultraviolet irradiation and repairing the part with an oxygen-containing gas. Guessed.

以下、添付図面を参照して本発明の実施形態について具体的に説明する。
図1は本発明の高誘電体膜の形成方法の一実施形態の工程を説明するためのフローチャート、図2はその際の工程断面図である。
Hereinafter, embodiments of the present invention will be specifically described with reference to the accompanying drawings.
FIG. 1 is a flowchart for explaining the steps of an embodiment of the method for forming a high dielectric film of the present invention, and FIG. 2 is a sectional view showing the steps.

この方法は、基板1上に高誘電体膜2を成膜する工程(STEP1、図2の(a))と、高誘電体膜2を低圧雰囲気に保持して紫外線(UV)3を照射する工程(STEP2、図2の(b))とを有する。   In this method, a high dielectric film 2 is formed on the substrate 1 (STEP 1, FIG. 2A), and the high dielectric film 2 is held in a low pressure atmosphere and irradiated with ultraviolet rays (UV) 3. Step (STEP2, FIG. 2B).

STEP1により成膜される高誘電体膜2の材料としては、種々のものを挙げることができ、上述したハフニウム、ジルコニウムの酸化物またはその金属シリケート、すなわちHfO、ZrO、HfSiO等はもちろんのこと、その他に、SrTiO,La,Yを挙げることができる。 As the material of the high dielectric film 2 formed by STEP 1, various materials can be exemplified, and the above-mentioned hafnium, zirconium oxide or metal silicate thereof, that is, HfO 2 , ZrO 2 , HfSiO x, etc. In addition, SrTiO x , La 2 O 3 , and Y 2 O 3 can be given.

高誘電体膜2は、有機金属原料を用いたALDまたはCVDにより350℃以下の温度で成膜する。有機金属原料を用いてALDまたはCVDにより350℃以下とすることにより、デバイスにNiSi等の耐熱性の低い材料を用いた場合であっても悪影響を受けることがない。好ましい成膜温度は300℃以下である。   The high dielectric film 2 is formed at a temperature of 350 ° C. or lower by ALD or CVD using an organometallic raw material. By using an organometallic raw material to be 350 ° C. or lower by ALD or CVD, there is no adverse effect even when a material having low heat resistance such as NiSi is used for the device. A preferable film forming temperature is 300 ° C. or lower.

上記材料を成膜するための有機金属原料としては、TEMAH(テトラエトキスメテルエチルアミドハフニウム)やTDEAH(テトラジエチルアミドハフニウム)およびTEMAZ(テトラエトキスメテルエチルアミドジルコニウム)等を挙げることができる。   Examples of the organic metal raw material for forming the above-described material include TEMAH (tetraethoxymeter ethylamide hafnium), TDEAH (tetradiethylamide hafnium), TEMAZ (tetraethoxymeter ethylamide zirconium) and the like.

また、これら有機金属原料を酸化して上記高誘電体膜2が形成されるが、その際の酸化剤としては、HO、O、O,NO,NO,NO等を挙げることができる。この中でもHOは膜中の残留炭素をより低減できるため好ましい。 In addition, these organic metal raw materials are oxidized to form the high dielectric film 2. As the oxidizing agent at that time, H 2 O, O 3 , O 2 , NO 2 , N 2 O, NO, etc. are used. Can be mentioned. Among these, H 2 O is preferable because residual carbon in the film can be further reduced.

これら有機金属原料と酸化剤を用いてALDにより高誘電体膜を成膜する場合には、チャンバ内に設けられたサセプタ上に基板を載置し、チャンバ内を所定の真空雰囲気とし、基板を350℃以下の所定の温度に加熱しつつ、まずチャンバ内に所定流量で有機金属原料を供給して基板上に吸着させ、その後、酸化剤を所定流量で供給して吸着した有機金属原料を酸化させて1〜数分子層程度の高誘電体膜を成膜するという工程を交互に繰り返して、所望の厚さの高誘電率膜を成膜する。   When a high dielectric film is formed by ALD using these organometallic raw materials and an oxidizing agent, the substrate is placed on a susceptor provided in the chamber, the inside of the chamber is set to a predetermined vacuum atmosphere, and the substrate is While heating to a predetermined temperature of 350 ° C. or lower, first, an organometallic raw material is supplied into the chamber at a predetermined flow rate and adsorbed on the substrate, and then an oxidizing agent is supplied at a predetermined flow rate to oxidize the adsorbed organometallic raw material. Then, the process of forming a high dielectric film of about 1 to several molecular layers is alternately repeated to form a high dielectric constant film having a desired thickness.

また、これら有機金属原料と酸化剤を用いてCVDにより高誘電体膜を成膜する場合には、チャンバ内に設けられたサセプタ上に基板を載置し、基板を350℃以下の所定の温度に加熱しつつ、有機金属原料と酸化剤を同時に供給して所望の厚さの高誘電率膜を成膜する。   Further, when a high dielectric film is formed by CVD using these organometallic raw materials and an oxidizing agent, the substrate is placed on a susceptor provided in the chamber, and the substrate is set at a predetermined temperature of 350 ° C. or lower. While heating, an organic metal raw material and an oxidizing agent are simultaneously supplied to form a high dielectric constant film having a desired thickness.

上記ALDは極薄い有機金属原料膜を吸着させた後に酸化剤で酸化させるので反応性が高く、CVDよりも低温での成膜が可能である。このため、より低温の成膜を実施するためにはALDが好ましい。   The ALD has a high reactivity because it is oxidized with an oxidizing agent after adsorbing an extremely thin organometallic raw material film, and can be formed at a lower temperature than CVD. For this reason, ALD is preferable to perform film formation at a lower temperature.

ところが、このようにしてALDやCVDにより高誘電体膜を形成した場合には、有機金属原料に水素が多量に含まれるため、膜中に水素含有成分が残留しやすく、また、成膜温度が350℃以下と低温であるため、残留した水素含有成分から水素が脱離し難い。このため、このような方法により成膜したままの状態の高誘電体膜をそのまま半導体デバイスに使用すると、膜中に残留した水素により、界面準位の発生や、Vthシフトを引き起こす。例えば、成膜したままの水素が残留した状態の高誘電体膜をゲート絶縁膜とし、その上にゲート電極を形成して、その後必要な処理を行ってMOS型デバイスを形成した際に、Vstress測定によりVfbシフトを求めた結果、図3に示すように、ΔVfbが1.0Vにもなることが確認された。   However, when a high dielectric film is formed by ALD or CVD in this way, a large amount of hydrogen is contained in the organometallic raw material, so that hydrogen-containing components are likely to remain in the film, and the film formation temperature is high. Since the temperature is as low as 350 ° C. or less, hydrogen is difficult to desorb from the remaining hydrogen-containing component. For this reason, when a high dielectric film as formed by such a method is used as it is for a semiconductor device, the generation of interface states and a Vth shift are caused by hydrogen remaining in the film. For example, when a high dielectric film in a state where hydrogen remains as a gate insulating film is used as a gate insulating film, a gate electrode is formed thereon, and then a necessary process is performed to form a MOS type device. As a result of obtaining the Vfb shift by measurement, it was confirmed that ΔVfb was 1.0 V as shown in FIG.

このため、本実施形態では、上述のように水素含有成分が残留しやすい条件で高誘電体膜を成膜した後、STEP2として紫外線照射工程を行う。このSTEP2の紫外線照射工程は、低圧条件の酸素含有雰囲気で行う。このように低圧におけるUV照射処理により、高誘電体膜中の水素を十分に脱離することができる。すなわち、低圧での紫外線照射により水素含有成分中における水素と他の元素との結合を切断することができ、これによって水素が離脱するものと推測される。ここで低圧とは、水素が脱離可能な程度に十分低い圧力をいう。つまり、高誘電体膜中の水素は、紫外線照射時の圧力が低い方が脱離しやすく、このため低圧雰囲気で紫外線照射を行う。具体的な圧力としては、665Pa以下が好適である。また、紫外線照射の際の雰囲気は酸素含有雰囲気、例えばOガス雰囲気とする。これは、Oガスに紫外線を照射し、Oラジカルを発生させ、膜中の水素脱離と同時に炭素の脱離もアシストするためである。また、この際の温度は500℃以下が好ましい。これは、基板上に形成されたデバイスへのサーマルバジェットを低減するためである。好ましくは450℃以下、より好ましくは400℃以下である。照射する紫外線としては波長が150〜250nm程度のものが好ましく、例えば紫外線ランプにより照射することができる。紫外線照射にはXeランプ(波長0.172μm)を好適に用いることができる。紫外線の照射時間は数十秒程度から1分程度が好適である。 For this reason, in the present embodiment, as described above, after the high dielectric film is formed under the condition that the hydrogen-containing component is likely to remain, the ultraviolet irradiation process is performed as STEP2. The ultraviolet irradiation process of STEP2 is performed in an oxygen-containing atmosphere under low pressure conditions. Thus, hydrogen in the high dielectric film can be sufficiently desorbed by UV irradiation treatment at a low pressure. That is, it is presumed that the bond between hydrogen and other elements in the hydrogen-containing component can be broken by ultraviolet irradiation at a low pressure, whereby hydrogen is released. Here, the low pressure refers to a pressure that is sufficiently low that hydrogen can be desorbed. That is, the hydrogen in the high dielectric film is more easily desorbed when the pressure at the time of ultraviolet irradiation is lower, so that the ultraviolet irradiation is performed in a low pressure atmosphere. A specific pressure is preferably 665 Pa or less. Further, the atmosphere during the ultraviolet irradiation is an oxygen-containing atmosphere, for example, an O 2 gas atmosphere. This is because the O 2 gas is irradiated with ultraviolet rays to generate O 2 radicals to assist the desorption of carbon simultaneously with the desorption of hydrogen in the film. The temperature at this time is preferably 500 ° C. or less. This is to reduce the thermal budget on the device formed on the substrate. Preferably it is 450 degrees C or less, More preferably, it is 400 degrees C or less. The ultraviolet rays to be irradiated preferably have a wavelength of about 150 to 250 nm, and can be irradiated by, for example, an ultraviolet lamp. An Xe lamp (wavelength: 0.172 μm) can be suitably used for ultraviolet irradiation. The irradiation time of ultraviolet rays is preferably about several tens of seconds to about 1 minute.

以上のようにして形成された高誘電体膜は、残留水素の悪影響を少なくすることができるので、MOS型半導体装置のゲート絶縁膜やDRAM等に用いられるキャパシタ膜として好適である。   Since the high dielectric film formed as described above can reduce the adverse effect of residual hydrogen, it is suitable as a gate insulating film of a MOS type semiconductor device, a capacitor film used for a DRAM, or the like.

次に、本実施形態に係る高誘電体膜の形成方法を実施するための装置について説明する。図4は本実施形態に係る高誘電体膜の形成方法を実施するための高誘電体膜形成装置を示す模式図である。   Next, an apparatus for carrying out the method for forming a high dielectric film according to the present embodiment will be described. FIG. 4 is a schematic view showing a high dielectric film forming apparatus for carrying out the method for forming a high dielectric film according to the present embodiment.

図4に示すように、この高誘電体膜形成装置10は、CVDまたはALDにより高誘電体膜を成膜する成膜ユニット11と、成膜ユニット11によって成膜された高誘電体膜に紫外線(UV)を照射する紫外線照射ユニット12と、これらの間で真空を破らずに基板1例えば半導体ウエハを搬送可能な搬送室13とを有している。搬送室13には基板1を搬送する搬送機構14が設けられている。なお、搬送室13には図示しないロードロック室が接続されており、そのロードロック室の外側に複数の基板を搭載したカセットが配置されている。そして、カセットからロードロック室を介して搬送室に基板1が搬送され、所定の処理が行われる。   As shown in FIG. 4, the high dielectric film forming apparatus 10 includes a film forming unit 11 for forming a high dielectric film by CVD or ALD, and an ultraviolet ray on the high dielectric film formed by the film forming unit 11. An ultraviolet irradiation unit 12 that irradiates (UV) and a transfer chamber 13 that can transfer a substrate 1 such as a semiconductor wafer without breaking a vacuum between them. In the transfer chamber 13, a transfer mechanism 14 for transferring the substrate 1 is provided. Note that a load lock chamber (not shown) is connected to the transfer chamber 13, and a cassette on which a plurality of substrates are mounted is disposed outside the load lock chamber. Then, the substrate 1 is transferred from the cassette to the transfer chamber through the load lock chamber, and a predetermined process is performed.

この高誘電体膜形成装置10は、各構成部、すなわち成膜ユニット11、紫外線照射ユニット12、搬送室13、および搬送機構14を制御するマイクロプロセッサ(コンピュータ)からなるプロセスコントローラ15を有しており、各構成部がこのプロセスコントローラ15に接続されて制御される構成となっている。また、プロセスコントローラ15には、工程管理者が高誘電体膜形成装置10を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース16が接続されている。   The high dielectric film forming apparatus 10 includes a process controller 15 including a microprocessor (computer) that controls each component, that is, a film forming unit 11, an ultraviolet irradiation unit 12, a transfer chamber 13, and a transfer mechanism 14. Each component is connected to the process controller 15 and controlled. Further, the process controller 15 includes a keyboard on which a process manager manages a command input operation for managing the high dielectric film forming apparatus 10, a display for visualizing and displaying the operating status of the plasma processing apparatus, and the like. A user interface 16 is connected.

また、プロセスコントローラ15には、高誘電体膜形成装置10で実行される各種処理をプロセスコントローラ15の制御にて実現するための制御プログラムや、処理条件に応じて高誘電体膜形成装置10の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部17が接続されている。レシピは記憶部17の中の記憶媒体に記憶されている。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CDROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。   The process controller 15 also includes a control program for realizing various processes executed by the high dielectric film forming apparatus 10 under the control of the process controller 15, and the high dielectric film forming apparatus 10 according to the processing conditions. A storage unit 17 storing a program for causing each component unit to execute processing, that is, a recipe, is connected. The recipe is stored in a storage medium in the storage unit 17. The storage medium may be a hard disk or semiconductor memory, or may be portable such as a CDROM, DVD, flash memory or the like. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example.

そして、必要に応じて、ユーザーインターフェース16からの指示等にて任意のレシピを記憶部17から呼び出してプロセスコントローラ15に実行させることで、プロセスコントローラ15の制御下で、高誘電体膜形成装置10での所望の処理が行われる。   Then, if necessary, an arbitrary recipe is called from the storage unit 17 by an instruction from the user interface 16 and is executed by the process controller 15, so that the high dielectric film forming apparatus 10 is controlled under the control of the process controller 15. The desired processing at is performed.

上記成膜ユニット11は、この種の成膜処理に用いられる通常の構造を有するものであり、例えば、特開2004−079753号公報に開示されたものを用いることができる。   The film forming unit 11 has a normal structure used for this type of film forming process, and for example, the one disclosed in Japanese Patent Application Laid-Open No. 2004-079753 can be used.

また、上記紫外線照射ユニット12としては、図5に示したような構造のものを用いることができる。図5は紫外線照射ユニット12を示す図であり、(a)は垂直断面図、(b)は水平断面図である。   Moreover, as the said ultraviolet irradiation unit 12, the thing of a structure as shown in FIG. 5 can be used. 5A and 5B are diagrams showing the ultraviolet irradiation unit 12, in which FIG. 5A is a vertical sectional view and FIG. 5B is a horizontal sectional view.

この紫外線照射ユニット12は、矩形状をなすチャンバ21を有し、チャンバ21内の下方には、基板1を載置するステージ22が設けられている。ステージ22は、その下部がチャンバ21の底壁よりも下方に突出しており、その下方に設けられたモータ23により回転可能となっている。チャンバ21の底壁とステージ22との間には流体シール等のシール機構24が設けられており、ステージ22が回転した際にもチャンバ21内が気密に保持可能となっている。ステージ22内にはヒータ25が埋設されており、このヒータ25に図示しない電源から給電することにより、ステージ22を介して基板1を所定の温度に加熱可能となっている。   The ultraviolet irradiation unit 12 has a rectangular chamber 21, and a stage 22 on which the substrate 1 is placed is provided below the chamber 21. The lower portion of the stage 22 protrudes below the bottom wall of the chamber 21 and can be rotated by a motor 23 provided below the stage 22. A seal mechanism 24 such as a fluid seal is provided between the bottom wall of the chamber 21 and the stage 22 so that the chamber 21 can be kept airtight even when the stage 22 rotates. A heater 25 is embedded in the stage 22, and the substrate 1 can be heated to a predetermined temperature via the stage 22 by supplying power to the heater 25 from a power source (not shown).

チャンバ21の天壁のステージ22と対向する位置には、紫外線照射ヘッド26が設けられている。この紫外線照射ヘッド26は、チャンバ21の幅方向に延在する2本の紫外線ランプ27が設けられている。チャンバ21の天壁にはこの紫外線ランプ27に対応するように窓28が設けられており、紫外線ランプ27から窓28を介して基板1に紫外線が照射されるようになっている。   An ultraviolet irradiation head 26 is provided at a position facing the stage 22 on the top wall of the chamber 21. The ultraviolet irradiation head 26 is provided with two ultraviolet lamps 27 extending in the width direction of the chamber 21. A window 28 is provided on the top wall of the chamber 21 so as to correspond to the ultraviolet lamp 27, and the substrate 1 is irradiated with ultraviolet rays from the ultraviolet lamp 27 through the window 28.

チャンバ21の側壁の対向する短辺の一方には3つのガス導入部材29が設けられている。これらガス導入部材29には酸素含有ガス、例えばOガスを供給するガス供給系30が接続されており、このガス供給系30からガス導入部材29を介してチャンバ21内に酸素含有ガスが供給され、チャンバ21内が酸素含有雰囲気に保持されるようになっている。 Three gas introduction members 29 are provided on one of the opposing short sides of the side wall of the chamber 21. A gas supply system 30 for supplying an oxygen-containing gas, for example, O 2 gas, is connected to the gas introduction members 29, and the oxygen-containing gas is supplied from the gas supply system 30 into the chamber 21 through the gas introduction member 29. The chamber 21 is maintained in an oxygen-containing atmosphere.

チャンバ21の側壁の短辺の他方には3つのガス導入部材29の配置位置に対応するように扁平状の排気口31が設けられている。排気口31には排気管32が接続されており、この排気管32には真空ポンプ等を有する図示しない排気装置が接続されており、これにより、チャンバ21内を真空排気可能となっている。   A flat exhaust port 31 is provided on the other of the short sides of the side wall of the chamber 21 so as to correspond to the arrangement positions of the three gas introduction members 29. An exhaust pipe 32 is connected to the exhaust port 31, and an exhaust device (not shown) having a vacuum pump or the like is connected to the exhaust pipe 32, so that the inside of the chamber 21 can be evacuated.

チャンバ21の側壁の長辺には、基板1を搬入出するための搬入出口33が設けられており、この搬入出口33はゲートバルブ34で開閉可能となっている。   A loading / unloading port 33 for loading / unloading the substrate 1 is provided on the long side of the side wall of the chamber 21, and the loading / unloading port 33 can be opened and closed by a gate valve 34.

このように構成された高誘電体膜形成装置10により、上述した手順で処理するためには、まず、図示しないカセットからロードロック室を介して真空に保持された搬送室13に基板1が搬送される。次いで、搬送室13内の搬送機構14により基板1が成膜ユニット11に搬入され、そこで有機金属原料を用いたALDまたはCVDにより350℃以下の温度で基板1上に高誘電体膜が成膜される。   In order to perform the above-described procedure by the high dielectric film forming apparatus 10 configured as described above, first, the substrate 1 is transferred from a cassette (not shown) to the transfer chamber 13 held in vacuum via the load lock chamber. Is done. Next, the substrate 1 is carried into the film forming unit 11 by the transfer mechanism 14 in the transfer chamber 13, where a high dielectric film is formed on the substrate 1 at a temperature of 350 ° C. or less by ALD or CVD using an organometallic raw material. Is done.

成膜後、基板1は搬送機構14により成膜ユニット11から紫外線照射ユニット12に搬送される。具体的には、ゲートバルブ34を開にして、搬送機構14により搬入出口33から基板1をチャンバ21内に搬入し、ステージ22上に載置する。この状態で、チャンバ21内を上述したように低圧条件の酸素雰囲気にし、モータ23でステージ22を回転しつつヒータ25で基板1を加熱する。そして、紫外線照射ヘッド26の紫外線ランプ27からステージ22とともに回転している基板1上に紫外線を照射する。これにより、高誘電体膜中の水素の脱離が行われる。   After film formation, the substrate 1 is transferred from the film forming unit 11 to the ultraviolet irradiation unit 12 by the transfer mechanism 14. Specifically, the gate valve 34 is opened, the substrate 1 is loaded into the chamber 21 from the loading / unloading port 33 by the transfer mechanism 14, and placed on the stage 22. In this state, the inside of the chamber 21 is in an oxygen atmosphere under a low pressure condition as described above, and the substrate 1 is heated by the heater 25 while the stage 22 is rotated by the motor 23. Then, ultraviolet rays are irradiated on the substrate 1 rotating together with the stage 22 from the ultraviolet lamp 27 of the ultraviolet irradiation head 26. Thereby, desorption of hydrogen in the high dielectric film is performed.

この際の好ましい条件は上述した通りであり、高誘電体膜を成膜した後、このように紫外線照射を行うことにより、高誘電体膜中の水素の脱離を行うことができ、水素含有成分が膜中に残留することによるデバイスの信頼性低下を防止することができる。   The preferable conditions in this case are as described above, and after the high dielectric film is formed, the ultraviolet rays can be desorbed by performing ultraviolet irradiation in this way, It is possible to prevent a decrease in device reliability due to the components remaining in the film.

次に、本実施形態の方法を適用したMOS型半導体装置の製造例について、図6の工程断面図を参照して説明する。
まず、素子分離領域101を形成したSi基板100を準備する(図6の(a))。このとき、Si基板100の主面のトランジスタ形成部102は、Si、SiON、SiO等で形成されている。
Next, a manufacturing example of a MOS type semiconductor device to which the method of this embodiment is applied will be described with reference to a process cross-sectional view of FIG.
First, the Si substrate 100 on which the element isolation region 101 is formed is prepared ((a) of FIG. 6). At this time, the transistor forming portion 102 on the main surface of the Si substrate 100 is formed of Si, SiON, SiO, or the like.

次いで、上述したように有機金属原料を用いて350℃以下の温度でALDまたはCVDにより高誘電体膜からなるゲート絶縁膜103を形成する(図6の(b))。引き続き、このように形成した高誘電体膜からなるゲート絶縁膜103に低圧の酸素含有雰囲気で紫外線を照射してゲート絶縁膜103中の水素を脱離させる(図6の(c))。   Next, as described above, a gate insulating film 103 made of a high dielectric film is formed by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material (FIG. 6B). Subsequently, the gate insulating film 103 formed of the high dielectric film is irradiated with ultraviolet rays in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the gate insulating film 103 (FIG. 6C).

その後、常法に従ってゲート絶縁膜103上にゲート電極104を形成する(図6の(d))。すなわち、ポリシリコン等のゲート電極材料の膜を例えばCVDにより全面に形成した後、フォトリソグラフィー技術によりパターン化したレジスト膜をマスクとしてプラズマエッチングを行うことによりゲート電極104を形成する。その後、側壁酸化膜105の形成およびイオン注入等による不純物拡散領域106を形成し(図6の(e))、MOS型半導体装置を得る。   Thereafter, a gate electrode 104 is formed on the gate insulating film 103 according to a conventional method (FIG. 6D). That is, after a gate electrode material film such as polysilicon is formed on the entire surface by, for example, CVD, the gate electrode 104 is formed by performing plasma etching using a resist film patterned by a photolithography technique as a mask. Thereafter, sidewall oxide film 105 and impurity diffusion region 106 are formed by ion implantation or the like (FIG. 6E) to obtain a MOS semiconductor device.

次に、本発明の効果を確認した実験結果について説明する。
まず、Si基板上に高誘電体膜としてHfO膜を10nmの厚さで成膜した。この際に、原料としてTEMAH、酸化剤としてHOを用い、ALDにより成膜した。成膜の際の温度は250℃、圧力は60Paとした。次いで、このように成膜したHfO膜に紫外線照射を行った。この際に、チャンバ内に温度:450℃、圧力:2.7PaのOガス雰囲気を形成してXeランプ(波長172nm)にて1分照射した。このようにして形成したHfO膜についてSIMSにより残留水素濃度を測定した。比較のため、この処理を行わなかったHfO膜についても同様に残留水素濃度を測定した。
Next, experimental results confirming the effects of the present invention will be described.
First, an HfO film having a thickness of 10 nm was formed as a high dielectric film on a Si substrate. At this time, a film was formed by ALD using TEMAH as a raw material and H 2 O as an oxidizing agent. The temperature during film formation was 250 ° C. and the pressure was 60 Pa. Next, the HfO film thus formed was irradiated with ultraviolet rays. At this time, an O 2 gas atmosphere having a temperature of 450 ° C. and a pressure of 2.7 Pa was formed in the chamber and irradiated with a Xe lamp (wavelength: 172 nm) for 1 minute. The residual hydrogen concentration of the HfO film thus formed was measured by SIMS. For comparison, the residual hydrogen concentration was similarly measured for the HfO film not subjected to this treatment.

図7にその結果を示す。図7の(a)は紫外線照射ありの場合であり、(b)は紫外線照射なしの場合である。この図に示すように、紫外線照射なしの場合には水素濃度が2.5×1021atm/cmであったものが、紫外線照射を行うことにより7.0×1020atm/cmとバックグラウンドレベルに低下することが確認された。なお、紫外線を照射せず400℃のO雰囲気で処理したHfO膜についても同様に水素濃度を測定した結果、紫外線照射なしの場合とほぼ同様の水素濃度であり、このことから水素脱離効果は紫外線照射によるものであることが確認された。 FIG. 7 shows the result. FIG. 7A shows the case with ultraviolet irradiation, and FIG. 7B shows the case without ultraviolet irradiation. As shown in this figure, in the case of no ultraviolet irradiation, the hydrogen concentration was 2.5 × 10 21 atm / cm 3 , which was 7.0 × 10 20 atm / cm 3 by performing ultraviolet irradiation. It was confirmed that the level dropped to the background level. As a result of measuring the hydrogen concentration of the HfO film treated in an O 3 atmosphere at 400 ° C. without being irradiated with ultraviolet rays, the hydrogen concentration was almost the same as that without ultraviolet irradiation. Was confirmed to be due to ultraviolet irradiation.

なお、本発明は、上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では紫外線照射のために紫外線照射ランプを用いたが、これに限るものではない。また、本実施形態の方法により形成された高誘電体膜をゲート絶縁膜に適用した例について示したが、キャパシタ膜としても好適に用いることができるし、他の用途への適用も可能である。さらに、上記実施形態では本発明を半導体装置に適用する場合について示したが、これに限るものではなく、例えば液晶表示装置(LCD)用基板に代表されるフラットパネルディスプレイ(FPD)用基板等の他の基板に高誘電体膜を形成する場合にも適用可能である。   The present invention is not limited to the above embodiment and can be variously modified. For example, in the above embodiment, an ultraviolet irradiation lamp is used for ultraviolet irradiation, but the present invention is not limited to this. In addition, although the example in which the high dielectric film formed by the method of the present embodiment is applied to the gate insulating film has been shown, it can be suitably used as a capacitor film and can be applied to other uses. . Furthermore, although the case where the present invention is applied to a semiconductor device has been described in the above embodiment, the present invention is not limited to this. For example, a flat panel display (FPD) substrate typified by a liquid crystal display (LCD) substrate is used. The present invention is also applicable when forming a high dielectric film on another substrate.

本発明は、MOS型半導体装置のゲート絶縁膜やDRAMのキャパシタ膜の形成に好適である。   The present invention is suitable for forming a gate insulating film of a MOS type semiconductor device and a capacitor film of a DRAM.

本発明の高誘電体膜の形成方法の一実施形態の工程を説明するためのフローチャート。The flowchart for demonstrating the process of one Embodiment of the formation method of the high dielectric material film of this invention. 本発明の高誘電体膜の形成方法の一実施形態の工程を説明するための工程断面図。Process sectional drawing for demonstrating the process of one Embodiment of the formation method of the high dielectric material film of this invention. 成膜したままの水素が残留した状態の高誘電体膜をゲート絶縁膜とし、その上にゲート電極を形成して、その後必要な処理を行ってMOS型デバイスを形成した際に、Vstress測定によりVfbシフトを求めた結果を示す図。When forming a MOS type device by forming a gate electrode on the high dielectric film in which hydrogen remains as it is formed, forming a gate electrode thereon, and then performing a necessary process, a V stress measurement is performed. The figure which shows the result of having calculated | required Vfb shift. 本実施形態に係る高誘電体膜の形成方法を実施するための高誘電体膜形成装置を示す模式図。The schematic diagram which shows the high dielectric film formation apparatus for enforcing the formation method of the high dielectric film concerning this embodiment. 図4の高誘電体膜形成装置に搭載された紫外線照射ユニットを示す垂直断面図および水平断面図。The vertical sectional view and horizontal sectional view which show the ultraviolet irradiation unit mounted in the high dielectric material film forming apparatus of FIG. 本実施形態の方法を適用したMOS型半導体装置の製造例を示す工程断面図。Process sectional drawing which shows the manufacture example of the MOS type semiconductor device to which the method of this embodiment is applied. HfO膜を成膜後、紫外線照射ありの場合と紫外線照射なしの場合とで膜の水素濃度を測定した結果を示す図。The figure which shows the result of having measured the hydrogen concentration of the film | membrane with and without ultraviolet irradiation after forming a HfO film | membrane.

符号の説明Explanation of symbols

1……基板
2……高誘電体膜
3……紫外線
10……高誘電体膜形成装置
11……成膜ユニット
12……紫外線照射ユニット
13……搬送室
14……搬送機構
15……プロセスコントローラ
17……記憶部(記憶媒体)
DESCRIPTION OF SYMBOLS 1 ... Substrate 2 ... High dielectric film 3 ... Ultraviolet 10 ... High dielectric film forming apparatus 11 ... Deposition unit 12 ... Ultraviolet irradiation unit 13 ... Transfer chamber 14 ... Transfer mechanism 15 ... Process Controller 17 …… Storage unit (storage medium)

Claims (18)

基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDにより高誘電体膜を成膜する工程と、
低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程と
を有することを特徴とする高誘電体膜の形成方法。
Forming a high dielectric film by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material on a substrate;
And a step of irradiating the high dielectric film with ultraviolet rays in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the film.
前記高誘電体膜は、HfまたはZrの酸化物またはシリケートであることを特徴とする請求項1に記載の高誘電体膜の形成方法。   2. The method of forming a high dielectric film according to claim 1, wherein the high dielectric film is an oxide or silicate of Hf or Zr. 前記有機金属原料は、アミド系金属化合物であることを特徴とする請求項2に記載の高誘電体膜の形成方法。   3. The method of forming a high dielectric film according to claim 2, wherein the organometallic raw material is an amide metal compound. 高誘電体膜を成膜する工程は、酸化剤としてHOを用いることを特徴とする請求項1から請求項3のいずれか1項に記載の高誘電体膜の形成方法。 The method for forming a high dielectric film according to claim 1, wherein the step of forming the high dielectric film uses H 2 O as an oxidizing agent. 前記紫外線を照射する工程は、0.665〜665Paの圧力で行われることを特徴とする請求項1から請求項4のいずれか1項に記載の高誘電体膜の形成方法。   5. The method of forming a high dielectric film according to claim 1, wherein the step of irradiating with ultraviolet rays is performed at a pressure of 0.665 to 665 Pa. 6. 前記紫外線を照射する工程は、基板を500℃以下の温度に加熱した状態で行われることを特徴とする請求項1から請求項5のいずれか1項に記載の高誘電体膜の形成方法。   6. The method of forming a high dielectric film according to claim 1, wherein the step of irradiating the ultraviolet rays is performed in a state where the substrate is heated to a temperature of 500 [deg.] C. or less. 前記紫外線を照射する工程は、Oガス雰囲気にて行われることを特徴とする請求項1から請求項6のいずれか1項に記載の高誘電体膜の形成方法。 The method for forming a high dielectric film according to claim 1, wherein the step of irradiating with ultraviolet rays is performed in an O 2 gas atmosphere. 前記紫外線を照射する工程は、波長が172nmの紫外線を用いて行うことを特徴とする請求項1から請求項7のいずれか1項に記載の高誘電体膜の形成方法。   8. The method for forming a high dielectric film according to claim 1, wherein the step of irradiating with ultraviolet rays is performed using ultraviolet rays having a wavelength of 172 nm. 高誘電体膜をゲート絶縁膜またはキャパシタ膜として有する半導体装置の製造方法であって、
前記高誘電体膜は、
基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDにより高誘電体膜を成膜する工程と、
低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程と
を有する方法によって形成されることを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device having a high dielectric film as a gate insulating film or a capacitor film,
The high dielectric film is
Forming a high dielectric film by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material on a substrate;
A method of manufacturing a semiconductor device, comprising: a step of irradiating the high dielectric film with ultraviolet light in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the film.
半導体基板上に有機金属原料を用いて350℃以下の温度でALDまたはCVDによりゲート絶縁膜として高誘電体膜を成膜する工程と、
低圧の酸素含有雰囲気で前記高誘電体膜に紫外線を照射して膜中の水素を脱離させる工程と、
前記高誘電体膜の上にゲート電極を形成する工程と
を有することを特徴とする半導体装置の製造方法。
Forming a high dielectric film as a gate insulating film by ALD or CVD at a temperature of 350 ° C. or lower using an organic metal raw material on a semiconductor substrate;
Irradiating the high dielectric film with ultraviolet light in a low-pressure oxygen-containing atmosphere to desorb hydrogen in the film;
And a step of forming a gate electrode on the high dielectric film.
前記高誘電体膜は、HfまたはZrの酸化物またはシリケートであることを特徴とする請求項9または請求項10に記載の半導体装置の製造方法。   11. The method of manufacturing a semiconductor device according to claim 9, wherein the high dielectric film is an oxide or silicate of Hf or Zr. 前記有機金属原料は、アミド系金属化合物であることを特徴とする請求項11に記載の半導体装置の製造方法。   12. The method of manufacturing a semiconductor device according to claim 11, wherein the organometallic raw material is an amide metal compound. 高誘電体膜を成膜する工程は、酸化剤としてHOを用いることを特徴とする請求項9から請求項12のいずれか1項に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 9, wherein the step of forming the high dielectric film uses H 2 O as an oxidizing agent. 前記紫外線を照射する工程は、0.665〜665Paの圧力で行われることを特徴とする請求項9から請求項13のいずれか1項に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 9, wherein the step of irradiating the ultraviolet rays is performed at a pressure of 0.665 to 665 Pa. 前記紫外線を照射する工程は、基板を500℃以下の温度に加熱した状態で行われることを特徴とする請求項9から請求項14のいずれか1項に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 9, wherein the step of irradiating the ultraviolet rays is performed in a state where the substrate is heated to a temperature of 500 ° C. or lower. 前記紫外線を照射する工程は、Oガス雰囲気にて行われることを特徴とする請求項9から請求項15のいずれか1項に記載の半導体装置の製造方法。 The method of manufacturing a semiconductor device according to claim 9, wherein the step of irradiating the ultraviolet rays is performed in an O 2 gas atmosphere. 前記紫外線を照射する工程は、波長が172nmの紫外線を用いて行うことを特徴とする請求項9から請求項16のいずれか1項に記載の半導体装置の製造方法。   17. The method of manufacturing a semiconductor device according to claim 9, wherein the step of irradiating with ultraviolet rays is performed using ultraviolet rays having a wavelength of 172 nm. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読取可能な記憶媒体であって、前記制御プログラムは、実行時に、請求項1から請求項8のいずれかの高誘電体膜の形成方法が行われるように、コンピュータに高誘電体膜の形成装置を制御させることを特徴とするコンピュータ読取可能な記憶媒体を提供する。
A computer-readable storage medium storing a control program that operates on a computer, wherein the control program is subjected to the high dielectric film formation method according to any one of claims 1 to 8 when executed. Thus, a computer-readable storage medium is provided, which causes a computer to control a high dielectric film forming apparatus.
JP2006293304A 2006-10-27 2006-10-27 Method of forming high dielectric film and method of manufacturing semiconductor device Pending JP2008112762A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006293304A JP2008112762A (en) 2006-10-27 2006-10-27 Method of forming high dielectric film and method of manufacturing semiconductor device
PCT/JP2007/070527 WO2008050708A1 (en) 2006-10-27 2007-10-22 Method for forming high dielectric film and method for manufacturing semiconductor device
KR1020097008423A KR101078581B1 (en) 2006-10-27 2007-10-22 Method for forming high dielectric film and method for manufacturing semiconductor device
CNA200780040077XA CN101529565A (en) 2006-10-27 2007-10-22 Method for forming high dielectric film and method for manufacturing semiconductor device
TW096140440A TW200832545A (en) 2006-10-27 2007-10-26 Method of forming high dielectric film and method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006293304A JP2008112762A (en) 2006-10-27 2006-10-27 Method of forming high dielectric film and method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2008112762A true JP2008112762A (en) 2008-05-15

Family

ID=39324505

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006293304A Pending JP2008112762A (en) 2006-10-27 2006-10-27 Method of forming high dielectric film and method of manufacturing semiconductor device

Country Status (5)

Country Link
JP (1) JP2008112762A (en)
KR (1) KR101078581B1 (en)
CN (1) CN101529565A (en)
TW (1) TW200832545A (en)
WO (1) WO2008050708A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728463B2 (en) 2015-08-25 2017-08-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
JP2019054237A (en) * 2017-09-12 2019-04-04 パナソニックIpマネジメント株式会社 Capacitance element, image sensor, manufacturing method of capacitance element, and manufacturing method of image sensor

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
JP5447632B2 (en) * 2012-11-29 2014-03-19 東京エレクトロン株式会社 Substrate processing equipment
CN109494302B (en) * 2017-09-12 2024-04-05 松下知识产权经营株式会社 Capacitive element, image sensor, and method for manufacturing capacitive element

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3228245B2 (en) * 1998-11-13 2001-11-12 日本電気株式会社 Method for producing tantalum oxide film
KR100421219B1 (en) 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
EP1363333B1 (en) 2001-06-21 2012-10-10 Panasonic Corporation Semiconductor device
JP3742906B2 (en) * 2003-05-08 2006-02-08 シャープ株式会社 Manufacturing method of semiconductor device
KR100728962B1 (en) * 2004-11-08 2007-06-15 주식회사 하이닉스반도체 Capacitor of semiconductor device with zrconium oxide and method of manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728463B2 (en) 2015-08-25 2017-08-08 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
JP2019054237A (en) * 2017-09-12 2019-04-04 パナソニックIpマネジメント株式会社 Capacitance element, image sensor, manufacturing method of capacitance element, and manufacturing method of image sensor
JP7157952B2 (en) 2017-09-12 2022-10-21 パナソニックIpマネジメント株式会社 Image sensor, capacitive element manufacturing method, and image sensor manufacturing method

Also Published As

Publication number Publication date
KR101078581B1 (en) 2011-11-01
TW200832545A (en) 2008-08-01
CN101529565A (en) 2009-09-09
WO2008050708A1 (en) 2008-05-02
KR20090074218A (en) 2009-07-06

Similar Documents

Publication Publication Date Title
JP4659856B2 (en) Method for forming fine pattern
JP5778846B2 (en) Vaporization apparatus, substrate processing apparatus, and semiconductor device manufacturing method
KR101011490B1 (en) Patterning method
TWI545625B (en) Semiconductor device manufacturing method, substrate processing device, and recording medium
JP2005123275A (en) Method and equipment forming film
TWI555059B (en) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP2007194582A (en) Modifying method for ferroelectric thin film, and semiconductor device
JP6151789B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US10600642B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP4268429B2 (en) Substrate processing apparatus and substrate processing method
TW201340199A (en) Substrate processing method and substrate processing apparatus
US10804100B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101078581B1 (en) Method for forming high dielectric film and method for manufacturing semiconductor device
US20190304791A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
JP6146874B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US20110281443A1 (en) Film formation method and film formation apparatus
JP2009132961A (en) Film-forming method, film-forming apparatus and storage medium
JP3639812B2 (en) Etching method and etching apparatus
JP2011066187A (en) Film formation method and processing system
JP2009088236A (en) Method for forming film, apparatus for forming film, and storage medium
JP2014064039A (en) Film deposition method and film deposition device
JP2003264160A (en) Method and apparatus for silicon etching