KR101068874B1 - Apparatus for plasma processing for substrate - Google Patents

Apparatus for plasma processing for substrate Download PDF

Info

Publication number
KR101068874B1
KR101068874B1 KR1020090044349A KR20090044349A KR101068874B1 KR 101068874 B1 KR101068874 B1 KR 101068874B1 KR 1020090044349 A KR1020090044349 A KR 1020090044349A KR 20090044349 A KR20090044349 A KR 20090044349A KR 101068874 B1 KR101068874 B1 KR 101068874B1
Authority
KR
South Korea
Prior art keywords
substrate
stage
plasma
electrode
ground electrode
Prior art date
Application number
KR1020090044349A
Other languages
Korean (ko)
Other versions
KR20100125573A (en
Inventor
문상민
이승배
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=43503656&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101068874(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020090044349A priority Critical patent/KR101068874B1/en
Publication of KR20100125573A publication Critical patent/KR20100125573A/en
Application granted granted Critical
Publication of KR101068874B1 publication Critical patent/KR101068874B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 기판 가공 장치는 스테이지, 접지 전극, RF 전원 및 가변 부하를 포함한다. 스테이지는 기판을 지지한다. 접지 전극은 스테이지에 지지된 기판과 마주보도록 이격되어 배치되고, 기판의 중심 영역과 대응하는 중심 전극 및 기판의 가장자리 영역과 대응하는 가장자리 전극을 포함한다. RF 전원은 스테이지와 접속되고, 스테이지와 접지 전극 사이에 플라즈마를 생성하기 위해 스테이지로 에너지를 제공한다. 가변 부하는 접지 전극의 접지 경로에 구비되고, 접지 전극의 접지 정도를 조절한다.

Figure R1020090044349

The plasma substrate processing apparatus includes a stage, a ground electrode, an RF power supply, and a variable load. The stage supports the substrate. The ground electrode is spaced apart to face the substrate supported on the stage, and includes a center electrode corresponding to the center region of the substrate and an edge electrode corresponding to the edge region of the substrate. The RF power source is connected to the stage and provides energy to the stage to generate a plasma between the stage and the ground electrode. The variable load is provided in the ground path of the ground electrode, and adjusts the grounding degree of the ground electrode.

Figure R1020090044349

Description

플라즈마 기판 가공 장치{Apparatus for plasma processing for substrate}Plasma substrate processing apparatus {Apparatus for plasma processing for substrate}

본 발명은 플라즈마 기판 가공 장치에 관한 것으로, 보다 상세하게는 반도체 소자 또는 평판표시 소자의 제조 공정에 사용되는 플라즈마 기판 가공 장치에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma substrate processing apparatus, and more particularly, to a plasma substrate processing apparatus used in the manufacturing process of a semiconductor element or a flat panel display element.

반도체 소자 및 평판표시 소자의 제조 공정이 점차 미세화되고 고도화됨에 따라, 식각 공정 및 화학기상 증착공정 등을 수행하기 위해 플라즈마 기판 가공 장치가 널리 사용되고 있다. As the manufacturing process of the semiconductor device and the flat panel display device is gradually miniaturized and advanced, a plasma substrate processing apparatus is widely used to perform an etching process and a chemical vapor deposition process.

종래 기술에 따른 플라즈마 기판 가공 장치는 플라즈마를 생성하기 위한 고주파 에너지가 인가되며 기판을 지지하는 스테이지 및 상기 스테이지와 마주보도록 이격되어 배치되는 접지 전극을 포함한다. The plasma substrate processing apparatus according to the prior art includes a stage to which high frequency energy for generating plasma is applied, supporting a substrate, and a ground electrode disposed to face the stage.

상기 플라즈마 기판 가공 장치는 상기 스테이지에 고주파 에너지를 인가하여 상기 스테이지와 상기 접지 전극 사이에 전기장을 형성하고, 상기 전기장에 의해 플라즈마를 발생한다.The plasma substrate processing apparatus applies high frequency energy to the stage to form an electric field between the stage and the ground electrode, and generates a plasma by the electric field.

상기와 같은 플라즈마 기판 가공 장치는 여러 가지 원인에 의해 상기 기판의 중심 영역과 가장자리 영역의 플라즈마 밀도가 불균일하다. 따라서, 상기 기판이 불균일하게 가공되는 문제점이 있다.In the plasma substrate processing apparatus as described above, the plasma density of the center region and the edge region of the substrate is uneven due to various causes. Therefore, there is a problem that the substrate is unevenly processed.

본 발명은 기판의 중심 영역과 가장자리 영역의 플라즈마 밀도를 균일하게 하는 플라즈마 기판 가공 장치를 제공한다. The present invention provides a plasma substrate processing apparatus which makes the plasma density of the center region and the edge region of the substrate uniform.

본 발명에 따른 플라즈마 기판 가공 장치는 기판을 지지하는 스테이지와, 상기 스테이지에 지지된 기판과 마주보도록 이격되어 배치되고, 상기 기판의 중심 영역과 대응하는 중심 전극 및 상기 기판의 가장자리 영역과 대응하는 가장자리 전극을 포함하는 접지 전극과, 상기 스테이지와 접속되고, 상기 스테이지와 상기 접지 전극 사이에 플라즈마를 생성하기 위해 상기 스테이지로 에너지를 제공하는 RF 전원 및 상기 접지 전극의 접지 경로에 구비되고, 상기 접지 전극의 접지 정도를 조절하는 가변 부하를 포함할 수 있다. Plasma substrate processing apparatus according to the present invention is a stage for supporting a substrate, spaced apart to face the substrate supported on the stage, the center electrode corresponding to the center region of the substrate and the edge corresponding to the edge region of the substrate A ground electrode comprising an electrode, an RF power source connected to the stage and providing energy to the stage to generate a plasma between the stage and the ground electrode, and a ground path of the ground electrode, wherein the ground electrode It may include a variable load to adjust the grounding degree of.

본 발명의 일 실시예들에 따르면, 상기 가변 부하는 상기 중심 전극의 접지 경로와 상기 가장자리 전극의 접지 경로 중 적어도 하나에 구비될 수 있다. According to one embodiment of the present invention, the variable load may be provided in at least one of the ground path of the center electrode and the ground path of the edge electrode.

본 발명의 일 실시예들에 따르면, 상기 가변 부하의 예로는 가변 커패시터, 가변 코일, 가변 저항 등을 들 수 있다.According to one embodiment of the present invention, examples of the variable load may include a variable capacitor, a variable coil, a variable resistor, and the like.

본 발명의 일 실시예들에 따르면, 상기 플라즈마 기판 가공 장치는 상기 스테이지 및 상기 접지 전극을 수용하며 상기 플라즈마를 이용한 기판 가공 공정이 수행되는 공간을 제공하고, 상기 플라즈마 형성을 위한 소스 가스가 제공되는 소스 가스 공급구를 갖는 챔버를 더 포함할 수 있다. According to one embodiment of the present invention, the plasma substrate processing apparatus accommodates the stage and the ground electrode and provides a space in which a substrate processing process using the plasma is performed, the source gas for forming the plasma is provided It may further comprise a chamber having a source gas supply port.

본 발명의 일 실시예들에 따르면, 상기 플라즈마 기판 가공 장치는 상기 기판과 평행하게 이동 가능하도록 상기 챔버에 장착되고, 상기 기판의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도를 측정하기 위한 프로브 및 상기 프로브의 측정 결과를 전송받아 상기 기판의 중심 영역과 상기 가장자리 영역의 플라즈마 밀도가 균일하도록 상기 가변 부하를 제어하는 제어부를 더 포함할 수 있다.According to one embodiment of the present invention, the plasma substrate processing apparatus is mounted in the chamber to be movable in parallel with the substrate, a probe for measuring the plasma density of the center region and the edge region of the substrate and the probe The controller may further include a controller configured to control the variable load such that the plasma density of the center region and the edge region of the substrate is uniformly received after receiving the measurement result.

본 발명의 일 실시예들에 따르면, 상기 RF 전원은 제1 주파수를 갖는 고주파 에너지를 제공하는 제1 전원 및 상기 제1 주파수와 다른 제2 주파수를 갖는 고주파 에너지를 제공하는 제2 전원을 포함할 수 있다.According to one embodiment of the invention, the RF power supply includes a first power supply for providing a high frequency energy having a first frequency and a second power supply for providing a high frequency energy having a second frequency different from the first frequency. Can be.

본 발명에 따른 플라즈마 기판 가공 장치는 접지 전극을 중심 전극과 가장자리 전극으로 구분하고 상기 중심 전극 및 가장자리 전극과 각각 연결된 가변 부하를 조절하여 스테이지와 중심 전극 사이의 전위차와 상기 스테이지와 가장자리 전극 사이의 전위차를 균일하게 할 수 있다. 따라서, 상기 스테이지에 지지된 기판의 중심 영역과 가장자리 영역의 플라즈마 균일도를 향상시킬 수 있다. In the plasma substrate processing apparatus according to the present invention, the ground electrode is divided into a center electrode and an edge electrode, and the potential difference between the stage and the center electrode and the potential difference between the stage and the edge electrode are adjusted by adjusting a variable load connected to the center electrode and the edge electrode, respectively. Can be made uniform. Therefore, the plasma uniformity of the center region and the edge region of the substrate supported by the stage can be improved.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 따른 플라즈마 기판 가공 장치에 대해 상세히 설명한다. 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시 예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물 을 포함하는 것으로 이해되어야 한다. 각 도면을 설명하면서 유사한 참조부호를 유사한 구성요소에 대해 사용하였다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. Hereinafter, a plasma substrate processing apparatus according to an embodiment of the present invention will be described in detail with reference to the accompanying drawings. As the inventive concept allows for various changes and numerous embodiments, particular embodiments will be illustrated in the drawings and described in detail in the text. However, this is not intended to limit the present invention to the specific disclosed form, it should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention. Like reference numerals are used for like elements in describing each drawing. In the accompanying drawings, the dimensions of the structures are shown in an enlarged scale than actual for clarity of the invention.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다. The terms first, second, etc. may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another. For example, without departing from the scope of the present invention, the first component may be referred to as the second component, and similarly, the second component may also be referred to as the first component.

본 출원에서 사용한 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprises", "having", and the like are used to specify that a feature, a number, a step, an operation, an element, a part or a combination thereof is described in the specification, But do not preclude the presence or addition of one or more other features, integers, steps, operations, components, parts, or combinations thereof.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않 는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다. Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art. Terms such as those defined in the commonly used dictionaries should be construed as having meanings consistent with the meanings in the context of the related art, and, unless expressly defined in this application, are construed in ideal or excessively formal meanings. It doesn't work.

도 1은 본 발명의 일 실시예에 따른 플라즈마 기판 가공 장치(100)를 설명하기 위한 개략적인 구성도이다. 1 is a schematic diagram illustrating a plasma substrate processing apparatus 100 according to an embodiment of the present invention.

도 1을 참조하면, 상기 플라즈마 기판 가공 장치(100)는 플라즈마를 이용하여 기판(S)의 가공 공정을 수행한다. 상기 기판(S)의 예로는 반도체 소자를 제조하기 위한 반도체 기판, 평판표시소자를 제조하기 위한 유리 기판 등을 들 수 있다. 상기 기판(S)의 가공 공정의 예로는 식각 공정, 화학 기상 증착 공정, 에싱 공정, 세정 공정 등을 들 수 있다.Referring to FIG. 1, the plasma substrate processing apparatus 100 performs a processing process of the substrate S using plasma. Examples of the substrate S include a semiconductor substrate for manufacturing a semiconductor device, a glass substrate for manufacturing a flat panel display device, and the like. Examples of the processing process of the substrate S include an etching process, a chemical vapor deposition process, an ashing process, a cleaning process, and the like.

상기 플라즈마 기판 가공 장치(100)는 챔버(110), 스테이지(120), RF 전원(130), 정합기(140), 접지 전극(150), 가변 부하(160), 프로브(170) 및 제어부(180)를 포함한다.The plasma substrate processing apparatus 100 includes a chamber 110, a stage 120, an RF power supply 130, a matcher 140, a ground electrode 150, a variable load 160, a probe 170, and a controller ( 180).

상기 챔버(110)는 상기 기판(S)의 가공 공정이 수행되는 공간을 제공한다. 상기 챔버(110)는 진공을 유지할 수 있도록 밀폐 구조를 갖는다. 일 예로, 상기 챔버(110)는 중공의 육면체 또는 중공의 원기둥 형태를 가질 수 있다. The chamber 110 provides a space in which a processing process of the substrate S is performed. The chamber 110 has a sealed structure to maintain a vacuum. For example, the chamber 110 may have a hollow hexahedron or a hollow cylindrical shape.

상기 챔버(110)는 가스 공급구(112) 및 배출구(114)를 갖는다.The chamber 110 has a gas supply port 112 and an outlet port 114.

상기 가스 공급구(112)는 상기 챔버(110)의 측면 또는 상면에 구비된다. 상기 가스 공급구(112)를 통해 상기 기판(S)을 가공하기 위한 공정 가스가 공급된다. 특히, 상기 가스 공급구(112)가 상기 챔버(110)의 상면에 구비되는 경우, 상기 공정 가스를 상기 기판(S)으로 균일하게 제공하기 위한 샤워 헤드(미도시)가 상기 챔 버(110)의 내측 상부에 더 구비될 수 있다. The gas supply port 112 is provided on the side or top surface of the chamber 110. Process gas for processing the substrate S is supplied through the gas supply port 112. In particular, when the gas supply port 112 is provided on the upper surface of the chamber 110, a shower head (not shown) for uniformly providing the process gas to the substrate (S) is the chamber 110 It may be further provided on the inner top of the.

상기 배출구(114)는 상기 챔버(110)의 저면 또는 측면 하부에 구비된다. 상기 배출구(114)를 통해 미반응된 소스 가스와 상기 기판(S) 가공 공정의 공정 부산물이 배출된다. The outlet 114 is provided on the bottom or side surface of the chamber 110. The unreacted source gas and the process by-product of the substrate S processing process are discharged through the outlet 114.

상기 스테이지(120)는 상기 챔버(110)의 내측 저면에 구비되며, 상기 기판(S)을 지지한다. 상기 스테이지(120)는 평판 형태를 갖는다. 일 예로, 상기 스테이지(120)는 정전기력으로 상기 기판(S)을 고정하는 정전척일 수 있다.The stage 120 is provided on an inner bottom surface of the chamber 110 and supports the substrate S. The stage 120 has a flat plate shape. For example, the stage 120 may be an electrostatic chuck that fixes the substrate S with an electrostatic force.

상기 RF 전원(130)은 상기 스테이지(120)와 접속하며, 상기 챔버(110) 내부에 플라즈마를 형성하기 위한 고주파((Radio Frequency; RF) 에너지를 인가한다. The RF power supply 130 is connected to the stage 120 and applies radio frequency (RF) energy for forming plasma in the chamber 110.

상기 RF 전원(130)은 제1 전원(132) 및 제2 전원(134)을 포함한다.The RF power source 130 includes a first power source 132 and a second power source 134.

상기 제1 전원(132)은 제1 주파수를 갖는 고주파 에너지를 제공하고, 제2 전원(134)은 상기 제1 주파수와 다른 제2 주파수를 갖는 고주파 에너지를 제공한다. The first power source 132 provides high frequency energy having a first frequency, and the second power source 134 provides high frequency energy having a second frequency different from the first frequency.

한편, 상기 RF 전원(130)은 하나만 구비될 수도 있다.Meanwhile, only one RF power supply 130 may be provided.

상기 정합기(140)는 상기 스테이지(120)와 상기 RF 전원(130) 사이에 구비된다. 상기 정합기(140)는 상기 RF 전원(130)의 임피던스를 정합한다.The matcher 140 is provided between the stage 120 and the RF power supply 130. The matcher 140 matches the impedance of the RF power supply 130.

상기 접지 전극(150)은 상기 챔버(110)의 내측 상부에 상기 스테이지(120)와 마주보도록 배치된다. 상기 접지 전극(150)은 상기 스테이지(120)와 평행하며 일정 간격 이격된다. 따라서, 상기 접지 전극(150)은 상기 스테이지(120)에 지지되는 기판(S)과 평행하며 일정 간격 이격된다.The ground electrode 150 is disposed to face the stage 120 on an inner upper portion of the chamber 110. The ground electrode 150 is parallel to the stage 120 and spaced apart from each other. Therefore, the ground electrode 150 is parallel to the substrate S supported by the stage 120 and spaced apart from each other at regular intervals.

상기 접지 전극(150)은 중심 전극(152) 및 가장자리 전극(154)을 포함한다. The ground electrode 150 includes a center electrode 152 and an edge electrode 154.

상기 중심 전극(152)은 상기 스테이지(120)에 지지된 기판(S)의 중심 영역과 대응한다. 상기 가장자리 전극(154)은 상기 중심 영역을 제외한 기판(S)의 가장자리 영역과 대응한다. 상기 중심 전극(152)과 상기 가장자리 전극(154)은 각각 접지 경로를 갖는다. The center electrode 152 corresponds to the center area of the substrate S supported by the stage 120. The edge electrode 154 corresponds to an edge region of the substrate S except for the center region. The center electrode 152 and the edge electrode 154 each have a ground path.

고주파 에너지가 인가된 스테이지(120)와 상기 접지 전극(150)의 전위차에 의해 상기 스테이지(120)와 상기 접지 전극(150) 사이에 전기장이 형성되고 상기 전기장에 의해 플라즈마를 발생한다.An electric field is formed between the stage 120 and the ground electrode 150 by the potential difference between the stage 120 and the ground electrode 150 to which high frequency energy is applied, and the plasma is generated by the electric field.

도 2는 도 1에 도시된 접지 전극(150)의 일 예를 설명하기 위한 개략적인 평면도이고, 도 3은 도 1에 도시된 접지 전극(150)의 다른 예를 설명하기 위한 개략적인 평면도이다.2 is a schematic plan view illustrating an example of the ground electrode 150 illustrated in FIG. 1, and FIG. 3 is a schematic plan view illustrating another example of the ground electrode 150 illustrated in FIG. 1.

도 2를 참조하면, 상기 접지 전극(150)의 중심 전극(152)과 가장자리 전극(154)은 동심원 형태를 갖는다. 상기 접지 전극(150)은 상기 기판(S)이 반도체 기판일 때 사용된다. Referring to FIG. 2, the center electrode 152 and the edge electrode 154 of the ground electrode 150 have a concentric shape. The ground electrode 150 is used when the substrate S is a semiconductor substrate.

도 3을 참조하면, 상기 접지 전극(150)의 중심 전극(152)과 가장자리 전극(154)은 동심의 사각형 형태를 갖는다. 상기 접지 전극(150)은 상기 기판(S)이 유리 기판일 때 사용된다. Referring to FIG. 3, the center electrode 152 and the edge electrode 154 of the ground electrode 150 have a concentric rectangular shape. The ground electrode 150 is used when the substrate S is a glass substrate.

다시 도 1을 참조하면, 상기 챔버(110)의 내측 상부에 상기 샤워 헤드가 구비되는 경우, 상기 접지 전극(150)은 상기 샤워 헤드와 일체로 구비될 수 있다. 또한, 상기 챔버(110)의 내측 상부에 상기 샤워 헤드가 구비되는 경우, 상기 접지 전극(150)이 상기 샤워 헤드 형태로 구비될 수 있다. 즉, 상기 스테이지(120)에 지지 된 기판(S)의 중심 영역과 상기 기판(S)의 가장자리 영역과 각각 대응하도록 구비되는 상기 샤워 헤드가 상기 접지 전극(150)으로 작용할 수 있다. Referring back to FIG. 1, when the shower head is provided on an inner upper portion of the chamber 110, the ground electrode 150 may be integrally provided with the shower head. In addition, when the shower head is provided on the inner upper portion of the chamber 110, the ground electrode 150 may be provided in the form of the shower head. That is, the shower head provided to correspond to the center region of the substrate S supported by the stage 120 and the edge region of the substrate S may serve as the ground electrode 150.

한편, 상기에서는 접지 전극(150)이 상기 중심 전극(152)과 상기 가장자리 전극(154)의 두 부분으로 구분되는 것으로 설명되었지만, 상기 접지 전극(150)은 세 부분 이상의 동심(concentricity) 형태를 갖는 전극으로 구분될 수 있다. 일 예로, 상기 접지 전극(150)은 세 부분의 동심 형태를 갖는 전극으로 구분되는 경우, 상기 접지 전극(150)은 상기 스테이지(120)에 지지된 기판(S)의 중심 영역과 대응하는 중심 전극, 상기 기판(S)의 가장자리 영역과 대응하는 가장자리 전극 및 상기 기판(S)의 중심 영역과 가장자리 영역 사이의 중간 영역과 대응하는 중간 전극으로 구분될 수 있다. Meanwhile, although the ground electrode 150 is described as being divided into two parts of the center electrode 152 and the edge electrode 154, the ground electrode 150 has a concentricity shape of three or more parts. It can be divided into electrodes. As an example, when the ground electrode 150 is divided into electrodes having three concentric shapes, the ground electrode 150 corresponds to a center region of the substrate S supported by the stage 120. The edge electrode may correspond to an edge electrode corresponding to the edge region of the substrate S, and an intermediate electrode corresponding to the middle region between the center region and the edge region of the substrate S.

상기 가변 부하(160)는 상기 접지 전극(150)의 접지 경로에 구비되고, 상기 접지 전극(160)의 접지 정도를 조절한다. 상기 가변 부하(160)의 예로는 가변 커패시터, 가변 코일, 가변 저항 등을 들 수 있다. The variable load 160 is provided in the ground path of the ground electrode 150 and adjusts the grounding degree of the ground electrode 160. Examples of the variable load 160 may include a variable capacitor, a variable coil, a variable resistor, and the like.

상기 가변 부하(160)가 접지를 블록킹하는 역할을 하므로, 상기 가변 부하(160)가 커질수록 상기 접지 전극(160)과 상기 스테이지(120) 사이의 전위차가 감소한다. 상기 기판(S) 상에 형성되는 플라즈마의 밀도는 상기 접지 전극(150)과 상기 스테이지(120)의 전위차에 비례하므로, 상기 가변 부하(160)를 조절하여 상기 접지 전극(160)과 상기 스테이지(120) 사이의 전위차를 조절할 수 있다.Since the variable load 160 blocks the ground, the potential difference between the ground electrode 160 and the stage 120 decreases as the variable load 160 increases. Since the density of the plasma formed on the substrate S is proportional to the potential difference between the ground electrode 150 and the stage 120, the variable load 160 is adjusted to adjust the ground electrode 160 and the stage ( The potential difference between 120 can be adjusted.

도 1에 도시된 바와 같이 상기 가변 부하(160)는 상기 중심 전극(152)의 접지 경로에 구비되는 제1 가변 부하(162) 및 상기 가장자리 전극(154)의 접지 경로 에 구비되는 제2 가변 부하(164)로 이루어진다. 따라서, 상기 제1 가변 부하(162) 및 상기 제2 가변 부하(164)의 크기를 조절하여 상기 중심 전극(152)과 상기 스테이지(120) 사이의 제1 전위차 및 상기 가장자리 전극(154)과 상기 스테이지(120) 사이의 제2 전위차를 각각 조절할 수 있다. As shown in FIG. 1, the variable load 160 includes a first variable load 162 provided in the ground path of the center electrode 152 and a second variable load provided in the ground path of the edge electrode 154. It consists of 164. Accordingly, the first potential difference between the center electrode 152 and the stage 120 and the edge electrode 154 and the edge are adjusted by adjusting the sizes of the first variable load 162 and the second variable load 164. The second potential difference between the stages 120 may be adjusted respectively.

다른 예로, 상기 가변 부하(160)는 상기 중심 전극(152)의 접지 경로와 상기 가장자리 전극(154)의 접지 경로 중 어느 하나에 구비될 수 있다. 즉, 상기 제1 가변 부하(162)와 상기 제2 가변 부하(164) 중 어느 하나만 구비될 수 있다. 따라서, 상기 제1 가변 부하(162)와 상기 제2 가변 부하(164) 중 어느 하나의 크기를 조절하여 상기 중심 전극(152)과 상기 스테이지(120) 사이의 제1 전위차 또는 상기 가장자리 전극(154)과 상기 스테이지(120) 사이의 제2 전위차를 조절할 수 있다. As another example, the variable load 160 may be provided in any one of the ground path of the center electrode 152 and the ground path of the edge electrode 154. That is, only one of the first variable load 162 and the second variable load 164 may be provided. Accordingly, the first potential difference between the center electrode 152 and the stage 120 or the edge electrode 154 may be adjusted by adjusting the size of one of the first variable load 162 and the second variable load 164. ) And the second potential difference between the stage 120 may be adjusted.

한편, 상기 접지 전극(150)이 세 부분 이상의 동심(concentricity) 형태를 갖는 전극으로 구분되는 경우, 상기 가변 부하(160)는 각 전극의 접지 경로에 각각 구비될 수 있다. On the other hand, when the ground electrode 150 is divided into electrodes having a concentricity form of three or more parts, the variable load 160 may be provided in the ground path of each electrode.

상기 프로브(170)는 상기 챔버(110) 측벽을 관통하여 상기 기판(S)과 평행하도록 구비된다. 상기 프로브(170)는 상기 기판(S)과 평행하게 이동가능하도록 장착된다. 예를 들면, 상기 프로브(170)는 상기 스테이지(120)와 상기 접지 전극(150) 사이의 상기 챔버(110) 측벽을 관통한다. 상기 프로브(170)는 투명 절연관(미도시)에 피복될 수 있다. 상기 절연관은 상기 플라즈마와 상기 프로브(170)의 직접적인 접촉을 차단하므로, 상기 프로브(170)의 표면에 상기 플라즈마에 의한 유도성 퇴적막이 형성되는 것을 방지하고, 상기 프로브(170)가 상기 챔버(110) 내부에 금속 오 염을 초래하는 것을 방지할 수 있다.The probe 170 penetrates the side wall of the chamber 110 to be parallel to the substrate S. The probe 170 is mounted to be movable in parallel with the substrate (S). For example, the probe 170 penetrates the sidewall of the chamber 110 between the stage 120 and the ground electrode 150. The probe 170 may be coated on a transparent insulation tube (not shown). Since the insulating tube blocks direct contact between the plasma and the probe 170, the insulator-deposited film formed by the plasma is prevented from being formed on the surface of the probe 170, and the probe 170 is connected to the chamber. 110) It can prevent the metal contamination inside.

상기 프로브(170)는 상기 가판(S)과 평행한 수평 방향으로 이동하므로, 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도를 각각 측정할 수 있다.Since the probe 170 moves in a horizontal direction parallel to the substrate S, the plasma density of the center region and the edge region of the substrate S may be measured.

상기 제어부(180)는 상기 프로브(170) 및 상기 가변 부하(160)와 연결된다. 상기 제어부(180)는 상기 프로브(170)로부터 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도를 전송받고, 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도에 따라 상기 가변 부하(160)의 크기를 제어한다. The controller 180 is connected to the probe 170 and the variable load 160. The controller 180 receives the plasma density of the center region and the edge region of the substrate S from the probe 170 and varies the plasma density of the center region and the edge region of the substrate S. The size of the load 160 is controlled.

상기 기판(S) 상에 형성되는 플라즈마의 밀도는 상기 접지 전극(150)과 상기 스테이지(120)의 전위차에 비례하므로, 상기 기판(S)의 중심 영역의 플라즈마 밀도가 상기 가장자리 영역의 플라즈마 밀도보다 큰 경우, 상기 중심 전극(152)과 상기 스테이지(120) 사이의 제1 전위차가 상기 가장자리 전극(154)과 상기 스테이지(120) 사이의 제2 전위차보다 크다. 이 경우, 상기 제어부(180)는 상기 제1 가변 부하(162)를 상기 제2 가변 부하(164)보다 상대적으로 크게 하여 상기 제1 전위차와 상기 제2 전위차의 차이만큼 상기 제1 전위차를 감소시킨다. 따라서, 상기 제1 전위차가 상기 제2 전위차와 동일하게 되어 상기 기판(S) 상에 형성되는 플라즈마의 밀도가 균일해진다. Since the density of the plasma formed on the substrate S is proportional to the potential difference between the ground electrode 150 and the stage 120, the plasma density of the center region of the substrate S is greater than that of the edge region. If large, the first potential difference between the center electrode 152 and the stage 120 is greater than the second potential difference between the edge electrode 154 and the stage 120. In this case, the controller 180 reduces the first potential difference by the difference between the first potential difference and the second potential difference by making the first variable load 162 relatively larger than the second variable load 164. . Therefore, the first potential difference is equal to the second potential difference, so that the density of the plasma formed on the substrate S is uniform.

상기 기판(S)의 가장자리 영역의 플라즈마 밀도가 상기 중심 영역의 플라즈마 밀도보다 큰 경우, 상기 가장자리 전극(154)과 상기 스테이지(120) 사이의 제2 전위차가 상기 중심 전극(152)과 상기 스테이지(120) 사이의 제1 전위차보다 크다. 이 경우, 상기 제어부(180)는 상기 제2 가변 부하(164)를 상기 제1 가변 부하(162)보다 상대적으로 크게 하여 상기 제2 전위차와 상기 제1 전위차의 차이만큼 상기 제2 전위차를 감소시킨다. 따라서, 상기 제1 전위차가 상기 제2 전위차와 동일하게 되어 상기 기판(S) 상에 형성되는 플라즈마의 밀도가 균일해진다.When the plasma density of the edge region of the substrate S is greater than the plasma density of the central region, the second potential difference between the edge electrode 154 and the stage 120 is the center electrode 152 and the stage ( Greater than the first potential difference between 120). In this case, the controller 180 reduces the second potential difference by the difference between the second potential difference and the first potential difference by making the second variable load 164 relatively larger than the first variable load 162. . Therefore, the first potential difference is equal to the second potential difference, so that the density of the plasma formed on the substrate S is uniform.

상기 플라즈마 기판 가공 장치(100)는 상기 접지 전극(150)을 중심 전극(152)과 가장자리 전극(154)으로 구분하고 상기 중심 전극(152) 및 가장자리 전극(154)과 각각 연결된 가변 부하(160)를 조절하여 상기 기판(S)의 중심 영역과 가장자리 영역의 플라즈마 균일도를 향상시킬 수 있다. The plasma substrate processing apparatus 100 divides the ground electrode 150 into a center electrode 152 and an edge electrode 154, and the variable load 160 connected to the center electrode 152 and the edge electrode 154, respectively. By adjusting the plasma uniformity of the center region and the edge region of the substrate (S) can be improved.

이하에서는 상기 플라즈마 기판 가공 장치(100)의 작동에 대해 간단히 설명한다. Hereinafter, the operation of the plasma substrate processing apparatus 100 will be briefly described.

우선 상기 스테이지(120)에 기판(S)을 안착한다.First, the substrate S is mounted on the stage 120.

이후, RF 전원(130)에서 제공된 고주파 전원이 정합기(140)에서 정합되어 상기 스테이지(120)로 인가된다. 이때, 상기 중심 전극(152)에 연결된 제1 가변 부하(162) 및 가장자리 전극(154)과 연결된 제2 가변 부하(164)는 부하 값이 O이거나 일정한 부하 값을 가질 수 있다.Thereafter, the high frequency power provided from the RF power supply 130 is matched by the matching unit 140 and applied to the stage 120. In this case, the first variable load 162 connected to the center electrode 152 and the second variable load 164 connected to the edge electrode 154 may have a load value of 0 or a constant load value.

다음으로, 상기 가스 공급구(112)를 통해 상기 공정 가스가 상기 기판(S) 상으로 제공된다. 제공된 공정 가스는 상기 스테이지(120)와 상기 접지 전극(150)의 전위차에 의해 플라즈마로 변환된다. Next, the process gas is provided onto the substrate S through the gas supply port 112. The provided process gas is converted into plasma by the potential difference between the stage 120 and the ground electrode 150.

상기 프로브(170)로 상기 기판(S)의 중심 영역과 가장자리 영역에서의 플라 즈마 밀도를 측정한다. 상기 제어부(180)는 상기 프로브(170)로부터 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도를 전송받고, 측정된 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도에 따라 상기 가변 부하(160)의 크기를 조절한다. 상기 기판(S)의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도가 균일해질 때까지 상기 기판(S)의 중심 영역과 가장자리 영역에서의 플라즈마 밀도를 측정과 상기 가변 부하(160)의 크기 조절을 반복한다. 따라서, 상기 기판(S)의 중심 영역과 가장자리 영역에서의 플라즈마 밀도를 균일하게 유지할 수 있는 상기 가변 부하(160) 크기를 획득한다.Plasma density in the center region and the edge region of the substrate S is measured by the probe 170. The controller 180 receives the plasma density of the center region and the edge region of the substrate S from the probe 170 and according to the measured plasma density of the center region and the edge region of the substrate S. The size of the variable load 160 is adjusted. The plasma density of the center region and the edge region of the substrate S is measured and the size adjustment of the variable load 160 is repeated until the plasma density of the center region and the edge region of the substrate S is uniform. . Therefore, the size of the variable load 160 capable of maintaining the plasma density uniformly in the center region and the edge region of the substrate S is obtained.

한편, 상기 가변 부하(160)의 크기 획득은 상기 스테이지(120)에 기판(S)을 안착하지 않은 상태에서 이루어질 수도 있다.Meanwhile, the size acquisition of the variable load 160 may be performed in a state in which the substrate S is not seated on the stage 120.

이후, 상기 가변 부하(160)의 크기를 유지한 상태에서, 상기 기판(S)을 배출한 후 다른 기판(S)에 대해 플라즈마 기판 가공 공정을 수행한다. 상기 기판(S)의 중심 영역과 가장자리 영역에서의 플라즈마 밀도를 균일한 상태에서 상기 플라즈마 기판 가공 공정이 수행되므로, 상기 기판(S)을 균일하게 가공할 수 있다.Thereafter, while maintaining the size of the variable load 160, the substrate S is discharged and then a plasma substrate processing process is performed on the other substrate S. FIG. Since the plasma substrate processing process is performed in a state where the plasma density in the center region and the edge region of the substrate S is uniform, the substrate S may be uniformly processed.

상술한 바와 같이, 본 발명의 실시예에 따른 플라즈마 기판 가공 장치는 접지 전극을 중심 전극과 가장자리 전극으로 구분하고 상기 중심 전극 및 가장자리 전극과 각각 연결된 가변 부하를 조절하여 스테이지와 중심 전극 사이의 전위차와 상기 스테이지와 가장자리 전극 사이의 전위차를 균일하게 할 수 있다. 따라서, 상기 스테이지에 지지된 기판의 중심 영역과 가장자리 영역의 플라즈마 균일도를 향 상시킬 수 있다. As described above, the plasma substrate processing apparatus according to the embodiment of the present invention divides the ground electrode into a center electrode and an edge electrode, and adjusts a variable load connected to the center electrode and the edge electrode, respectively, to adjust the potential difference between the stage and the center electrode. The potential difference between the stage and the edge electrode can be made uniform. Therefore, the plasma uniformity of the center region and the edge region of the substrate supported by the stage can be improved.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

도 1은 본 발명의 일 실시예에 따른 플라즈마 기판 가공 장치를 설명하기 위한 개략적인 구성도이다. 1 is a schematic diagram illustrating a plasma substrate processing apparatus according to an embodiment of the present invention.

도 2는 도 1에 도시된 접지 전극의 일 예를 설명하기 위한 개략적인 평면도이다.FIG. 2 is a schematic plan view for describing an example of the ground electrode illustrated in FIG. 1.

도 3은 도 1에 도시된 접지 전극의 다른 예를 설명하기 위한 개략적인 평면도이다.3 is a schematic plan view illustrating another example of the ground electrode illustrated in FIG. 1.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

100 : 플라즈마 기판 가공 장치 110 : 챔버100: plasma substrate processing apparatus 110: chamber

120 : 스테이지 130 : RF 전원120: stage 130: RF power

140 : 정합기 150 : 접지 전극140: matcher 150: ground electrode

160 : 가변 부하 170 : 프로브160: variable load 170: probe

180 : 제어부 S : 기판180 control part S: substrate

Claims (6)

기판을 지지하는 스테이지;A stage for supporting the substrate; 상기 스테이지에 지지된 기판과 마주보도록 이격되어 배치되고, 상기 기판의 중심 영역과 대응하는 중심 전극 및 상기 기판의 가장자리 영역과 대응하는 가장자리 전극을 포함하는 접지 전극; A ground electrode disposed to face the substrate supported by the stage, the ground electrode including a center electrode corresponding to the center region of the substrate and an edge electrode corresponding to the edge region of the substrate; 상기 스테이지와 접속되고, 상기 스테이지와 상기 접지 전극 사이에 플라즈마를 생성하기 위해 상기 스테이지로 에너지를 제공하는 RF 전원; 및An RF power supply connected to the stage and providing energy to the stage to generate a plasma between the stage and the ground electrode; And 상기 중심 전극 및 상기 가장자리 전극 중 적어도 하나의 접지 경로에 구비되고, 상기 접지 전극의 접지 정도를 조절하는 가변 부하를 포함하는 것을 특징으로 하는 플라즈마 기판 가공 장치.And a variable load provided in a ground path of at least one of the center electrode and the edge electrode, and configured to adjust a degree of grounding of the ground electrode. 삭제delete 제1항에 있어서, 상기 가변 부하는 가변 커패시터, 가변 코일 및 가변 저항 중 적어도 하나인 것을 특징으로 하는 플라즈마 기판 가공 장치.The plasma substrate processing apparatus of claim 1, wherein the variable load is at least one of a variable capacitor, a variable coil, and a variable resistor. 제1항에 있어서, 상기 스테이지 및 상기 접지 전극을 수용하며 상기 플라즈마를 이용한 기판 가공 공정이 수행되는 공간을 제공하고, 상기 플라즈마 형성을 위한 소스 가스가 제공되는 소스 가스 공급구를 갖는 챔버를 더 포함하는 것을 특징으로 하는 플라즈마 기판 가공 장치.The apparatus of claim 1, further comprising a chamber accommodating the stage and the ground electrode and providing a space in which a substrate processing process using the plasma is performed, and having a source gas supply port provided with a source gas for forming the plasma. Plasma substrate processing apparatus, characterized in that. 제4항에 있어서, 상기 기판과 평행하게 이동 가능하도록 상기 챔버에 장착되고, 상기 기판의 중심 영역 및 상기 가장자리 영역의 플라즈마 밀도를 측정하기 위한 프로브; 및The apparatus of claim 4, further comprising: a probe mounted to the chamber to move in parallel with the substrate, the probe configured to measure plasma density of the center region and the edge region of the substrate; And 상기 프로브의 측정 결과를 전송받아 상기 기판의 중심 영역과 상기 가장자리 영역의 플라즈마 밀도가 균일하도록 상기 가변 부하를 제어하는 제어부를 더 포함하는 것을 특징으로 하는 플라즈마 기판 가공 장치.And a control unit which receives the measurement result of the probe and controls the variable load so that the plasma density of the center region and the edge region of the substrate is uniform. 제1항에 있어서, 상기 RF 전원은,The method of claim 1, wherein the RF power source, 제1 주파수를 갖는 고주파 에너지를 제공하는 제1 전원; 및A first power source for providing high frequency energy having a first frequency; And 상기 제1 주파수와 다른 제2 주파수를 갖는 고주파 에너지를 제공하는 제2 전원을 포함하는 것을 특징으로 하는 플라즈마 기판 가공 장치.And a second power supply for providing high frequency energy having a second frequency different from the first frequency.
KR1020090044349A 2009-05-21 2009-05-21 Apparatus for plasma processing for substrate KR101068874B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090044349A KR101068874B1 (en) 2009-05-21 2009-05-21 Apparatus for plasma processing for substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090044349A KR101068874B1 (en) 2009-05-21 2009-05-21 Apparatus for plasma processing for substrate

Publications (2)

Publication Number Publication Date
KR20100125573A KR20100125573A (en) 2010-12-01
KR101068874B1 true KR101068874B1 (en) 2011-09-30

Family

ID=43503656

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090044349A KR101068874B1 (en) 2009-05-21 2009-05-21 Apparatus for plasma processing for substrate

Country Status (1)

Country Link
KR (1) KR101068874B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101909101B1 (en) * 2012-04-20 2018-10-17 세메스 주식회사 Plasma processing apparatus
KR102512209B1 (en) * 2018-11-16 2023-03-21 주식회사 원익아이피에스 Substrate processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070058727A (en) * 2005-12-05 2007-06-11 삼성전자주식회사 Apparatus for forming a plasma
KR20080060763A (en) * 2006-12-27 2008-07-02 세메스 주식회사 Apparatus of supporting substrate and apparatus of etching substrate having the same
KR20080073416A (en) * 2007-02-06 2008-08-11 (주)소슬 Plasma etching apparatus
KR20080082274A (en) * 2007-03-08 2008-09-11 (주)소슬 Plasma etching apparatus and system for processing a substrate including the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070058727A (en) * 2005-12-05 2007-06-11 삼성전자주식회사 Apparatus for forming a plasma
KR20080060763A (en) * 2006-12-27 2008-07-02 세메스 주식회사 Apparatus of supporting substrate and apparatus of etching substrate having the same
KR20080073416A (en) * 2007-02-06 2008-08-11 (주)소슬 Plasma etching apparatus
KR20080082274A (en) * 2007-03-08 2008-09-11 (주)소슬 Plasma etching apparatus and system for processing a substrate including the same

Also Published As

Publication number Publication date
KR20100125573A (en) 2010-12-01

Similar Documents

Publication Publication Date Title
CN112997270B (en) Edge ring control circuit in forming DC pulse plasma processing device
US10109462B2 (en) Dual radio-frequency tuner for process control of a plasma process
US11264208B2 (en) Plasma processing apparatus and method for controlling radio-frequency power supply of plasma processing apparatus
JP5867701B2 (en) Plasma processing equipment
EP1953796A2 (en) Plasma reactor with ion distribution uniformity controller employing plural VHF sources
JP2017092435A (en) Sensor and adjuster for consumable supply
US11170991B2 (en) Plasma processing apparatus
US9991100B2 (en) Plasma processing apparatus and control method
JP2015029093A5 (en)
JP7154119B2 (en) Control method and plasma processing apparatus
JP6055537B2 (en) Plasma processing method
CN111435635B (en) Processing method and plasma processing apparatus
KR101068874B1 (en) Apparatus for plasma processing for substrate
US11171007B2 (en) Plasma processing apparatus and plasma etching method
KR102316591B1 (en) Antenna for inductively coupled plasma generation apparatus and method of control thereof and inductively coupled plasma generation apparatus comprising the same
CN111192811A (en) Plasma processing apparatus and method of measuring shape of ring member
KR20240073132A (en) Sensorless RF impedance matching network
JPWO2019065847A1 (en) Piezoelectric substrate manufacturing apparatus and piezoelectric substrate manufacturing method
JP7318114B2 (en) Tuning method for improving plasma stability
KR20230098659A (en) Methods and Apparatus for Zone Control of RF Bias for Stress Uniformity
KR101184859B1 (en) Hybrid plasma source and plasma generating apparatus using the same
JP6510922B2 (en) PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD
TWI844155B (en) Method and system for processing substrate using sensorless rf impedance matching network, and non-transitory computer readable storage medium having stored thereon instructions that perform such method
CN118299246A (en) Edge ring control circuit in forming DC pulse plasma processing device
KR20240093943A (en) Method and apparatus for real-time wafer potential measurement in a plasma processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20111229

Effective date: 20120531

EXTG Extinguishment