KR101062290B1 - Method for forming contact plug of semiconductor device - Google Patents

Method for forming contact plug of semiconductor device Download PDF

Info

Publication number
KR101062290B1
KR101062290B1 KR1020040030239A KR20040030239A KR101062290B1 KR 101062290 B1 KR101062290 B1 KR 101062290B1 KR 1020040030239 A KR1020040030239 A KR 1020040030239A KR 20040030239 A KR20040030239 A KR 20040030239A KR 101062290 B1 KR101062290 B1 KR 101062290B1
Authority
KR
South Korea
Prior art keywords
silicon
substrate
gate
epi
contact
Prior art date
Application number
KR1020040030239A
Other languages
Korean (ko)
Other versions
KR20050104823A (en
Inventor
박성언
이석규
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040030239A priority Critical patent/KR101062290B1/en
Publication of KR20050104823A publication Critical patent/KR20050104823A/en
Application granted granted Critical
Publication of KR101062290B1 publication Critical patent/KR101062290B1/en

Links

Images

Classifications

    • GPHYSICS
    • G07CHECKING-DEVICES
    • G07FCOIN-FREED OR LIKE APPARATUS
    • G07F1/00Coin inlet arrangements; Coins specially adapted to operate coin-freed mechanisms
    • G07F1/04Coin chutes
    • G07F1/048Coin chutes with means for damping coin motion
    • AHUMAN NECESSITIES
    • A63SPORTS; GAMES; AMUSEMENTS
    • A63FCARD, BOARD, OR ROULETTE GAMES; INDOOR GAMES USING SMALL MOVING PLAYING BODIES; VIDEO GAMES; GAMES NOT OTHERWISE PROVIDED FOR
    • A63F13/00Video games, i.e. games using an electronically generated display having two or more dimensions
    • GPHYSICS
    • G07CHECKING-DEVICES
    • G07FCOIN-FREED OR LIKE APPARATUS
    • G07F1/00Coin inlet arrangements; Coins specially adapted to operate coin-freed mechanisms
    • G07F1/02Coin slots

Abstract

본 발명은 에피 실리콘의 형성시 이종 핵생성을 방지하면서 열처리 시간을 줄일 수 있는 반도체 소자의 콘택 플러그 형성방법을 개시한다. 개시된 본 발명에 따른 콘택 플러그 형성방법은, 수 개의 게이트 전극이 형성된 실리콘 기판을 제공하는 단계와, 상기 게이트 전극들이 형성된 기판 전면 상에 게이트 완충 산화막과 게이트 실링 질화막을 차례로 증착하는 단계와, 상기 게이트 전극들 사이의 기판 영역 내에 접합영역을 형성하는 단계와, 상기 기판 결과물 상에 게이트 스페이서 질화막과 층간절연막을 차례로 증착하는 단계와, 상기 층간절연막과 게이트 스페이서 질화막, 게이트 실링 질화막 및 게이트 완충 산화막을 식각하여 수 개의 게이트 전극 및 이들 사이의 기판 접합영역을 동시에 노출시키는 랜딩플러그 콘택홀을 형성하는 단계와, 상기 기판 접합영역 상에는 에피-실리콘이 성장되고 그 위에는 비정질-실리콘이 성장되도록 상기 기판 결과물 상에 실리콘 박막을 성장시키는 단계와, 상기 콘택 상단부의 핵생성 자리가 제거되도록 게이트 전극 상부의 비정질-실리콘을 제거하는 단계와, 상기 기판 결과물에 대해 열처리를 수행하여 랜딩플러그 콘택홀 내의 잔류된 비정질-실리콘을 에피-실리콘으로 재성장시키는 단계를 포함하는 것을 특징으로 한다. The present invention discloses a method for forming a contact plug of a semiconductor device capable of reducing heat treatment time while preventing heterogeneous nucleation upon formation of epi silicon. According to an aspect of the present invention, there is provided a method of forming a contact plug, comprising: providing a silicon substrate having several gate electrodes formed thereon, depositing a gate buffer oxide film and a gate sealing nitride film on the entire surface of the substrate on which the gate electrodes are formed; Forming a junction region in the substrate region between the electrodes, sequentially depositing a gate spacer nitride film and an interlayer insulating film on the substrate resultant, etching the interlayer insulating film, the gate spacer nitride film, the gate sealing nitride film, and the gate buffer oxide film Forming a landing plug contact hole simultaneously exposing several gate electrodes and a substrate junction region therebetween, wherein the epi-silicon is grown on the substrate junction region and the amorphous silicon is grown on the substrate junction region. Growing silicon thin film Removing the amorphous silicon on the gate electrode to remove the nucleation sites at the upper end of the contact, and performing heat treatment on the substrate resultant to convert the remaining amorphous silicon in the landing plug contact hole into epi-silicon. Regrowth.

Description

반도체 소자의 콘택 플러그 형성방법{Method for forming contact plug of semiconductor device}Method for forming contact plug of semiconductor device

도 1a 내지 도 1f는 본 발명의 실시예에 따른 콘택 플러그 형성방법을 설명하기 위한 공정별 단면도. 1A to 1F are cross-sectional views illustrating processes for forming a contact plug according to an exemplary embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

1 : 실리콘 기판 2 : 소자분리막1: silicon substrate 2: device isolation film

3 : 게이트산화막 4 : 게이트도전막3: gate oxide film 4: gate conductive film

4a : 폴리 실리콘막 4b : 금속막4a: polysilicon film 4b: metal film

5 : 하드마스크용 질화막 6 : 게이트 전극5: nitride film for hard mask 6: gate electrode

7 : 게이트 실링 질화막 8 : 접합영역7: gate sealing nitride film 8: junction region

9 : 게이트 스페이서 질화막 10 : 층간절연막9 gate spacer nitride film 10 interlayer insulating film

11 : 랜딩플러그 콘택홀 13 : 에피-실리콘11: Landing plug contact hole 13: Epi-silicon

14 : 비정질-실리콘 15 : 랜딩플러그14: amorphous-silicon 15: landing plug

15a : 콘택 플러그15a: contact plug

본 발명은 반도체 소자의 콘택 플러그 형성방법에 관한 것으로, 보다 상세하게는, 에피 실리콘의 형성시 이종 핵생성을 방지하면서 열처리 시간을 줄일 수 있는 콘택 플러그 형성방법에 관한 것이다. The present invention relates to a method for forming a contact plug of a semiconductor device, and more particularly, to a method for forming a contact plug which can reduce heat treatment time while preventing heterogeneous nucleation upon formation of epi silicon.

반도체 소자의 고집적화가 진행됨에 따라 게이트 길이가 작아지게 되었고, 이에 따라, 적절한 문턱전압(Vt)을 얻기 위하여 필연적으로 과도한 문턱전압 조절 이온주입을 요구하게 되었으며, 또한, 리프레쉬(refresh) 특성 및 전류구동력을 얻기 위하여 콘택저항을 낮추면서 도펀트의 원치 않는 확산을 방지하는 것이 필수적이 되었다. As the integration of semiconductor devices progresses, the gate length becomes smaller, and accordingly, excessive threshold voltage control ion implantation is inevitably required in order to obtain an appropriate threshold voltage (Vt). In addition, refresh characteristics and current driving force are required. It is essential to reduce the contact resistance and to avoid unwanted diffusion of the dopant to obtain

여기서, 콘택 물질로 폴리실리콘을 플러그 공정에 사용하는 경우, 적절한 콘택저항을 얻기 위하여 대략 ∼1E20 오더(order)의 인(P) 도핑을 하는 것이 일반적인데, 이러한 인(P)이 후속 열 공정에 의하여 접합영역이나 셀 트랜지스터로 확산됨에 따라 리프레쉬 특성에 악영향을 미치게 된다. 반면, 인(P)의 도핑농도를 무조건 낮추면, 콘택저항이 증가하여 전류구동능력이 크게 감소하기 때문에, 적절한 공정 조건을 확보하는 것이 필수적이다. Here, when polysilicon is used in the plug process as the contact material, it is common to do a phosphorus (P) order of approximately -1E20 order in order to obtain an appropriate contact resistance, and this phosphorus (P) is used in a subsequent thermal process. As a result, diffusion into the junction region or the cell transistor adversely affects the refresh characteristics. On the other hand, if the doping concentration of phosphorus (P) is unconditionally lowered, since the contact resistance increases and the current driving ability is greatly reduced, it is essential to secure appropriate process conditions.

한편, 콘택플러그를 형성함에 있어서, 기판 표면과 콘택 플러그의 계면에 디펙트(defect)가 존재하는 경우에는 소자 신뢰성이 저하된다. On the other hand, in forming the contact plug, when there is a defect at the interface between the substrate surface and the contact plug, device reliability is lowered.

따라서, 이와 같은 문제를 해결하기 위해 에피-실리콘(epi-Si)을 콘택 물질로 이용하는 방법이 제안되었다. 이러한 에피-실리콘을 플러그로 이용하면, 인(P)의 도핑 농도가 낮더라도 계면 특성이 향상되기 때문에 콘택저항을 낮출 수 있다. 다만, 에피 실리콘의 성장 공정은 써멀 버짓(thermal budget)이 높은 편이기 때문 에, 써멀 버짓이 낮으면서도 기판 표면과 콘택 플러그간 계면 특성이 우수한 플러그 구조가 요구된다. Therefore, in order to solve such a problem, a method of using epi-silicon (epi-Si) as a contact material has been proposed. When the epi-silicon is used as a plug, even if the doping concentration of phosphorus (P) is low, the contact resistance can be lowered because the interface property is improved. However, since the epitaxial growth process has a high thermal budget, a plug structure having a low thermal budget and excellent interfacial properties between the substrate surface and the contact plug is required.

상기 에피-실리콘을 형성하기위한 방법의 하나로 SPE(Solid Phase Epitaxy) 방법이 개발되었다. 이 SPE 방법은 500∼650℃ 정도의 낮은 온도에서 비정질-실리콘을 증착한 후, 500∼800℃의 온도범위에서 후속 열처리를 행하여 에피-실리콘이 성장되도록 하는 방법이다. As one of the methods for forming the epi-silicon, a solid phase epitaxy (SPE) method has been developed. This SPE method is a method of depositing amorphous-silicon at a low temperature of about 500 to 650 ° C., followed by subsequent heat treatment in a temperature range of 500 to 800 ° C. to allow epi-silicon to grow.

그런데, 이러한 SPE 방법은 비정질로 증착한 실리콘을 후속 열처리를 통해 재결정화시킴에 있어서, 콘택 계면에서는 에피 실리콘이 성장하지만, 열처리 온도가 높을 경우 씨드(seed)가 되는 기판 액티브 영역 이외 부분에서 핵생성 및 재결정화가 일어나 부분적으로 폴리 실리콘이 형성되기 쉬우므로 콘택 특성을 향상시킴에 어려움이 있고, 반면, 열처리 온도가 낮을 경우 열처리 시간의 과도화에 기인해서 양산시 문제가 될 수 있다. However, in the SPE method, in order to recrystallize amorphous silicon deposited through a subsequent heat treatment, epi silicon grows at the contact interface, but nucleation occurs at a portion other than the substrate active region that becomes seed when the heat treatment temperature is high. And it is difficult to improve the contact characteristics because the recrystallization is easily formed partly polysilicon, on the other hand, if the heat treatment temperature is low may be a problem during mass production due to excessive heat treatment time.

자세하게, Y. M. Ha et al., J. Elec. Mat., Vol. 23, No. 1 p. 39(1994)의 문헌에 따르면, 깨끗한 실리콘(Si) 표면에 비정질-실리콘이 증착될 때, 어느 정도의 두께까지는 에피-실리콘이 성장하지만, 그 후에는 비정질-실리콘이 성장하게 된다. 따라서, 상기 비정질-실리콘을 에피-실리콘으로 재성장시켜야 하며, 이를 위해, 상기 결과물을 500∼800℃에서 후속 열처리를 수행하면, 상기 에피-실리콘을 씨드로 하여 비정질-실리콘이 에피-실리콘으로 성장하지만, 우선, 열처리 온도가 높을 경우는 증착된 비정질-실리콘과 콘택 벽면 또는 콘택 상단부의 실리콘/실리콘산화막이나 실리콘/실리콘질화막 등과 같이 이종 계면(heterogeneous interface)이 존재하는 것과 관련해서 이러한 계면에서 핵생성이 일어나 폴리-실리콘이 성장하게 되고, 이렇게 되면, 플러그 물질이 에피-실리콘과 폴리-실리콘으로 혼재되어 있게 되므로, 에피-실리콘에 비해 거의 10배 이상 높은 폴리-실리콘의 높은 면저항으로 인해 콘택저항 특성은 저하될 수 밖에 없다. 반면, 열처리 온도를 낮춘 경우는 상기한 이종 핵생성을 어느 정도 억제할 수 있겠지만 열처리 시간이 길어져 양산성이 현저히 떨어지게 된다. In detail, Y. M. Ha et al., J. Elec. Mat., Vol. 23, No. 1 p. 39 (1994), when amorphous-silicon is deposited on a clean silicon (Si) surface, epi-silicon grows to a certain thickness, but then amorphous-silicon grows. Therefore, the amorphous-silicon must be regrown to epi-silicon. For this purpose, if the resultant heat treatment is performed at 500 to 800 ° C., the amorphous-silicon grows to epi-silicon with the epi-silicon as a seed. First of all, when the heat treatment temperature is high, nucleation at these interfaces is related to the presence of heterogeneous interfaces such as deposited amorphous-silicon and contact walls or silicon / silicon oxide films or silicon / silicon nitride films on top of the contacts. This results in the growth of poly-silicon, which causes the plug material to be mixed with epi-silicon and poly-silicon, resulting in high sheet resistance of poly-silicon, which is almost 10 times higher than epi-silicon. It can only be reduced. On the other hand, when the heat treatment temperature is lowered, the heterogeneous nucleation can be suppressed to some extent, but the heat treatment time becomes longer, which leads to a significant decrease in mass productivity.

따라서, 본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로서, SPE 방법에 따라 에피-실리콘으로 이루어진 콘택 플러그를 형성함에 있어서 이종 핵생성을 방지하면서 열처리 시간을 줄일 수 있는 반도체 소자의 콘택 플러그 형성방법을 제공함에 그 목적이 있다.Therefore, the present invention has been made to solve the above problems, forming a contact plug of a semiconductor device that can reduce the heat treatment time while preventing heterogeneous nucleation in forming a contact plug made of epi-silicon according to the SPE method The purpose is to provide a method.

또한, 본 발명은 이종 핵생성의 방지를 통해 콘택 특성을 확보하면서 열처리 시간의 감소를 통해 양산성을 확보할 수 있는 반도체 소자의 콘택 플러그 형성방법을 제공함에 그 다른 목적이 있다.Another object of the present invention is to provide a method for forming a contact plug of a semiconductor device capable of securing mass productivity through reduction of heat treatment time while securing contact characteristics through prevention of heterogeneous nucleation.

상기와 같은 목적을 달성하기 위하여, 본 발명은, 수 개의 게이트 전극이 형성된 실리콘 기판을 제공하는 단계; 상기 게이트 전극들이 형성된 기판 전면 상에 게이트 완충 산화막과 게이트 실링 질화막을 차례로 증착하는 단계; 상기 게이트 전극들 사이의 기판 영역 내에 접합영역을 형성하는 단계; 상기 기판 결과물 상에 게이트 스페이서 질화막과 층간절연막을 차례로 증착하는 단계; 상기 층간절연막과 게이트 스페이서 질화막, 게이트 실링 질화막 및 게이트 완충 산화막을 식각하여 수 개의 게이트 전극 및 이들 사이의 기판 접합영역을 동시에 노출시키는 랜딩플러그 콘택홀을 형성하는 단계; 상기 기판 접합영역 상에는 에피-실리콘이 성장되고 그 위에는 비정질-실리콘이 성장되도록 상기 기판 결과물 상에 실리콘 박막을 성장시키는 단계; 상기 콘택 상단부의 핵생성 자리가 제거되도록 게이트 전극 상부의 비정질-실리콘을 제거하는 단계; 및 상기 기판 결과물에 대해 열처리를 수행하여 랜딩플러그 콘택홀 내의 잔류된 비정질-실리콘을 에피-실리콘으로 재성장시키는 단계를 포함하는 반도체 소자의 콘택 플러그 형성방법을 제공한다. In order to achieve the above object, the present invention comprises the steps of providing a silicon substrate formed with several gate electrodes; Sequentially depositing a gate buffer oxide film and a gate sealing nitride film on the entire surface of the substrate on which the gate electrodes are formed; Forming a junction region in the substrate region between the gate electrodes; Sequentially depositing a gate spacer nitride film and an interlayer insulating film on the substrate resultant; Etching the interlayer insulating film, the gate spacer nitride film, the gate sealing nitride film, and the gate buffer oxide film to form a landing plug contact hole exposing several gate electrodes and a substrate junction region therebetween at the same time; Growing a silicon thin film on the substrate output such that epi-silicon is grown on the substrate junction region and amorphous-silicon is grown thereon; Removing amorphous silicon on the gate electrode such that nucleation sites at the top of the contact are removed; And re-growing the amorphous-silicon remaining in the landing plug contact hole to epi-silicon by performing heat treatment on the substrate resultant.

여기서, 상기 실리콘 박막의 성장은 1∼100Torr의 압력 및 550∼650℃의 온도에서 수행하며, 상기 비정질-실리콘을 에피-실리콘으로 재성장시키기 위한 열처리는 500∼700℃의 온도 및 질소 분위기에서 30분 이상 수행한다. Here, the growth of the silicon thin film is carried out at a pressure of 1 ~ 100 Torr and a temperature of 550 ~ 650 ℃, heat treatment to regrow the amorphous-silicon into epi-silicon 30 minutes at a temperature of 500 ~ 700 ℃ and nitrogen atmosphere Do more than

또한, 본 발명에 따른 반도체 소자의 콘택 플러그 형성방법은, 상기 랜딩플러그 콘택홀을 형성하는 단계 후, 그리고, 실리콘 박막을 성장시키는 단계 전, 깨끗한 콘택 표면이 얻어지도록 건식 및 습식 세정과 수소 베이크로 구성된 전처리 공정을 수행하는 단계를 더 포함한다. In addition, in the method for forming a contact plug of a semiconductor device according to the present invention, after the forming of the landing plug contact hole and before the growing of the silicon thin film, dry and wet cleaning and hydrogen baking are performed to obtain a clean contact surface. It further comprises the step of performing the configured pretreatment process.

(실시예)(Example)

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하도록 한다. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

우선, 본 발명의 기술적 원리를 설명하면 다음과 같다. First, the technical principle of the present invention will be described.

SPE 방법에 따라 에피-실리콘을 성장시킬 경우, 이종 핵생성이 주로 일어나 는 위치는 콘택의 상단부이다. 이것의 원인은 현재 명확하게 밝혀지지 않았지만, 콘택 상단부에 상대적으로 이온주입 데미지(implantation damage) 등이 많이 생겨서 이것이 활성화된 것에 기인한 것으로 추정되고 있다. When epi-silicon is grown according to the SPE method, the location where heterogeneous nucleation occurs is at the top of the contact. The cause of this is not clear at present, but it is presumed to be due to the activation of the ion implantation damage (implantation damage) relative to the upper end of the contact.

따라서, 이 콘택 상단부를 제거하면, 이종 핵생성이 일어나지 않는 조건을 확보할 수 있으며, 아울러, 열처리 시간을 감소시키면서 양호한 에피-실리콘의 콘택 플러그를 형성할 수 있다. Therefore, by removing the upper end portion of the contact, it is possible to ensure a condition where heterogeneous nucleation does not occur, and also to form a good epi-silicon contact plug while reducing the heat treatment time.

이에, 본 발명은 비정질-실리콘을 에피-실리콘으로 재성장시키기 위한 후속 열공정을 진행하기 전에 게이트 상부의 비정질-실리콘을 에치백 또는 CMP 등으로 제거하고, 이후에 상기 비정질-실리콘을 에피-실리콘으로 재성장시킨다.Accordingly, the present invention removes the amorphous-silicon of the gate top with an etch back or CMP, etc. before proceeding with the subsequent thermal process for regrowing the amorphous-silicone into epi-silicon, and then the amorphous-silicone to epi-silicon Regrow.

이렇게 되면, 이온주입 데미지 등이 많이 생긴 콘택 상단부가 제거되므로, 비정질-실리콘을 에피-실리콘으로 재성장시키기 위한 후속 열공정시 온도를 높게 하더라도 이종 핵생성을 최대한 억제시켜 콘택 특성을 확보할 수 있으며, 아울러, 열처리 온도를 높게 하는 것을 통해 열처리 시간을 상대적으로 감소시켜서 양산성을 향상시킬 수 있게 된다. In this case, since the upper portion of the contact in which the ion implantation damage is generated is removed, the contact characteristics can be secured by restraining heterogeneous nucleation as much as possible in the subsequent thermal process for re-growing amorphous silicon to epi-silicon. By increasing the heat treatment temperature, the heat treatment time can be relatively reduced to improve mass productivity.

자세하게, 도 1a 내지 도 1f는 본 발명의 실시예에 따른 콘택 플러그 형성방법을 설명하기 위한 공정별 단면도로서, 이를 설명하면 다음과 같다. 1A to 1F are cross-sectional views illustrating processes for forming a contact plug according to an exemplary embodiment of the present invention.

도 1a를 참조하면, 실리콘 기판(1)의 적소에 STI(Shallow Trench Isolation) 공정에 따라 소자분리막(2)을 형성한 후, 웰 형성을 위한 이온주입, 펀치스루 방지를 위한 이온주입 및 문턱전압 조절을 위한 이온주입 등을 차례로 진행한다. 그런다음, 상기 실리콘 기판(1)의 전면 상에 게이트산화막(3)과 게이트도전막(4) 및 하 드마스크용 질화막(5)을 차례로 형성한 후, 이들을 패터닝하여 게이트 전극(6)을 형성한다. Referring to FIG. 1A, after the device isolation layer 2 is formed in place of the silicon substrate 1 according to a shallow trench isolation (STI) process, ion implantation for well formation and ion implantation and threshold voltage for punchthrough prevention are performed. Ion implantation is performed in order. Then, the gate oxide film 3, the gate conductive film 4, and the hard mask nitride film 5 are sequentially formed on the entire surface of the silicon substrate 1, and then patterned to form the gate electrode 6. do.

여기서, 상기 게이트도전막(4)은 폴리실리콘막(4a) 또는 금속막(4b)의 단일막으로 구성하거나, 또는, 상기 폴리실리콘막(4a)과 금속막(4b)의 적층막으로 구성할 수 있으며, 상기 금속막(4b)으로서는 바람직하게 고온에서의 안정성이 우수한 텅스텐실리사이드 또는 텅스텐을 이용한다. The gate conductive film 4 may be formed of a single film of the polysilicon film 4a or the metal film 4b, or may be formed of a laminated film of the polysilicon film 4a and the metal film 4b. As the metal film 4b, tungsten silicide or tungsten having excellent stability at high temperature is preferably used.

도 1b를 참조하면, 열산화 또는 CVD 공정을 행하여 기판 결과물 상에 게이트 완충 산화막(도시안됨)을 형성한 후, 접합영역을 형성하기 위한 1차 이온주입을 행한다. 그런다음, 기판(1) 전면 상에 게이트 실링 질화막(7)을 증착한 후, 2차 이온주입을 행하여 접합영역(8)을 형성한다. 이때, 접합영역(8)의 형성을 2회로 나누어 수행하므로, 상기 접합영역(8)에서의 불순물 도핑 프로파일을 완만하게 만들어 게이트 전극(6) 끝단에서의 전기장 집중을 완화하고, 접합영역(8)에서의 누설전류를 감소시킬 수 있다. Referring to FIG. 1B, a thermal oxidation or CVD process is performed to form a gate buffer oxide film (not shown) on the substrate resultant, followed by primary ion implantation to form a junction region. Thereafter, after the gate sealing nitride film 7 is deposited on the entire surface of the substrate 1, secondary ion implantation is performed to form the junction region 8. At this time, since the formation of the junction region 8 is performed in two times, the impurity doping profile in the junction region 8 is relaxed to reduce the concentration of the electric field at the ends of the gate electrode 6, and thus the junction region 8 is formed. The leakage current at can be reduced.

계속해서, 도시하지 않았으나, 공지의 방법에 따라 주변회로부 트랜지스터의 접합영역을 형성한 후, 기판 전면 상에 게이트 스페이서 질화막(9)을 증착한다. 그런다음, 상기 게이트 스페이서 질화막(9) 상에 층간절연막(10)을 증착한 후, 랜딩플러그콘택(landing plug contact) 공정에 따라 상기 층간절연막(10), 게이트 스페이서 질화막(9), 게이트 실링 질화막(7) 및 게이트 완충 산화막을 차례로 건식식각하여 수 개의 게이트 전극(6) 및 이들 사이의 기판 접합영역(8)을 동시에 노출시키는 콘택홀, 즉, 랜딩플러그 콘택홀(11)을 형성한다. Subsequently, although not shown, after forming the junction region of the peripheral circuit portion transistor according to a known method, the gate spacer nitride film 9 is deposited on the entire surface of the substrate. Then, after the interlayer insulating film 10 is deposited on the gate spacer nitride film 9, the interlayer insulating film 10, the gate spacer nitride film 9, and the gate sealing nitride film are processed by a landing plug contact process. (7) and the gate buffer oxide film are sequentially etched to form a contact hole, that is, a landing plug contact hole 11, which simultaneously exposes several gate electrodes 6 and the substrate bonding region 8 therebetween.

도 1c를 참조하면, 깨끗한 콘택 계면이 얻어지도록 랜딩플러그 콘택홀(11)이 형성된 기판 결과물에 대해 전처리 공정, 즉, 건식 및 습식 세정과 수소(H2) 베이크를 차례로 실시한다. Referring to FIG. 1C, a pretreatment process, that is, dry and wet cleaning, and hydrogen (H 2) baking are sequentially performed on a substrate resultant in which the landing plug contact hole 11 is formed to obtain a clean contact interface.

여기서, 상기 전처리 공정은 랜딩플러그 콘택홀 형성을 위한 식각시 발생하는 식각잔류물의 완전한 제거, 식각손상의 제거 및 셀 콘택 표면 자연산화막의 제거를 목적으로 그 공정 조건을 설정한다. 구체적으로, 식각잔류물과 식각손상의 제거는 셀 콘택 식각 및 감광막 제거 후 원거리 플라즈마(Remote plasma) 또는 낮은 파워 플라즈마(low power plasma) 상태에서 NF3/He/O2 계열, CF4/O2 계열 또는 Ar/O2 계열 등의 반응가스에 의한 미소 식각(light etch)으로 진행한다. 이와 같은 미소 식각 공정에 의해 셀 콘택 식각시 발생하는 C-F, C-O, C-C 등의 폴리머성 식각잔류물이 제거되며, 미소 식각 후에는 미소 식각시 공급된 산소 가스로 인해 셀 콘택 실리콘 표면에 1∼4㎚ 정도의 실리콘산화막이 생성된다. 따라서, 미소 식각 후에는 셀 콘택 실리콘 표면에 생성된 실리콘산화막을 제거하기 위해 HF 또는 BOE 용액을 등을 이용한 습식 세정 또는 HF 증기를 이용한 증기 세정 등의 불소 계열 전세정을 실시한다. Here, the pretreatment process sets the process conditions for the purpose of complete removal of etch residues generated during etching for forming the landing plug contact hole, removal of etch damage, and removal of the natural oxide film of the cell contact surface. Specifically, the removal of the etch residue and the etch damage is performed in the NF3 / He / O2 series, CF4 / O2 series, or Ar / in a remote plasma or low power plasma state after cell contact etching and photoresist removal. It proceeds to light etching by reaction gas, such as O2 series. Such micro-etching process removes polymeric etching residues such as CF, CO, and CC generated during cell contact etching, and after micro-etching, 1 to 4 surfaces on the cell contact silicon due to the oxygen gas supplied during micro-etching. A silicon oxide film of about nm is produced. Therefore, after micro-etching, fluorine-based pre-cleaning such as wet cleaning using HF or BOE solution or steam cleaning using HF steam is performed to remove the silicon oxide film formed on the cell contact silicon surface.

또한, 상기와 같은 전세정을 한 기판을 실리콘 증착 장비에 장입할 때부터 증착 전까지 오염이나 계면산화막 생성을 억제할 필요가 있다. 이에, 전세정이 끝난 기판은 적어도 4시간 이내에 기판 결과물을 증착 장비에 장입하며, 장입시에는 진공을 유지하거나 또는 대기압 상태에서 장입하더라도 고순도 질소, 아르곤 등 불활성 가스로 퍼지하고, 산소 농도를 10ppm 이하를 유지한다. 이것은 400℃ 이상 고온의 반응챔버로 기판 압입시 분위기 산소 농도가 10ppm 이상일 경우 콘택 계면에 0.5㎚ 이상의 계면산화막이 생성되며, 이로인해, 에피 실리콘 성장을 위한 후속 열공정시 기판 접합영역의 단결정 실리콘이 씨드(seed) 역할을 할 수 없음으로 인해 셀 콘택 랜딩플러그가 전부 폴리 실리콘으로 결정화되기 때문이다. In addition, it is necessary to suppress the contamination or the formation of the interfacial oxide film before the deposition from the time of loading the pre-cleaned substrate into the silicon deposition equipment. Therefore, the substrate which has been pre-cleaned is charged to the deposition equipment within at least 4 hours, and at the time of charging, even if it is kept at a vacuum or charged at atmospheric pressure, it is purged with inert gas such as high purity nitrogen and argon, and the oxygen concentration is 10 ppm or less. Keep it. It is a reaction chamber with a high temperature of 400 ℃ or higher, and when the oxygen concentration in the substrate is 10ppm or more, a surface oxide film of 0.5 nm or more is formed at the contact interface. As a result, the single crystal silicon of the substrate bonding region is seeded during the subsequent thermal process for epi silicon growth. This is because the cell contact landing plugs are all crystallized from polysilicon because they cannot play a role.

그러므로, 보다 더 확실한 계면산화막 제거를 위해 기판 장입 후, 그리고, 비정질 실리콘의 증착전에 750℃ 이상의 온도에서 수소 베이크를 수행하며, 이를통해, 전세정 후 기판 반송 및 증착장비로의 장입시 발생된 계면산화막을 완전히 제거한다. Therefore, hydrogen baking is performed at a temperature of 750 ° C. or higher after the loading of the substrate and before the deposition of the amorphous silicon for more reliable removal of the interfacial oxide film, and through this, the interface generated during the transfer to the substrate and the loading into the deposition equipment. Completely remove the oxide film.

도 1d를 참조하면, 랜딩플러그 콘택홀(11)을 포함한 층간절연막(10) 상에 실리콘 박막을 성장시킨다. 이때, 콘택 계면에서는 기판 접합영역(8)의 단결정실리콘이 씨드(sed)로 작용하여 에피-실리콘(13)이 성장되며, 그 위로는 비정질-실리콘(14)으로 성장된다. 이때, 콘택 계면에는 에피-실리콘(13)이 성장되도록 하고, 그 이외에는 비정질-실리콘(14)으로 성장되도록 하기 위해 상기 실리콘 증착은 1∼100Torr의 압력 및 550∼650℃ 온도로 수행한다. 또한, 박막 내 인(P) 도핑 농도는 소자의 후속 집적 공정시 가해지는 전체 열공정을 합한 열합계, 즉, 써멀 버짓을 고려하여 1E19∼1E20 원자/㎤ 범위로 조절한다. Referring to FIG. 1D, a silicon thin film is grown on the interlayer insulating film 10 including the landing plug contact hole 11. At this time, at the contact interface, the single crystal silicon of the substrate junction region 8 acts as a seed, and the epi-silicon 13 is grown, and the amorphous silicon is grown thereon. At this time, the silicon deposition is carried out at a pressure of 1 to 100 Torr and a temperature of 550 to 650 ° C to allow the epi-silicon 13 to be grown on the contact interface and to grow to the amorphous-silicon 14 otherwise. In addition, the phosphorus (P) doping concentration in the thin film is adjusted in the range of 1E19 to 1E20 atoms / cm 3 in consideration of the thermal summation, that is, the thermal budget, which adds up the entire thermal process applied during the subsequent integration process of the device.

도 1e를 참조하면, 게이트 전극(6)이 노출되도록 비정질-실리콘(14)을 에치백 또는 CMP하고, 이를 통해, 1차적으로 게이트 전극(6) 사이의 기판 접합영역(8) 상에 비트라인 콘택 및 스토리지 노드 콘택이 되는 랜딩플러그(15)를 형성한다. 이때, 상기 비정질-실리콘(14)에 대한 에치백 또는 CMP가 수행되는 것에 의해 콘택 상단부의 이온주입 데미지는 제거되며, 따라서, 핵생성 자리(nucleation site)가 제거되어 후속 열공정시 이종 핵생성은 일어나지 않게 된다. Referring to FIG. 1E, the amorphous-silicon 14 is etched back or CMP such that the gate electrode 6 is exposed, thereby primarily forming a bit line on the substrate junction region 8 between the gate electrodes 6. A landing plug 15 that is a contact and a storage node contact is formed. At this time, the ion implantation damage of the upper portion of the contact is removed by performing the etch back or CMP on the amorphous-silicon 14, and thus, nucleation sites are removed so that heterogeneous nucleation does not occur during subsequent thermal processes. Will not.

도 1f를 참조하면, 상기 단계까지의 기판 결과물에 대해 500∼700℃의 온도 및 질소 분위기에서 30분 이상 후속 열공정을 수행하고, 이를 통해, 콘택 계면에 성장시킨 에피-실리콘을 씨드로 해서 잔류된 비정질-실리콘을 에피-실리콘으로 재결정화시켜 최종적으로 에피-실리콘(13)으로 이루어진 랜딩플러그, 즉, 콘택 플러그(15a)를 형성한다.Referring to FIG. 1F, the substrate resulted to the above step is subjected to a subsequent thermal process at a temperature of 500 to 700 ° C. and a nitrogen atmosphere for at least 30 minutes, through which the epi-silicon grown on the contact interface remains as a seed. The amorphous-silicon thus formed is recrystallized from epi-silicon to form a landing plug, that is, a contact plug 15a, which is finally made of epi-silicon 13.

여기서, 이전 공정단계에서 에치백 또는 CMP를 통해 핵생성 자리를 제거하였기 때문에, 비정질-실리콘이 에피-실리콘으로 재결정화되는 동안, 콘택의 벽면인 게이트 스페이서 질화막(9) 또는 층간절연막(10)과 비정질-실리콘 계면에서 핵생성 및 결정성장이 일어나지 않으며, 따라서, 콘택 상단부에서의 폴리-실리콘으로의 재결정화를 억제시킬 수 있어 콘택 특성을 확보할 수 있고, 반면, 열처리 온도를 높게 하는 것을 통해 열처리 시간을 감소시켜서 양산성을 높일 수 있다. Here, since the nucleation site is removed through the etch back or CMP in the previous process step, the gate spacer nitride film 9 or the interlayer insulating film 10, which is a wall of the contact, is formed while the amorphous silicon is recrystallized into epi-silicon. Nucleation and crystal growth do not occur at the amorphous-silicon interface, and therefore, recrystallization into poly-silicon at the upper end of the contact can be suppressed to secure contact properties, while heat treatment is performed by increasing the heat treatment temperature. Reduced time can be used to increase productivity.

이후, 공지된 일련의 후속 공정들을 차례로 진행하여 반도체 소자를 완성한다. Subsequently, a series of known subsequent processes are sequentially performed to complete the semiconductor device.

이상에서와 같이, 본 발명은 SPE 방법을 적용하여 콘택플러그를 형성함에 있어서 초기 에피-실리콘의 성장 후에 에치백 또는 CMP로 이종 핵생성이 일어나는 핵생성 자리를 미리 제거해 줌으로써 후속하는 열공정시 열처리 온도를 높게 하면서도 이종 핵생성을 최대한 억제시켜 콘택 특성을 확보할 수 있으며, 반면, 열처리 온도를 높게 하는 것을 통해 열처리 시간은 상대적으로 감소시켜 양산성을 향상시 킬 수 있다. As described above, the present invention removes the nucleation site where heterogeneous nucleation occurs by etch back or CMP after the initial epi-silicon growth in forming the contact plug by applying the SPE method to reduce the heat treatment temperature during the subsequent thermal process. While it is possible to increase the contact characteristics by suppressing heterogeneous nucleation as high as possible, on the other hand, by increasing the heat treatment temperature, heat treatment time can be relatively reduced to improve mass productivity.

따라서, 본 발명은 콘택 물질로서 에피 실리콘층을 이용하므로 콘택 특성은 물론 리프레쉬 특성을 향상시킬 수 있고, 또한, 양산성을 향상시킬 수 있다.Therefore, since the present invention uses the epi silicon layer as the contact material, not only the contact characteristics but also the refresh characteristics can be improved, and the mass productivity can be improved.

이상, 여기에서는 본 발명의 특정 실시예에 대하여 설명하고 도시하였지만, 당업자에 의하여 이에 대한 수정과 변형을 할 수 있으며, 그러므로, 이하 특허청구범위는 본 발명의 진정한 사상과 범위에 속하는 한 모든 수정과 변형을 포함하는 것으로 이해할 수 있다. Hereinbefore, the specific embodiments of the present invention have been described and illustrated, but modifications and variations can be made by those skilled in the art. It is understood to include variations.

Claims (4)

수 개의 게이트 전극이 형성된 실리콘 기판을 제공하는 단계; Providing a silicon substrate having several gate electrodes formed thereon; 상기 게이트 전극들이 형성된 기판 전면 상에 게이트 완충 산화막을 형성하는 단계; Forming a gate buffer oxide layer on an entire surface of the substrate on which the gate electrodes are formed; 상기 게이트 완충 산화막이 형성된 기판 결과물에 대해 접합영역을 형성하기 위한 1차 이온주입을 수행하는 단계;Performing primary ion implantation to form a junction region on the substrate product on which the gate buffer oxide film is formed; 상기 1차 이온주입이 수행된 기판 결과물 상에 게이트 실링 질화막을 증착하는 단계; Depositing a gate sealing nitride film on the substrate resulted from the primary ion implantation; 상기 게이트 실링 질화막이 형성된 기판 결과물에 대해 2차 이온주입을 수행하여 상기 게이트 전극들 사이의 기판 영역 내에 접합영역을 형성하는 단계; Performing secondary ion implantation on a substrate resultant on which the gate sealing nitride film is formed to form a junction region in a substrate region between the gate electrodes; 상기 게이트 전극들 사이의 기판 영역 내에 상기 접합영역이 형성된 기판 결과물 상에 게이트 스페이서 질화막과 층간절연막을 차례로 증착하는 단계; Sequentially depositing a gate spacer nitride film and an interlayer insulating film on a substrate resultant in which the junction region is formed in the substrate region between the gate electrodes; 상기 층간절연막과 게이트 스페이서 질화막, 게이트 실링 질화막 및 게이트 완충 산화막을 식각하여 수 개의 게이트 전극 및 이들 사이의 기판 접합영역을 동시에 노출시키는 랜딩플러그 콘택홀을 형성하는 단계; Etching the interlayer insulating film, the gate spacer nitride film, the gate sealing nitride film, and the gate buffer oxide film to form a landing plug contact hole exposing several gate electrodes and a substrate junction region therebetween at the same time; 상기 기판 접합영역 상에는 에피-실리콘이 성장되고 그 위에는 비정질-실리콘이 성장되도록 상기 랜딩플러그 콘택홀이 형성된 기판 결과물 상에 실리콘 박막을 성장시키는 단계; Growing a silicon thin film on a substrate product on which the landing plug contact hole is formed such that epi-silicon is grown on the substrate junction region and amorphous silicon is grown thereon; 상기 콘택 상단부의 핵생성 자리가 제거되도록 게이트 전극 상부의 비정질-실리콘을 제거하는 단계; 및 Removing amorphous silicon on the gate electrode such that nucleation sites at the top of the contact are removed; And 상기 게이트 전극 상부의 비정질-실리콘이 제거된 기판 결과물에 대해 열처리를 수행하여 랜딩플러그 콘택홀 내의 잔류된 비정질-실리콘을 에피-실리콘으로 재성장시키는 단계;Performing a heat treatment on the amorphous silicon-substrate substrate on the gate electrode to regrow the amorphous silicon in the landing plug contact hole to epi-silicon; 를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법.Contact plug forming method of a semiconductor device comprising a. 청구항 2은(는) 설정등록료 납부시 포기되었습니다.Claim 2 has been abandoned due to the setting registration fee. 제 1 항에 있어서, 상기 랜딩플러그 콘택홀을 형성하는 단계 후, 그리고, 실리콘 박막을 성장시키는 단계 전, 깨끗한 콘택 표면이 얻어지도록 건식 및 습식 세정과 수소 베이크로 구성된 전처리 공정을 수행하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. The method of claim 1, further comprising performing a pretreatment process consisting of dry and wet cleaning and hydrogen bake to obtain a clean contact surface after forming the landing plug contact hole and before growing the silicon thin film. Method for forming a contact plug of a semiconductor device comprising a. 청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 제 1 항에 있어서, 상기 실리콘 박막을 성장시키는 단계는 The method of claim 1, wherein the growing of the silicon thin film 1∼100Torr의 압력 및 550∼650℃의 온도로 수행하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. A contact plug forming method of a semiconductor device, characterized in that carried out at a pressure of 1 ~ 100 Torr and a temperature of 550 ~ 650 ℃. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.Claim 4 was abandoned when the registration fee was paid. 제 1 항에 있어서, 상기 비정질-실리콘을 에피-실리콘으로 재성장시키기 위한 열처리는 500∼700℃의 온도 및 질소 분위기에서 30분 이상 수행하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. The method of claim 1, wherein the heat treatment for regrowing the amorphous silicon to epi-silicon is performed for 30 minutes or more at a temperature of 500 to 700 ° C. and a nitrogen atmosphere.
KR1020040030239A 2004-04-29 2004-04-29 Method for forming contact plug of semiconductor device KR101062290B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040030239A KR101062290B1 (en) 2004-04-29 2004-04-29 Method for forming contact plug of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040030239A KR101062290B1 (en) 2004-04-29 2004-04-29 Method for forming contact plug of semiconductor device

Publications (2)

Publication Number Publication Date
KR20050104823A KR20050104823A (en) 2005-11-03
KR101062290B1 true KR101062290B1 (en) 2011-09-05

Family

ID=37282288

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040030239A KR101062290B1 (en) 2004-04-29 2004-04-29 Method for forming contact plug of semiconductor device

Country Status (1)

Country Link
KR (1) KR101062290B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11631677B2 (en) 2020-12-01 2023-04-18 Samsung Electronics Co., Ltd. Semiconductor memory device using different crystallinities in storage node contact and a method of manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100359775B1 (en) * 2000-01-21 2002-11-04 주식회사 하이닉스반도체 method for manufacturing of semiconductor device
US20030060028A1 (en) * 2001-09-13 2003-03-27 Stmicroelectronics S.R.L. Method for forming an interface free layer of silicon on a substrate of monocrystalline silicon
KR100381022B1 (en) * 2001-06-30 2003-04-23 주식회사 하이닉스반도체 Method of forming gate for reduction of leakage current

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100359775B1 (en) * 2000-01-21 2002-11-04 주식회사 하이닉스반도체 method for manufacturing of semiconductor device
KR100381022B1 (en) * 2001-06-30 2003-04-23 주식회사 하이닉스반도체 Method of forming gate for reduction of leakage current
US20030060028A1 (en) * 2001-09-13 2003-03-27 Stmicroelectronics S.R.L. Method for forming an interface free layer of silicon on a substrate of monocrystalline silicon

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11631677B2 (en) 2020-12-01 2023-04-18 Samsung Electronics Co., Ltd. Semiconductor memory device using different crystallinities in storage node contact and a method of manufacturing the same

Also Published As

Publication number Publication date
KR20050104823A (en) 2005-11-03

Similar Documents

Publication Publication Date Title
US6627488B2 (en) Method for fabricating a semiconductor device using a damascene process
US6900092B2 (en) Surface engineering to prevent epi growth on gate poly during selective epi processing
US20060252191A1 (en) Methodology for deposition of doped SEG for raised source/drain regions
KR100607409B1 (en) Method for etching substrate and method for menufacturing semiconductor device using the same
KR100637689B1 (en) Method for forming contact of semiconductor device using solid phase epitaxy
KR100680946B1 (en) Method for forming contact plug of semiconductor device
US20030087512A1 (en) Method of manufacturing a semiconductor device
US20090236664A1 (en) Integration scheme for constrained seg growth on poly during raised s/d processing
KR100390919B1 (en) Method for fabricating semiconductor device
US7605070B2 (en) Semiconductor device having contact plug formed in double structure by using epitaxial stack and metal layer and method for fabricating the same
KR20040008724A (en) Method for fabricating semiconductor device
US7049230B2 (en) Method of forming a contact plug in a semiconductor device
KR100517328B1 (en) Semiconductor device having contact plug using selective epitaxial growth and method of fabricating the same
KR101062290B1 (en) Method for forming contact plug of semiconductor device
KR101068150B1 (en) Method for forming contact plug of semiconductor device
KR100524802B1 (en) Semiconductor device having contact plug formed using double selective epitaxial growth and method for fabrication of the same
KR20020028488A (en) Method for growthing a epi-layer and a method for manufacturing a transistor using the same
US6743690B2 (en) Method of forming a metal-oxide semiconductor transistor
US6309939B1 (en) Method of manufacturing a semiconductor device
KR20080002602A (en) Method for forming a gate of semiconductor device having dual gate
KR20060075953A (en) Method for forming contact in semiconductor device
KR100955924B1 (en) Method for forming contact plug of semicondutor device
KR20050104231A (en) Method for forming contact plug of semiconductor device
KR20030050783A (en) Method of manufacturing a semiconductor device
KR20050104824A (en) Method for forming metal silicide contact of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee