KR101042320B1 - Apparatus and method of treating substrate - Google Patents

Apparatus and method of treating substrate Download PDF

Info

Publication number
KR101042320B1
KR101042320B1 KR1020090075268A KR20090075268A KR101042320B1 KR 101042320 B1 KR101042320 B1 KR 101042320B1 KR 1020090075268 A KR1020090075268 A KR 1020090075268A KR 20090075268 A KR20090075268 A KR 20090075268A KR 101042320 B1 KR101042320 B1 KR 101042320B1
Authority
KR
South Korea
Prior art keywords
substrate
region
polishing
support plate
unit
Prior art date
Application number
KR1020090075268A
Other languages
Korean (ko)
Other versions
KR20110017678A (en
Inventor
최중봉
정평순
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020090075268A priority Critical patent/KR101042320B1/en
Publication of KR20110017678A publication Critical patent/KR20110017678A/en
Application granted granted Critical
Publication of KR101042320B1 publication Critical patent/KR101042320B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 기판 처리 장치 및 방법을 개시한 것으로서, 기판상의 연마 패드의 접촉 위치에 따라, 기판에 상이한 음압을 작용시켜 기판을 척킹하는 것을 특징으로 가진다.

이러한 특징에 의하면, 진공 척킹 압력에 의한 기판의 변형을 최소화함으로써, 기판의 연마 균일도를 향상시킬 수 있다.

Figure R1020090075268

CMP, 진공 척킹, 음압, 이젝터, 전공 레귤레이터

The present invention discloses a substrate processing apparatus and method, wherein the substrate is chucked by applying different sound pressures to the substrate depending on the contact position of the polishing pad on the substrate.

According to this feature, the polishing uniformity of the substrate can be improved by minimizing the deformation of the substrate due to the vacuum chucking pressure.

Figure R1020090075268

CMP, Vacuum Chucking, Negative Pressure, Ejector, Electro-Pneumatic Regulator

Description

기판 처리 장치 및 방법{APPARATUS AND METHOD OF TREATING SUBSTRATE}Substrate processing apparatus and method {APPARATUS AND METHOD OF TREATING SUBSTRATE}

본 발명은 반도체 제조 장치 및 방법에 관한 것으로서, 보다 상세하게는 매엽 방식으로 기판을 연마 및 세정하는 장치 및 방법에 관한 것이다.TECHNICAL FIELD The present invention relates to an apparatus and a method for manufacturing a semiconductor, and more particularly, to an apparatus and a method for polishing and cleaning a substrate in a single sheet method.

일반적으로 반도체 소자의 제조 공정은 박막의 형성 및 적층을 위해 증착 공정, 사진 공정, 식각 공정 등 다수의 단위 공정들을 반복 수행해야만 한다. 웨이퍼 상에 요구되는 소정의 회로 패턴이 형성될 때까지 이들 공정은 반복되며, 회로 패턴이 형성된 후 웨이퍼의 표면에는 많은 굴곡이 생기게 된다. 최근 반도체 소자는 고집적화에 따라 그 구조가 다층화되며, 웨이퍼 표면의 굴곡의 수와 이들 사이의 단차가 증가하고 있다. 웨이퍼 표면의 비평탄화는 사진 공정에서 디포커스(Defocus) 등의 문제를 발생시키므로 웨이퍼의 표면을 평탄화하기 위해 주기적으로 웨이퍼 표면을 연마하여야 한다.In general, a semiconductor device manufacturing process must repeatedly perform a plurality of unit processes such as a deposition process, a photo process, and an etching process to form and stack thin films. These processes are repeated until the desired circuit pattern is formed on the wafer, and after the circuit pattern is formed, a lot of bending occurs on the surface of the wafer. In recent years, as semiconductor devices become highly integrated, their structures are multilayered, and the number of bends on the surface of the wafer and the step between them are increasing. Unplanarization of the wafer surface causes problems such as defocus in the photolithography process, and thus the wafer surface must be polished periodically to planarize the surface of the wafer.

웨이퍼의 표면을 평탄화하기 위해 다양한 표면 평탄화 기술이 있으나 이 중 좁은 영역뿐만 아니라 넓은 영역의 평탄화에 있어서도 우수한 평탄도를 얻을 수 있는 화학적 기계적 연마(Chemical Mechanical Polishing : CMP) 장치가 주로 사용된다. 화학적 기계적 연마 장치는 텅스텐이나 산화물 등이 입혀진 웨이퍼의 표면을 기계적 마찰에 의해 연마시킴과 동시에 화학적 연마재에 의해 연마시키는 장치로서, 아주 미세한 연마를 가능하게 한다. Various surface planarization techniques are used to planarize the surface of the wafer, but among them, a chemical mechanical polishing (CMP) apparatus that can obtain excellent flatness not only for narrow areas but also for wide areas is mainly used. The chemical mechanical polishing apparatus is an apparatus for polishing a surface of a wafer coated with tungsten, an oxide, or the like by mechanical friction and polishing with a chemical abrasive, and enables very fine polishing.

또한, 반도체 소자가 고밀도, 고집적화, 고성능화됨에 따라 회로 패턴의 미세화가 급속히 진행됨으로써, 기판 표면에 잔류하는 파티클(Particle), 유기 오염물, 금속 오염물 등의 오염 물질은 소자의 특성과 생산 수율에 많은 영향을 미치게 된다. 이 때문에 기판 표면에 부착된 각종 오염 물질을 제거하기 위한 세정 공정이 반도체 제조 공정에서 매우 중요하게 대두되고 있으며, 반도체 제조를 위한 각 단위 공정들의 전후 단계에서 기판의 세정 공정이 실시되고 있다.In addition, as semiconductor devices become more dense, highly integrated, and higher in performance, miniaturization of circuit patterns proceeds rapidly, and contaminants such as particles, organic contaminants, and metal contaminants remaining on the substrate surface have a great effect on device characteristics and production yield. Get mad. For this reason, a cleaning process for removing various contaminants adhering to the substrate surface is very important in the semiconductor manufacturing process, and the substrate cleaning process is performed at the front and rear stages of each unit process for semiconductor manufacturing.

본 발명은 기판의 연마 균일도를 향상시킬 수 있는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention is to provide a substrate processing apparatus and method that can improve the polishing uniformity of a substrate.

본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The objects of the present invention are not limited thereto, and other objects not mentioned can be clearly understood by those skilled in the art from the following description.

상기한 과제를 달성하기 위하여 본 발명에 의한 기판 처리 장치는 기판이 놓이며 회전 가능한 기판 지지 유닛; 및 상기 기판 지지 유닛에 놓인 상기 기판을 연마하는 연마 유닛을 포함하되, 상기 기판 지지 유닛은 상기 기판이 놓이는 면에 다수의 진공 흡입홀들이 형성된 지지판; 상기 지지판의 상기 진공 흡입홀들에 유체 연통되는 에어 이젝터; 및 상기 에어 이젝터 내부의 음압 생성을 위해 상기 에어 이젝터로 공급되는, 공기의 유량을 조절하는 전공 레귤레이터를 포함한다.In order to achieve the above object, a substrate processing apparatus according to the present invention includes a substrate support unit on which a substrate is placed and rotatable; And a polishing unit for polishing the substrate placed on the substrate support unit, wherein the substrate support unit comprises: a support plate having a plurality of vacuum suction holes formed on a surface on which the substrate is placed; An air ejector in fluid communication with the vacuum suction holes of the support plate; And an electro-pneumatic regulator for adjusting the flow rate of air, which is supplied to the air ejector for generating a negative pressure inside the air ejector.

상술한 바와 같은 구성을 가지는 본 발명에 의한 기판 처리 장치에 있어서, 상기 지지판은 상기 진공 흡입홀들이 형성된 제 1 영역과, 상기 지지판 상의 상기 진공 흡입홀들이 형성되지 않은 제 2 영역을 포함하고, 상기 연마 유닛이 상기 제 1 영역 상에 또는 상기 제 2 영역 상에 위치할 때, 상기 기판에 서로 상이한 음압이 작용하도록 상기 전공 레귤레이터의 동작을 제어하는 제어부를 더 포함할 수 있다.In the substrate processing apparatus according to the present invention having the configuration as described above, the support plate includes a first region in which the vacuum suction holes are formed and a second region in which the vacuum suction holes are not formed on the support plate. When the polishing unit is located on the first area or the second area, the control unit may further include a control unit for controlling the operation of the electric regulator so that different sound pressures act on the substrate.

상기 진공 흡입홀들은 환형의 배열을 이루도록 상기 지지판의 가장자리 영역을 따라 형성될 수 있다.The vacuum suction holes may be formed along an edge region of the support plate to form an annular arrangement.

상기한 과제를 달성하기 위하여 본 발명에 의한 기판 처리 방법은, 매엽 방식으로 기판을 처리하는 방법에 있어서, 기판을 지지판 상에 진공 척킹하고, 상기 기판에 연마 패드를 접촉시켜 상기 기판을 연마하되, 상기 기판상의 상기 연마 패드의 접촉 위치에 따라, 상기 기판에 상이한 음압을 작용시켜 상기 기판을 척킹하는 것을 포함한다.In order to achieve the above object, the substrate processing method according to the present invention, in the method of processing the substrate in a single-leaf method, vacuum chucking the substrate on the support plate, and polishing the substrate by contacting the substrate with a polishing pad, Chucking the substrate by applying different sound pressures to the substrate, depending on the contact position of the polishing pad on the substrate.

상술한 바와 같은 구성을 가지는 본 발명에 의한 기판 처리 방법에 있어서, 상기 기판은 상기 지지판 상의 진공 흡입홀들이 형성된 영역의 상부에 위치한 제 1 영역과, 상기 지지판 상의 상기 진공 흡입홀들이 형성되지 않은 영역의 상부에 위치한 제 2 영역을 포함하고, 상기 연마 패드가 상기 제 1 영역 또는 상기 제 2 영역에 위치할 때, 상기 기판에 서로 상이한 음압을 작용시켜 상기 기판을 척킹할 수 있다.In the substrate processing method according to the present invention having the configuration as described above, the substrate is a first region located above the region where the vacuum suction holes are formed on the support plate, and the region where the vacuum suction holes are not formed on the support plate And a second region located at an upper portion of the substrate, and when the polishing pad is positioned in the first region or the second region, the substrate may be chucked by applying different sound pressures to the substrate.

상기 연마 패드가 상기 제 2 영역에 위치할 때보다 상기 제 1 영역에 위치할 때 상기 기판에 더 작은 음압을 작용시킬 수 있다.When the polishing pad is positioned in the first region than when the polishing pad is positioned in the second region, a smaller sound pressure may be applied to the substrate.

본 발명에 의하면, 진공 척킹 압력에 의한 기판의 변형을 최소화할 수 있다.According to the present invention, deformation of the substrate due to the vacuum chucking pressure can be minimized.

그리고 본 발명에 의하면 기판을 균일하게 연마할 수 있다.And according to this invention, a board | substrate can be polished uniformly.

이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시 예에 따른 기판 처리 장치 및 방법을 상세히 설명하기로 한다. 우선 각 도면의 구성 요소들에 참조 부호를 부가함에 있어서, 동일한 구성 요소들에 대해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 부호를 가지도록 하고 있음에 유의해야 한다. 또한, 본 발명을 설명함에 있어, 관련된 공지 구성 또는 기능에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략한다.Hereinafter, a substrate processing apparatus and a method according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings. First, in adding reference numerals to the components of each drawing, it should be noted that the same reference numerals are assigned to the same components as much as possible, even if shown on different drawings. In the following description of the present invention, a detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

( 실시 예 )(Example)

도 1은 기판 처리 장치의 일 예를 보여주는 평면도이다. 1 is a plan view illustrating an example of a substrate processing apparatus.

도 1을 참조하면, 기판 처리 장치(1)는, 기판을 연마 및 세정하기 위한 것으로, 공정 설비(10)와 설비 전방 단부 모듈(20)을 포함한다. 공정 설비(10)는 기판을 매엽 방식으로 연마 및 세정하는 공정을 진행한다. 설비 전방 단부 모듈(20)은 공정 설비(10)의 전방에 장착되며, 기판들이 수용된 용기(C)와 공정 설비(10)간에 기판을 이송한다.Referring to FIG. 1, the substrate processing apparatus 1 is for polishing and cleaning a substrate, and includes a process facility 10 and a facility front end module 20. The process equipment 10 performs a process of polishing and cleaning the substrate in a sheet-fed manner. The facility front end module 20 is mounted in front of the process facility 10 and transfers the substrate between the vessel C in which the substrates are accommodated and the process facility 10.

설비 전방 단부 모듈(20)은 복수의 로드 포트들(22)과 프레임(24)을 가진다. 로드 포트들(22)은 일 방향으로 나란하게 배치되고, 프레임(24)은 로드 포트들(22)과 공정 설비(10) 사이에 위치한다.The facility front end module 20 has a plurality of load ports 22 and a frame 24. The load ports 22 are arranged side by side in one direction, and the frame 24 is located between the load ports 22 and the process equipment 10.

기판을 수용하는 용기(C)는 오버헤드 트랜스퍼(Overhead Transfer), 오버헤드 컨베이어(Overhead Conveyor), 또는 자동 안내 차량(Automatic Guided Vehicle)과 같은 이송 수단(미도시)에 의해 로드 포트(22) 상에 놓인다. 용기(C)는 전면 개방 일체식 포드(Front Open Unified Pod:FOUP)와 같은 밀폐용 용기가 사용될 수 있다.The vessel C containing the substrate is placed on the load port 22 by a transfer means (not shown), such as an overhead transfer, an overhead conveyor, or an automatic guided vehicle. Is placed on. The container C may be a sealed container such as a front open unified pod (FOUP).

프레임(24) 내에는 프레임 로봇(25)과 도어 오프너(미도시)가 설치된다. 프레임 로봇(25)은 제 1 이송 레일(26)을 따라 이동하며 로드 포트(22)에 놓인 용기(C)와 공정 설비(10) 간에 기판을 이송한다. 도어 오프너(미도시)는 용기(C)의 도어를 자동으로 개폐한다.The frame robot 25 and a door opener (not shown) are installed in the frame 24. The frame robot 25 moves along the first transfer rail 26 and transfers the substrate between the vessel C placed in the load port 22 and the process equipment 10. The door opener (not shown) opens and closes the door of the container C automatically.

프레임(24)에는 청정 공기가 하강 기류를 형성하며 흐르도록, 프레임(24) 내로 청정 공기를 공급하는 팬 필터 유닛(Fan Filter Unit)(미도시)이 제공될 수 있다.The frame 24 may be provided with a fan filter unit (not shown) for supplying clean air into the frame 24 such that clean air flows in a downward air flow.

공정 설비(10)는 다수의 공정 처리부들(100,200,300)을 포함한다. 공정 처리부들(100,200,300)은 기판의 연마 및 세정을 위한 것으로, 공정상의 기능(Function)에 따라 기판 이송부(100), 버퍼부(200) 및 연마-세정부(300)로 나뉜다. The process facility 10 includes a plurality of process processors 100, 200, and 300. The process processing units 100, 200, and 300 are used for polishing and cleaning the substrate, and are divided into the substrate transfer unit 100, the buffer unit 200, and the polishing-cleaner 300 according to a process function.

기판 이송부(100)는 설비 전방 단부 모듈(20)의 타 측에 수직 방향으로 배치 된 이송 통로(110)를 가진다. 이송 통로(110)에는 메인 이송 로봇(120)이 설치되며, 메인 이송 로봇(120)은 제 2 이송 레일(130)을 따라 이동한다. The substrate transfer part 100 has a transfer passage 110 disposed in the vertical direction on the other side of the facility front end module 20. The main transfer robot 120 is installed in the transfer passage 110, and the main transfer robot 120 moves along the second transfer rail 130.

버퍼부(200)는 기판 이송부(100)와 설비 전방 단부 모듈(20)의 사이에 배치되며, 공정 설비(10)에 로딩되는 기판과 공정 설비(10)로부터 언로딩되는 기판이 일시적으로 머무르는 공간을 제공한다. The buffer unit 200 is disposed between the substrate transfer unit 100 and the facility front end module 20, and is a space in which a substrate loaded in the process facility 10 and a substrate unloaded from the process facility 10 temporarily stay. To provide.

연마-세정부들(300a,300b,300c,300d)은 기판 이송부(100)의 양측에 길이 방향을 따라 나란하게 배치되며, 기판을 연마 및 세정 처리하는 공정을 진행한다. 버퍼부(200)와 연마-세정부(300a,300b,300c,300d) 사이, 그리고 연마-세정부들(300a,300b,300c,300d) 사이에는 메인 이송 로봇(120)에 의해 기판이 이송된다.Polishing-cleaning units 300a, 300b, 300c, and 300d are disposed side by side in the longitudinal direction on both sides of the substrate transfer unit 100 and perform a process of polishing and cleaning the substrate. The substrate is transferred by the main transfer robot 120 between the buffer unit 200 and the polishing-cleaning units 300a, 300b, 300c, and 300d, and between the polishing-cleaning units 300a, 300b, 300c, and 300d. .

연마-세정부들(300a,300b,300c,300d)은 동일한 구성을 가지므로, 이하에서는 이들 중 하나의 연마-세정부(300a)를 예로 들어 설명한다.Since the polishing-cleaning units 300a, 300b, 300c, and 300d have the same configuration, hereinafter, the polishing-cleaning unit 300a of one of them will be described as an example.

도 2는 도 1의 연마-세정부(300a)의 평면도이다. 도 2를 참조하면, 연마-세정부(300a)는 처리 용기(310), 기판 지지 유닛(320), 연마 유닛(340), 제 1 및 제 2 세정 유닛(350,360), 브러쉬 유닛(370), 에어로졸 유닛(380), 그리고 패드 컨디셔닝 유닛(390)을 포함한다. 연마-세정부(300a)는 기판에 대한 연마 공정과, 연마 공정 후의 세정 공정을 하나의 처리실(301) 내에서 진행할 수 있다. 이를 위해, 연마 유닛(340), 제 1 및 제 2 세정 유닛(350,360), 브러쉬 유닛(370), 에어로졸 유닛(380), 그리고 패드 컨디셔닝 유닛(390)이 처리실(301) 내의 처리 용기(310) 및 기판 지지 유닛(320)의 둘레에 적절한 배치 구조로 제공된다.FIG. 2 is a top view of the polishing-cleaner 300a of FIG. 1. Referring to FIG. 2, the polishing-cleaning unit 300a may include a processing container 310, a substrate supporting unit 320, a polishing unit 340, first and second cleaning units 350 and 360, a brush unit 370, An aerosol unit 380, and a pad conditioning unit 390. The polishing-cleaning unit 300a may proceed with the polishing process for the substrate and the cleaning process after the polishing process in one processing chamber 301. To this end, the polishing unit 340, the first and second cleaning units 350 and 360, the brush unit 370, the aerosol unit 380, and the pad conditioning unit 390 are processed vessel 310 in the process chamber 301. And an appropriate arrangement structure around the substrate support unit 320.

처리 용기(310)는 상부가 개구된 원통 형상을 가지고, 기판의 연마 및 세정 처리를 위한 공간을 제공한다. 처리 용기(310)의 개구된 상부는 기판의 반출입 통로로 제공된다. 처리 용기(310)의 내측에는 기판 지지 유닛(320)이 제공된다.The processing container 310 has a cylindrical shape with an open upper portion, and provides a space for polishing and cleaning the substrate. An open upper portion of the processing vessel 310 is provided to the carrying in and out passages of the substrate. The substrate support unit 320 is provided inside the processing container 310.

기판 지지 유닛(320)에는 기판 이송부(도 1의 도면 번호 100)의 메인 이송 로봇(도 1의 도면 번호 120)에 의해 전달되는 기판이 놓이며, 기판 지지 유닛(320)은 기판 처리 공정의 진행 중 기판을 척킹하고 회전시킨다.In the substrate support unit 320, a substrate transferred by the main transfer robot (reference number 120 in FIG. 1) of the substrate transfer unit (reference number 100 in FIG. 1) is placed, and the substrate support unit 320 is a substrate processing process. Chuck and rotate the substrate.

처리 용기(310)의 외 측에는 연마 유닛(340), 제 1 및 제 2 세정 유닛(350,360), 브러쉬 유닛(370), 에어로졸 유닛(380), 그리고 패드 컨디셔닝 유닛(390)이 제공된다.Outside of the processing vessel 310, a polishing unit 340, first and second cleaning units 350 and 360, a brush unit 370, an aerosol unit 380, and a pad conditioning unit 390 are provided.

연마 유닛(340)은 기판 지지 유닛(320)에 놓인 기판(W)을 화학적 기계적 방법으로 연마하여 기판의 표면을 평탄화한다. The polishing unit 340 polishes the substrate W placed on the substrate support unit 320 by a chemical mechanical method to planarize the surface of the substrate.

제 1 및 제 2 세정 유닛(350, 360)은 기판의 연마 공정 및 세정 공정에 필요한 처리 유체를 기판 지지 유닛(320)에 척킹된 기판에 공급한다. 제 1 세정 유닛(350)은 처리 용기(310)의 측벽 상단에 고정된 다수의 분사 노즐들을 구비하며, 기판으로 처리 유체를 분사한다. 분사 노즐들에서 분사되는 처리 유체는 기판의 세정 또는 건조를 위한 처리액일 수 있고, 건조를 위한 건조 가스일 수도 있다. 제 2 세정 유닛(360)은 처리 유체를 분사하는 약액 노즐을 구비하고, 세정 공정 시 기판에 처리 유체를 분사하여 기판을 세정한다. 제 2 세정 유닛(360)은 스윙 동작이 가능하며, 세정 공정시 스윙 동작을 통해 약액 노즐을 기판의 상부에 배치시킨 상태에서 기판에 처리 유체를 분사한다.The first and second cleaning units 350 and 360 supply the processing fluid required for the substrate polishing process and the cleaning process to the substrate chucked to the substrate support unit 320. The first cleaning unit 350 has a plurality of spray nozzles fixed to the top of the side wall of the processing container 310 and injects the processing fluid to the substrate. The processing fluid injected from the injection nozzles may be a processing liquid for cleaning or drying the substrate, or may be a drying gas for drying. The second cleaning unit 360 includes a chemical liquid nozzle for injecting a processing fluid and cleans the substrate by spraying the processing fluid on the substrate during the cleaning process. The second cleaning unit 360 may swing, and injects the processing fluid onto the substrate while the chemical liquid nozzle is disposed on the substrate through the swing operation during the cleaning process.

브러쉬 유닛(370)은 연마 공정 후 기판 표면의 이물질을 물리적으로 제거한다. 브러시 유닛(370)은 기판 표면에 접촉되어 기판 표면의 이물질을 물리적으로 닦아 내는 브러쉬 패드를 구비하고, 스윙 동작이 가능하다. 세정 공정시, 브러시 유닛(370)은 스윙 동작을 통해 브러쉬 패드를 기판의 상부에 배치시킨 상태에서 브러쉬 패드를 회전시켜 기판을 세정한다.The brush unit 370 physically removes foreign substances on the surface of the substrate after the polishing process. The brush unit 370 includes a brush pad that contacts the surface of the substrate to physically wipe off the foreign matter on the surface of the substrate, and may swing. In the cleaning process, the brush unit 370 cleans the substrate by rotating the brush pad in a state in which the brush pad is disposed on the substrate through a swing operation.

에어로졸 유닛(380)은 기판에 처리 유체를 미세 입자 형태로 고압 분무하여 기판 표면의 이물질을 제거한다. 예를 들어, 에어로졸 유닛(380)은 초음파를 이용하여 처리 유체를 미세 입자 형태로 분무한다. 브러시 유닛(370)은 비교적 큰 입자의 이물질을 제거하는 데 사용되며, 에어로졸 유닛(380)은 브러시 유닛(370)에 의해 제거되는 이물질과 비교하여 작은 입자의 이물질을 제거한다.The aerosol unit 380 removes foreign substances on the surface of the substrate by high pressure spraying the processing fluid in the form of fine particles on the substrate. For example, the aerosol unit 380 sprays the processing fluid in the form of fine particles using ultrasonic waves. The brush unit 370 is used to remove foreign matter of relatively large particles, and the aerosol unit 380 removes foreign matter of small particles compared to the foreign matter removed by the brush unit 370.

패드 컨디셔닝 유닛(390)은 다이아몬드 디스크를 이용해서 연마 유닛(340)의 연마 패드를 연마하여 연마 패드의 표면 조도를 조절한다.The pad conditioning unit 390 adjusts the surface roughness of the polishing pad by polishing the polishing pad of the polishing unit 340 using the diamond disk.

도 3은 도 2의 기판 지지 유닛(320)의 평면도이고, 도 4는 도 3의 기판 지지 유닛(320)의 측단면도이다.3 is a plan view of the substrate support unit 320 of FIG. 2, and FIG. 4 is a side cross-sectional view of the substrate support unit 320 of FIG. 3.

도 3 및 도 4를 참조하면, 기판 지지 유닛(320)은 지지판(322)과 진공 발생 부재를 포함한다. 지지판(322)은 원판 형상으로 제공될 수 있다. 지지판(322)의 상면에는 기판이 놓이고, 지지판(322)의 하면에는 회전 축(326)이 결합된다. 회전 축(326)은 모터와 같은 회전 구동 부재(미도시)에 의해 회전될 수 있다.3 and 4, the substrate support unit 320 includes a support plate 322 and a vacuum generating member. The support plate 322 may be provided in a disc shape. A substrate is placed on an upper surface of the support plate 322, and a rotation shaft 326 is coupled to a lower surface of the support plate 322. Rotation axis 326 may be rotated by a rotation drive member (not shown) such as a motor.

지지판(322)의 상면에는 다수의 진공 흡입홀들(323)이 형성된다. 진공 흡입 홀들(323)은 지지판(322)의 가장자리 영역을 따라 형성될 수 있으며, 대체로 원 모양의 배열을 이루도록 형성될 수 있다. 지지판(322)의 하면 중심에는 배기구(325)가 형성되며, 진공 흡입홀들(323)은 지지판(322)의 내부에 형성된 유로들(324)에 의해 배기구(325)에 유체 연통된다.A plurality of vacuum suction holes 323 are formed on the upper surface of the support plate 322. The vacuum suction holes 323 may be formed along the edge region of the support plate 322, and may be formed to have a generally circular arrangement. An exhaust port 325 is formed at the center of the lower surface of the support plate 322, and the vacuum suction holes 323 are in fluid communication with the exhaust port 325 by flow paths 324 formed in the support plate 322.

진공 발생 부재는 지지판(322)에 놓인 기판(W)이 지지판(322)에 척킹되도록 진공 흡입홀들(323)에 음압을 작용시킨다. 진공 발생 부재는 에어 이젝터(331)를 가진다. 에어 이젝터(331)는 제 1 배기 라인(332)에 의해 지지판(322)의 배기구(325)에 연결되며, 에어 이젝터(331)는 공기 라인(335)에 의해 압축 공기 공급원(334)에 연결된다. 공기 라인(335) 상에는 에어 이젝터(331)로 공급되는 공기의 유량을 조절하는 전공 레귤레이터(336)가 배치된다. 그리고 에어 이젝터(331)에는 제 2 배기 라인(333)이 연결된다.The vacuum generating member applies a negative pressure to the vacuum suction holes 323 such that the substrate W placed on the support plate 322 is chucked to the support plate 322. The vacuum generating member has an air ejector 331. The air ejector 331 is connected to the exhaust port 325 of the support plate 322 by the first exhaust line 332, and the air ejector 331 is connected to the compressed air source 334 by the air line 335. . The air regulator 336 is disposed on the air line 335 to adjust the flow rate of the air supplied to the air ejector 331. The second exhaust line 333 is connected to the air ejector 331.

압축 공기 공급원(334)으로부터 에어 이젝터(331)로 압축 공기가 공급된다. 공급 공기의 유량은 전공 레귤레이터(336)에 의해 조절된다. 에어 이젝터(331)로 공급된 압축 공기는 제 2 배기 라인(333)의 흐름 방향을 따라 외부로 배기되고, 에어 이젝터(331) 내부에는 음압이 형성된다. 에어 이젝터(331) 내부에 형성된 음압에 의해, 지지판(322)의 진공 흡입홀들(323) 및 유로들(324), 그리고 제 1 배기 라인(332)과 에어 이젝터(331) 사이에는 압력 차가 발생되고, 이것에 의해 지지판(322)의 진공 흡입홀들(323) 및 유로들(324), 그리고 제 1 배기 라인(332) 내부의 공기가 에어 이젝터(331)로 유입된다. 결과적으로, 진공 흡입홀들(323)에는 음압이 형성되고, 이 음압에 의해 기판(W)이 지지판(322) 상면에 고정된다. 그리고 에어 이젝터(331)로 유입된 공기는 제 2 배기 라인(333)을 통해 외부로 배출된다.Compressed air is supplied from the compressed air source 334 to the air ejector 331. The flow rate of the supply air is regulated by the electrostatic regulator 336. The compressed air supplied to the air ejector 331 is exhausted to the outside along the flow direction of the second exhaust line 333, and a negative pressure is formed in the air ejector 331. Due to the negative pressure formed inside the air ejector 331, a pressure difference occurs between the vacuum suction holes 323 and the flow paths 324 of the support plate 322, and between the first exhaust line 332 and the air ejector 331. As a result, the vacuum suction holes 323 and the flow paths 324 of the support plate 322 and the air inside the first exhaust line 332 flow into the air ejector 331. As a result, a negative pressure is formed in the vacuum suction holes 323, and the substrate W is fixed to the upper surface of the support plate 322 by the negative pressure. The air introduced into the air ejector 331 is discharged to the outside through the second exhaust line 333.

전공 레귤레이터(336)는 제어부(337)에 의해 동작이 제어된다. 제어부(337)는 연마 패드의 기판상의 접촉 위치에 따라, 기판에 상이한 음압이 작용하도록 전공 레귤레이터(336)의 동작을 제어한다.The electric regulator 336 is controlled by the controller 337. The controller 337 controls the operation of the electric regulator 336 so that different negative pressure acts on the substrate in accordance with the contact position of the polishing pad on the substrate.

기판(W)은 지지판(322) 상의 진공 흡입홀들(323)이 형성된 영역의 상부에 위치한 제 1 영역과, 지지판(322) 상의 진공 흡입홀들(323)이 형성되지 않은 영역의 상부에 위치한 제 2 영역으로 구획될 수 있다. 예를 들어, 제어부(337)는 연마 유닛의 연마 패드가 제 2 영역에 위치할 때보다 제 1 영역에 위치할 때 기판에 더 작은 음압이 작용하도록 전공 레귤레이터(336)의 동작을 제어할 수 있다. 이는 기판에 작용하는 음압에 의한 기판 변형을 최소화하고, 이에 따라 기판의 연마 균일도를 높이기기 위함이다.The substrate W is positioned above the first region in which the vacuum suction holes 323 are formed on the support plate 322 and in the region where the vacuum suction holes 323 on the support plate 322 are not formed. It may be partitioned into a second region. For example, the controller 337 may control the operation of the electric regulator 336 such that a smaller sound pressure acts on the substrate when the polishing pad of the polishing unit is located in the first region than when the polishing pad is located in the second region. . This is to minimize the deformation of the substrate due to negative pressure acting on the substrate, thereby increasing the polishing uniformity of the substrate.

종래의 경우, 기판상의 연마 패드의 위치에 무관하게 일정한 음압으로 기판을 척킹한다. 지지판에 의해 지지되는 기판 영역에 대한 연마 공정을 진행할 경우에는, 기판이 지지판에 의해 지지되므로 기판이 변형되지 않는다. 그러나, 도 5에 도시된 바와 같이, 지지판(322')의 진공 홀 상부에 위치하는 기판 영역에 대한 연마 공정을 진행할 경우, 기판은 음압의 작용에 의해 변형되고, 기판의 변형된 부분은 지지판(332')에 의해 지지된 기판의 부분보다 저연마되어, 기판 전체로 보았을 때 연마 균일도가 저하될 수 있다.Conventionally, the substrate is chucked at a constant sound pressure regardless of the position of the polishing pad on the substrate. In the case of carrying out the polishing process for the substrate region supported by the support plate, the substrate is supported by the support plate and thus the substrate is not deformed. However, as shown in FIG. 5, when the polishing process is performed on the substrate region located above the vacuum hole of the support plate 322 ′, the substrate is deformed by the action of negative pressure, and the deformed portion of the substrate is supported by the support plate ( 332 ') may result in lower polishing than the portion of the substrate supported by the substrate, resulting in reduced polishing uniformity as a whole.

이를 방지하기 위해, 본 발명은 기판의 제 2 영역의 연마 공정시에는 제 1 음압을 작용시키고, 기판의 제 1 영역의 연마 공정시에는 제 1 음압보다 작은 제 2 음압을 기판에 작용시킨다. 이는 전공 레귤레이터(336)를 이용하여 이젝터(331) 내부의 음압을 조절함으로써 이룰 수 있다. 이와 같이, 제 1 영역의 연마 공정시 상대적으로 작은 음압을 작용시킴으로써, 음압에 의한 기판 변형을 최소화할 수 있고, 이를 통해 기판의 연마 균일도를 향상시킬 수 있다.To prevent this, the present invention applies a first negative pressure during the polishing process of the second region of the substrate and a second negative pressure smaller than the first negative pressure during the polishing process of the first region of the substrate. This may be accomplished by adjusting the sound pressure inside the ejector 331 using the electro-pneumatic regulator 336. As such, by applying a relatively small negative pressure during the polishing process of the first region, it is possible to minimize the deformation of the substrate due to the negative pressure, thereby improving the polishing uniformity of the substrate.

이상의 설명은 본 발명의 기술 사상을 예시적으로 설명한 것에 불과한 것으로서, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자라면 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 다양한 수정 및 변형이 가능할 것이다. 따라서, 본 발명에 개시된 실시 예들은 본 발명의 기술 사상을 한정하기 위한 것이 아니라 설명하기 위한 것이고, 이러한 실시 예에 의하여 본 발명의 기술 사상의 범위가 한정되는 것은 아니다. 본 발명의 보호 범위는 아래의 청구범위에 의하여 해석되어야 하며, 그와 동등한 범위 내에 있는 모든 기술 사상은 본 발명의 권리범위에 포함되는 것으로 해석되어야 할 것이다.The foregoing description is merely illustrative of the technical idea of the present invention, and various changes and modifications may be made by those skilled in the art without departing from the essential characteristics of the present invention. Therefore, the embodiments disclosed in the present invention are not intended to limit the technical idea of the present invention but to describe the present invention, and the scope of the technical idea of the present invention is not limited by these embodiments. The protection scope of the present invention should be interpreted by the following claims, and all technical ideas within the equivalent scope should be interpreted as being included in the scope of the present invention.

이하에 설명된 도면들은 단지 예시의 목적을 위한 것이고, 본 발명의 범위를 제한하기 위한 것이 아니다.The drawings described below are for illustrative purposes only and are not intended to limit the scope of the invention.

도 1은 기판 처리 장치의 일 예를 보여주는 평면도이다. 1 is a plan view illustrating an example of a substrate processing apparatus.

도 2는 도 1의 연마-세정부의 평면도이다.2 is a plan view of the polishing-cleaner of FIG.

도 3은 도 2의 기판 지지 유닛의 평면도이다.3 is a plan view of the substrate support unit of FIG. 2.

도 4는 도 3의 기판 지지 유닛의 측단면도이다.4 is a side cross-sectional view of the substrate support unit of FIG. 3.

도 5는 종래의 기판 연마 공정을 보여주는 도면이다.5 is a view illustrating a conventional substrate polishing process.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

320: 기판 지지 유닛 331: 이젝터320: substrate support unit 331: ejector

336: 전공 레귤레이터 337: 제어부336: electrical regulator 337: control unit

340: 연마 유닛340: polishing unit

Claims (6)

기판이 놓이며 회전 가능한 기판 지지 유닛; 및A substrate support unit on which the substrate is placed and rotatable; And 상기 기판 지지 유닛에 놓인 상기 기판을 연마하는 연마 유닛을 포함하되,A polishing unit for polishing the substrate placed on the substrate supporting unit, 상기 기판 지지 유닛은,The substrate support unit, 상기 기판이 놓이는 면에 다수의 진공 흡입홀들이 형성된 지지판;A support plate having a plurality of vacuum suction holes formed on a surface on which the substrate is placed; 상기 지지판의 상기 진공 흡입홀들에 유체 연통되는 에어 이젝터; 및An air ejector in fluid communication with the vacuum suction holes of the support plate; And 상기 에어 이젝터 내부의 음압 생성을 위해 상기 에어 이젝터로 공급되는, 공기의 유량을 조절하는 전공 레귤레이터를 포함하는 것을 특징으로 하는 기판 처리 장치.And an electropneumatic regulator for controlling a flow rate of air supplied to the air ejector for generating a negative pressure inside the air ejector. 제 1 항에 있어서,The method of claim 1, 상기 지지판은 상기 진공 흡입홀들이 형성된 제 1 영역과, 상기 지지판 상의 상기 진공 흡입홀들이 형성되지 않은 제 2 영역을 포함하고,The support plate includes a first region in which the vacuum suction holes are formed and a second region in which the vacuum suction holes are not formed on the support plate. 상기 연마 유닛이 상기 제 1 영역 상에 또는 상기 제 2 영역 상에 위치할 때, 상기 기판에 서로 상이한 음압이 작용하도록 상기 전공 레귤레이터의 동작을 제어하는 제어부를 더 포함하는 것을 특징으로 하는 기판 처리 장치. When the polishing unit is located on the first region or the second region, the substrate processing apparatus further comprises a control unit for controlling the operation of the electro-pneumatic regulator so that different sound pressure acts on the substrate . 제 2 항에 있어서,The method of claim 2, 상기 진공 흡입홀들은 환형의 배열을 이루도록 상기 지지판의 가장자리 영역 을 따라 형성되는 것을 특징으로 하는 기판 처리 장치.And the vacuum suction holes are formed along an edge region of the support plate to form an annular array. 매엽 방식으로 기판을 처리하는 방법에 있어서,In the method of processing a substrate in a single sheet method, 기판을 지지판 상에 진공 척킹하고,Vacuum chuck the substrate onto a support plate, 상기 기판에 연마 패드를 접촉시켜 상기 기판을 연마하되,Polishing the substrate by contacting the substrate with a polishing pad, 상기 기판은 상기 지지판 상의 진공 흡입홀들이 형성된 영역의 상부에 위치한 제 1 영역과, 상기 지지판 상의 상기 진공 흡입홀들이 형성되지 않은 영역의 상부에 위치한 제 2 영역을 포함하고,The substrate includes a first region located above the region where the vacuum suction holes are formed on the support plate, and a second region located above the region where the vacuum suction holes are not formed on the support plate. 상기 연마 패드가 상기 제 1 영역 또는 상기 제 2 영역에 위치할 때, 상기 기판에 서로 상이한 음압을 작용시켜 상기 기판을 척킹하는 것을 특징으로 하는 기판 처리 방법.And when the polishing pad is located in the first region or the second region, the substrate is chucked by applying different sound pressures to the substrate. 삭제delete 제 4 항에 있어서,The method of claim 4, wherein 상기 연마 패드가 상기 제 2 영역에 위치할 때보다 상기 제 1 영역에 위치할 때 상기 기판에 더 작은 음압을 작용시키는 것을 특징으로 하는 기판 처리 방법.And apply a smaller sound pressure to the substrate when the polishing pad is located in the first region than when the polishing pad is located in the second region.
KR1020090075268A 2009-08-14 2009-08-14 Apparatus and method of treating substrate KR101042320B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090075268A KR101042320B1 (en) 2009-08-14 2009-08-14 Apparatus and method of treating substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090075268A KR101042320B1 (en) 2009-08-14 2009-08-14 Apparatus and method of treating substrate

Publications (2)

Publication Number Publication Date
KR20110017678A KR20110017678A (en) 2011-02-22
KR101042320B1 true KR101042320B1 (en) 2011-06-17

Family

ID=43775636

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090075268A KR101042320B1 (en) 2009-08-14 2009-08-14 Apparatus and method of treating substrate

Country Status (1)

Country Link
KR (1) KR101042320B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102308929B1 (en) * 2016-04-08 2021-10-05 어플라이드 머티어리얼스, 인코포레이티드 vacuum chuck pressure control system

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060072206A (en) * 2004-12-22 2006-06-28 동부일렉트로닉스 주식회사 Apparatus for chemical mechanical polishing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060072206A (en) * 2004-12-22 2006-06-28 동부일렉트로닉스 주식회사 Apparatus for chemical mechanical polishing

Also Published As

Publication number Publication date
KR20110017678A (en) 2011-02-22

Similar Documents

Publication Publication Date Title
US20230405762A1 (en) Substrate processing apparatus
US8382555B2 (en) Substrate supporting unit, and apparatus and method for polishing substrate using the same
KR100804715B1 (en) Semiconductor substate rotation maintaining apparatus and semiconductor substrate processing apparatus
JP4621055B2 (en) Interface between the substrate and the meniscus and the handling method thereof
KR101291406B1 (en) Planarizing apparatus
US20090151753A1 (en) Methods for transitioning a fluid meniscus to and from surfaces of a substrate
US11367629B2 (en) Cleaning apparatus of cleaning tool, substrate processing apparatus, and cleaning method of cleaning tool
KR20010109299A (en) Semiconductor wafer processing apparatus and processing method
KR102229920B1 (en) Systems, methods and apparatus for post-chemical mechanical planarization substrate buff pre-cleaning
JP2018111146A (en) Substrate processing system and substrate processing method
US7025663B2 (en) Chemical mechanical polishing apparatus having conditioning cleaning device
JPH08148541A (en) Wafer transfer system
US20240082885A1 (en) Substrate cleaning device and method of cleaning substrate
US7052376B1 (en) Wafer carrier gap washer
US20080003931A1 (en) System and method for in-situ head rinse
KR101042320B1 (en) Apparatus and method of treating substrate
KR101041456B1 (en) Polishing pad changing unit and substrate treating apparatus with the same
KR101236806B1 (en) Apparatus and method for polishing substrate
TWI837955B (en) Substrate processing method and substrate processing device
KR102462493B1 (en) Wafer cleaning module of CMP system
US20220184771A1 (en) Polishing system apparatus and methods for defect reduction at a substrate edge
TWI851649B (en) Wafer processing tools and methods thereof
KR100655284B1 (en) Chemical mechanical polishing apparatus and method, and load cup used in the apparatus
KR20070077979A (en) Chemical mechanical polishing apparatus and method for polishing wafer using the same
KR101710425B1 (en) Slurry injection unit and chemical mechanical polishing apparatus having the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140610

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150611

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170613

Year of fee payment: 7