KR100961562B1 - Novel siliconiv complexes and preparation method thereof - Google Patents

Novel siliconiv complexes and preparation method thereof Download PDF

Info

Publication number
KR100961562B1
KR100961562B1 KR1020080036387A KR20080036387A KR100961562B1 KR 100961562 B1 KR100961562 B1 KR 100961562B1 KR 1020080036387 A KR1020080036387 A KR 1020080036387A KR 20080036387 A KR20080036387 A KR 20080036387A KR 100961562 B1 KR100961562 B1 KR 100961562B1
Authority
KR
South Korea
Prior art keywords
silicon
formula
thin film
complex
methyl
Prior art date
Application number
KR1020080036387A
Other languages
Korean (ko)
Other versions
KR20090110737A (en
Inventor
정택모
김창균
채원묵
황광택
Original Assignee
한국화학연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국화학연구원 filed Critical 한국화학연구원
Priority to KR1020080036387A priority Critical patent/KR100961562B1/en
Publication of KR20090110737A publication Critical patent/KR20090110737A/en
Application granted granted Critical
Publication of KR100961562B1 publication Critical patent/KR100961562B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)

Abstract

본 발명은 새로운 실리콘(IV) 착화합물 및 이의 제조 방법에 관한 것으로, 구체적으로는 하기 화학식 1로 표시되는 실리콘 착화합물로서, 실리콘에 디알킬아미노기가 배위된 착화합물은 열적으로 안정하고 공기 중에서도 안정하며 휘발성이 높고 CVD(Chemical Vapor Deposition)에 의한 박막 형성시 탄소나 할로겐 오염을 일으키지 않아 양질의 실리콘 또는 실리콘 산화물 박막을 제조하는데 유리하게 이용될 수 있는 실리콘 또는 실리콘 산화물 박막의 화학증착용 선구 물질로서 유용한 새로운 실리콘(IV) 착화합물 및 이의 제조 방법에 관한 것이다.The present invention relates to a novel silicone (IV) complex and a method for preparing the same, specifically a silicone complex represented by the following formula (1), wherein the complex in which the dialkylamino group is coordinated in silicon is thermally stable, stable in air, and volatile. New silicon useful as a precursor for chemical vapor deposition of silicon or silicon oxide thin films that can be advantageously used to produce high quality silicon or silicon oxide thin films due to high and no chemical contamination of carbon or halogen when forming thin films by chemical vapor deposition (CVD) (IV) complexes and methods for their preparation.

[화학식 1][Formula 1]

SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m

상기 식에서, R1, R2, R3, R4 및 R5는 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n은 1 내지 3 범위의 정수이다.Wherein R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 linear or branched alkyl, m, n is an integer ranging from 1 to 3.

실리콘, 착화합물, 실리콘 산화물, 박막, 화학증착 Silicon, complex, silicon oxide, thin film, chemical vapor deposition

Description

새로운 실리콘(IV) 착화합물 및 이의 제조 방법 {NOVEL SILICON(IV) COMPLEXES AND PREPARATION METHOD THEREOF}New silicone complex and preparation method thereof {NOVEL SILICON (IV) COMPLEXES AND PREPARATION METHOD THEREOF}

본 발명은 신규의 실리콘 착화합물에 관한 것으로서, 실리콘 또는 실리콘 산화물 박막의 화학증착용 선구 물질로서 유용한 화합물 및 이의 제조 방법에 관한 것이다.The present invention relates to a novel silicon complex, to a compound useful as a precursor for chemical vapor deposition of a silicon or silicon oxide thin film and a method for producing the same.

산화규소는 안정하고 양질의 규소-산화규소 계면과 뛰어난 전기적 절연 성질 때문에 절연체로 많이 사용되었다. 최근에는 다결정 실리콘 박막을 박막 트랜지스터(Thin Film Transistor, TFT), 태양전지 등에 이용하고 있다.Silicon oxide has been widely used as an insulator because of its stable, high quality silicon-silicon oxide interface and excellent electrical insulation properties. Recently, polycrystalline silicon thin films are used for thin film transistors (TFT), solar cells, and the like.

박막 제조 기술 중 다양한 산화물 박막 제조에 사용되고 있는 금속 유기물 화학 증착(Metal Organic Chemical Vapor Deposition, MOCVD) 공정은 장치가 비교적 간단하고 층 덮힘이 균일하며, 성분 조절이 쉽고, 대량 생산으로 전환하기에 무리가 없다는 장점이 있다. 이러한 MOCVD 공정을 이용하여 박막을 제조하기 위해서는 이 공정에 사용되는 선구 물질의 개발과 그 특성의 이해가 필수적이다. MOCVD용 선구 물질은 200 ℃ 이하에서 충분히 높은 증기압을 가져야 하고, 기화시키기 위해 가열하는 동안 열적으로 충분히 안정해야 하며, 350 내지 500 ℃의 기질 온도에서 유기 물질 등의 분해 없이 신속히 분해되어야 하며, 저장 기간 동안 공기 및 습기에 충분히 안정해야 한다. 또한, 선구 물질 자체에 또는 분해 생성 물질에 독성이 없거나 적어야 하며, 합성법이 간단하고 원재료 단가가 저렴해야 한다.Metal Organic Chemical Vapor Deposition (MOCVD) process, which is used to manufacture various oxide thin films in the thin film manufacturing technology, is relatively simple in equipment, uniform in layer covering, easy to control ingredients, and difficult to convert to mass production. There is no advantage. In order to manufacture a thin film using such a MOCVD process, it is essential to develop a precursor material and to understand its characteristics. The precursors for MOCVD must have a sufficiently high vapor pressure below 200 ° C, be thermally stable enough for heating to vaporize, and decompose rapidly at the substrate temperature of 350 to 500 ° C without decomposition of organic materials, etc. Should be stable enough to air and moisture while. In addition, it should be non-toxic or less toxic to the precursor itself or to the decomposition products, the synthesis method should be simple and the raw material cost should be low.

실리콘 화합물을 박막으로 만들기 위해 사용되어 온 선구 물질은 크게 네 가지로, 실란, 염화실란, 알콕사이드 화합물 및 β-디케토네이트를 포함하는 화합물로 구분된다.There are four major precursors that have been used to make silicon compounds into thin films: silanes, silane chlorides, alkoxide compounds and β -diketonates.

실란은 실온에서 기체이고, 높은 압력과 충격에도 안정하나 산소와 섞일 때 타거나 폭발한다. 또한 수분과 반응하여 가루나 입자를 형성하므로 주의하여 다뤄야 한다. 사염화실란(SiCl4)은 H2O와 함께 원자층 침착법(Atomic Layer Deposition, ALD)의 선구 물질로, 반응물 압력이 1-10 Torr이고, 온도는 600-800ㅀK에서 실리콘 산화물 박막을 제조할 수 있다고 개시되어 있으며(문헌[J. W. Klaus, A. W. Ott, J. M. Johnson, and S. M. George, Appl. Phys. Lett. 1997, 70, 1092] 참조), 실란과 마찬가지로 수분에 민감하게 반응하고, 박막을 제조하는 동안 표면을 염화물로 오염시킬 수 있다. 또한 이염화실란(SiH2Cl2)은 O2 또는 O3와 함께 원자층 침착법을 이용하여 300℃에서 실리콘 산화물 박막을 제조하는데 사용되기도 한다(문헌[Japanese Journal of Applied Physics, Part 2: Letters & Express Letters 2004, 43(3A), L328-L33]참조).Silane is a gas at room temperature, stable to high pressures and impacts, but burns or explodes when mixed with oxygen. It should also be handled with care as it reacts with moisture to form powders or particles. Silane tetrachloride (SiCl 4 ) is a precursor of atomic layer deposition (ALD) with H 2 O. A silicon oxide thin film is produced at a reactant pressure of 1-10 Torr and a temperature of 600-800 ㅀ K. (See JW Klaus, AW Ott, JM Johnson, and SM George, Appl. Phys. Lett. 1997 , 70 , 1092), and reacts sensitively to moisture as well as silanes to produce thin films. The surface may be contaminated with chlorides during the process. Dichlorosilanes (SiH 2 Cl 2 ) are also used to prepare silicon oxide thin films at 300 ° C. using atomic layer deposition with O 2 or O 3 ( Japanese Journal of Applied Physics, Part 2: Letters & Express Letters 2004 , 43 (3A) , L328-L33).

알콕사이드계 전구체 중 실리콘 산화물 박막의 선구 물질로 가장 많이 사용되는 테트라에틸오르소실리케이트 (TEOS)는 실온에서 액체이고 다루기가 쉽지만, 수분과 반응하여 SiO2와 에탄올로 가수분해하는 반응이 느리다. 이 선구 물질은 LPCVD (Low-Pressure Chemical Vapor Deposition)이나 APCVD (Atmospheric Pressure Chemical Vapor Deposition)에 의해 400-900 ℃ 정도의 높은 온도에서 박막을 형성한다 (문헌[J. Crowell 등, J. Vac. Sci. Technol. A 1990, 8, 1864]; [L. Tedder 등, J. Appl. Phys. 1991, 69, 7037]; [M. IslamRaja 등, J. Vac. Sci. Technol. B 1993, 11, 720]; 및 [D. Williams 등, J. Electrochem. Soc. 1988, 134, 657] 참조).Among the alkoxide precursors, tetraethylorthosilicate (TEOS), which is most commonly used as a precursor of silicon oxide thin films, is liquid at room temperature and is easy to handle, but it reacts slowly with hydrolysis to SiO 2 and ethanol. This precursor forms a thin film at a high temperature of about 400-900 ° C by LPCVD (Low-Pressure Chemical Vapor Deposition) or APCVD (Atmospheric Pressure Chemical Vapor Deposition) (J. Crowell et al . , J. Vac. Sci). A 1990 , 8 , 1864; L. Tedder et al. , J. Appl. Phys. 1991 , 69 , 7037; M. Islam Raja et al., J. Vac. Sci. Technol. B 1993 , 11 , 720 And D. Williams et al . , J. Electrochem. Soc. 1988 , 134 , 657).

또한, β-디케토네이트를 포함하는 화합물로는 SiClMe(acac)2, SiClPh(acac)2, SiMe2(acac)2 (acac = 아세틸아세토네이트) 등이 있는데, 이들은 매우 불안정하고 수율이 떨어지는 단점이 있다. Si(OAc)2(acac)2와 SiX2(thd)2 (X = Me, OtBu, OtAm, thd = 2,2,6,6-테트라메틸-3,5-헵탄디온)는 좀 더 안정하지만, β-디케토네이트를 포함하는 화합물은 높은 열적 안정성 때문에 MOCVD 과정에서 증착 온도가 높다는 단점이 있다(문헌[C. Xu, 등, Inorg . Chem . 2004, 43, 1568]; [R. West, J. Am . Chem . Soc . 1958, 80, 3246]; [R. M. Pike 등, J. Am . Chem . Soc . 1966, 88, 2972]; [D.W. Thompson, Inorg. Chem. 1969, 8, 2015]; 및 [K. M. Taba 등, J. Organomet. Chem. 1985, 280, 27] 참조).In addition, compounds containing β -diketonate include SiClMe (acac) 2 , SiClPh (acac) 2 , SiMe 2 (acac) 2 (acac = acetylacetonate), which are very unstable and have low yields. There is this. Si (OAc) 2 (acac) 2 and SiX 2 (thd) 2 (X = Me, O t Bu, O t Am, thd = 2,2,6,6-tetramethyl-3,5-heptanedion) Although more stable, compounds containing β -diketonate have the disadvantage of high deposition temperature during the MOCVD process due to high thermal stability (C. Xu, et al . , Inorg . Chem . 2004 , 43 , 1568); [ R. West, J. Am . Chem . Soc . 1958 , 80 , 3246; RM Pike et al . , J. Am . Chem . Soc . 1966 , 88 , 2972; DW Thompson, Inorg. Chem. 1969 , 8 , 2015] and KM Taba et al. , J. Organomet. Chem. 1985 , 280 , 27).

본 발명자들은 상기 화합물들이 갖는 문제점들을 해결할 수 있는 새로운 리간드를 도입하여 열적 안정성과 휘발성이 개선된 신규의 실리콘 또는 실리콘 산화물 박막 증착용 선구 물질을 개발하기에 이르렀다.The present inventors have introduced a novel ligand that can solve the problems of the compounds to develop a novel precursor for depositing silicon or silicon oxide thin films with improved thermal stability and volatility.

본 발명의 목적은 양질의 실리콘 또는 실리콘 산화물 박막을 형성할 수 있는, 열적으로 안정하고 휘발성이 증가된 실리콘 화합물 선구 물질을 제공하는 데 있다.It is an object of the present invention to provide a thermally stable and increased volatility silicon compound precursor that is capable of forming high quality silicon or silicon oxide thin films.

상기 목적을 달성하기 위하여, 본 발명은 하기 화학식 1로 표시되는, 실리콘에 디알킬아미노기가 배위결합된, 신규의 실리콘 화합물 선구 물질을 제공한다.In order to achieve the above object, the present invention provides a novel silicone compound precursor, wherein a dialkylamino group is coordinated to silicone, represented by the following formula (1).

[화학식 1][Formula 1]

SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m

상기 식에서, R1, R2, R3, R4 및 R5는 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n 은 1 내지 3 범위의 정수이다.Wherein R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 linear or branched alkyl, m, n is an integer ranging from 1 to 3.

또한, 본 발명은 하기 화학식 2의 실리콘 화합물과 하기 화학식 3의 알칼리 금속염을 반응시키는 것을 특징으로 하는 화학식 1의 실리콘 착화합물의 제조 방법을 제공한다.In addition, the present invention provides a method for producing a silicon complex compound of formula (1) characterized in that the reaction of the silicon compound of formula (2) and the alkali metal salt of formula (3).

[화학식 1][Formula 1]

SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m

[화학식 2][Formula 2]

SiR1 mCl4 -m SiR 1 m Cl 4 -m

[화학식 3](3)

MOCR2R3(CH2)nNR4R5 MOCR 2 R 3 (CH 2 ) n NR 4 R 5

상기 화학식 1, 화학식 2 및 화학식 3에서, R1, R2, R3, R4 및 R5는 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n은 1 내지 3 범위의 정수이며, M은 수소 또는 알칼리 금속이다.In Formula 1, Formula 2 and Formula 3, R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 Linear or branched alkyl, m, n is an integer ranging from 1 to 3, and M is hydrogen or an alkali metal.

또한, 본 발명은 상기에 따른 실리콘 착화합물을 선구 물질로서 사용하여 실리콘 박막 또는 실리콘 산화물 박막을 성장시키는 방법을 제공한다.The present invention also provides a method for growing a silicon thin film or a silicon oxide thin film using the above-described silicon complex compound as a precursor.

또한, 본 발명은 상기 박막을 성장시키는 방법은 금속 유기물 화학 증착법 (MOCVD)에 의한 것을 특징으로 하여 하는 실리콘 박막 또는 실리콘 산화물 박막을 성장시키는 방법을 제공한다.The present invention also provides a method for growing a silicon thin film or a silicon oxide thin film, characterized in that the method for growing the thin film by metal organic chemical vapor deposition (MOCVD).

또한, 본 발명은 상기의 방법으로 성장된 실리콘 박막 또는 실리콘 산화물 박막을 제공한다.The present invention also provides a silicon thin film or silicon oxide thin film grown by the above method.

이하, 본 발명을 더욱 상세히 설명한다.Hereinafter, the present invention will be described in more detail.

본 발명은 하기 화학식 1로 표시되는, 실리콘에 디알킬아미노기가 배위결합 된, 신규의 실리콘 화합물 선구 물질을 제공한다.The present invention provides a novel silicone compound precursor, wherein a dialkylamino group is coordinated to silicon, represented by the following formula (1).

[화학식 1][Formula 1]

SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m

상기 식에서, R1, R2, R3, R4 및 R5는 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n 은 1 내지 3 범위의 정수이다.Wherein R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 linear or branched alkyl, m, n is an integer ranging from 1 to 3.

상기 화학식 1에서, R1, R2, R3, R4 및 R5가 각각 독립적으로 CH3, C2H5 및 CH(CH3)2 로 이루어진 군에서 선택된 알킬기인 것이 바람직하다.In Chemical Formula 1, R 1 , R 2 , R 3 , R 4, and R 5 are each independently an alkyl group selected from the group consisting of CH 3 , C 2 H 5, and CH (CH 3 ) 2 .

상기 실리콘 착화합물의 구체적인 예로 트리(메틸)-(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV), 디(메틸)-비스(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) 또는 (메틸)-트리(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) 등을 들 수 있다.Specific examples of the silicone complex include tri (methyl)-(1-dimethylamino-2-methyl-2-propoxy) silicon (IV), di (methyl) -bis (1-dimethylamino-2-methyl-2-prop Foxy) silicone (IV) or (methyl) -tri (1-dimethylamino-2-methyl-2-propoxy) silicone (IV), etc. are mentioned.

본 발명에 따른 상기 화학식 1로 표시되는 착화합물은, 출발 물질로서 화학식 2로 표시되는 실리콘 화합물과 화학식 3의 알코올의 알칼리 금속염과 극성 유기용매 중에서 치환 반응시켜 제조할 수 있다.The complex compound represented by Chemical Formula 1 according to the present invention may be prepared by a substitution reaction in a polar organic solvent with an alkali metal salt of a silicone compound represented by Chemical Formula 2 and an alcohol of Chemical Formula 3 as a starting material.

[화학식 2][Formula 2]

SiR1 mCl4 -m SiR 1 m Cl 4 -m

[화학식 3](3)

MOCR2R3(CH2)nNR4R5 MOCR 2 R 3 (CH 2 ) n NR 4 R 5

상기 식에서, R1, R2, R3, R4 및 R5는 상술한 바와 같이 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n은 1 내지 3 범위의 정수이며, M은 수소 또는 Li, Na 및 K과 같은 알칼리 금속이다.Wherein R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 linear or branched alkyl as described above, m, n is an integer ranging from 1 to 3, M Is hydrogen or an alkali metal such as Li, Na and K.

본 발명의 화학식 1의 실리콘 착화합물을 제조하기 위해 상기 화학식 2로 표시되는 실리콘 출발 물질과 화학식 3으로 표시되는 알코올의 알칼리금속염과 반응시키는 공정은 하기 반응식 1로 나타낼 수 있다: The process of reacting the silicon starting material represented by Formula 2 with an alkali metal salt of an alcohol represented by Formula 3 to prepare a silicone complex of Formula 1 of the present invention may be represented by the following Scheme 1:

[반응식 1]Scheme 1

SiR1 mCl4 -m + (4-m) MOCR2R3CH2NR4R5 → SiR1 m(OCR2R3(CH2)nNR4R5)4-m + (4-m) MClSiR 1 m Cl 4 -m + (4-m) MOCR 2 R 3 CH 2 NR 4 R 5 → SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m + (4-m ) MCl

상기 식에서, R1, R2, R3, R4 및 R5는 각각 독립적으로 C1-C7 선형 또는 분지형 알킬이고, m,n은 1 내지 3 범위의 정수이며, M은 수소 또는 Li, Na 및 K과 같은 알칼리 금속이다.Wherein R 1 , R 2 , R 3 , R 4 and R 5 are each independently C 1 -C 7 linear or branched alkyl, m, n is an integer ranging from 1 to 3, and M is hydrogen or Li Alkali metals such as, Na and K.

상기 반응에 따르면, 예를 들면 화학식 2의 화합물 1당량과 화학식 3의 화합물 (4-m)당량을 테트라하이드로퓨란과 같은 극성 용매에 녹여 실온에서 약 12시간 동안 치환 반응을 진행한 뒤 감압 하에서 여과하고, 생성된 여과액으로부터 용매를 감압 제거하여 화학식 1의 화합물을 얻을 수 있다.According to the reaction, for example, one equivalent of the compound of formula (2) and the compound (4-m) equivalent of formula (3) are dissolved in a polar solvent such as tetrahydrofuran, followed by a substitution reaction at room temperature for about 12 hours, and then filtered under reduced pressure. Then, the solvent is removed under reduced pressure from the resulting filtrate to obtain the compound of Formula 1.

상기 반응에서, 할로겐 이온을 포함하는 화학식 2의 화합물은 알칼리 금속 염인 MOCR1R2(CH2)nNR3 2 (화학식 3의 화합물)와 반응하여 화학식 1의 화합물과 (4-m) 당량의 MCl을 생성하게 된다.In the above reaction, the compound of formula 2 containing halogen ions is reacted with MOCR 1 R 2 (CH 2 ) n NR 3 2 (compound of formula 3), which is an alkali metal salt, to (4-m) equivalents. MCl is produced.

상기 화학식 1로 표시되는 본 발명에 따른 신규의 실리콘 또는 실리콘 산화물 박막용 선구 물질은 안정한 착화합물(킬레이트)로서, 금속과 결합하는 알콕사이드의 산소에 대하여 α-탄소 위치에 비극성 알킬기가 결합되어 있어 유기 용매에 대한 친화성이 높고, 알콕사이드의 산소와 결합한 중심 금속이 이웃한 리간드의 산소 및 질소와 분자간 상호 작용을 막을 수 있도록 입체 장애를 주기 때문에 단위체로 존재할 수 있다. 이러한 구조적 특성으로 인하여 상기 화학식 1의 실리콘 착화합물은 상온에서 안정한 액체로서 유기 용매, 예를 들면 펜탄, 헥산, 디에틸에테르, 테트라하이드로퓨란, 톨루엔 등에 높은 용해도를 갖고, 휘발성이 뛰어날 뿐만 아니라, 할로겐 원소를 포함하지 않고, 상온에서 안정하고 공기 중에서도 안정하여 보관상 유리하여, 이들을 사용하여 보다 양질의 실리콘 또는 실리콘 산화물 박막을 얻을 수 있다.The novel precursor for silicon or silicon oxide thin film according to the present invention represented by the formula (1) is a stable complex compound (chelate), an organic solvent having a non-polar alkyl group bonded to the α-carbon position with respect to the oxygen of the alkoxide to be bonded to the metal It can be present as a monomer because it has a high affinity for and a steric hindrance to prevent intermolecular interactions with oxygen and nitrogen of neighboring ligands. Due to these structural properties, the silicone complex compound of Formula 1 is a stable liquid at room temperature, has high solubility in organic solvents such as pentane, hexane, diethyl ether, tetrahydrofuran, toluene, etc. It does not include, and is stable at room temperature and stable in air, and advantageous in storage, and these can be used to obtain a higher quality silicon or silicon oxide thin film.

본 발명의 신규의 실리콘 착화합물은 특히 반도체 제조 공정에 널리 사용되고 있는 박막을 성장시키는 방법으로 금속 유기물 화학 증착(MOCVD) 또는 원자층 침착(ALD) 공정에 바람직하게 적용될 수 있다. 특히, 상기 박막을 성장시키는 방법으로 금속 유기물 화학 증착법 (MOCVD)에 의한 것을 특징으로 하는 방법이 더욱 바람직하다.The novel silicon complexes of the present invention can be suitably applied to metal organic chemical vapor deposition (MOCVD) or atomic layer deposition (ALD) processes, particularly as a method of growing thin films widely used in semiconductor manufacturing processes. In particular, a method of growing the thin film is more preferably characterized by metal organic chemical vapor deposition (MOCVD).

상술한 바와 같이, 본 발명의 실리콘 착화합물은 할로겐 성분을 함유하지 않고 실리콘에 디알킬아미노기가 배위된 착화합물로서 수분에 안정하고 보관이 유리하며, 특히 산화막의 우수한 질을 요구하는 금속 유기물 화학 증착법(MOCVD) 또는 원자층 침착법(ALD)에 사용되는 실리콘의 선구 물질로서 손색이 없으며, 이에 따라 실리콘을 포함하는 산화물 박막 제조용 선구 물질로서 유용하게 사용할 수 있다.As described above, the silicon complex compound of the present invention is a complex compound containing no halogen component and coordinated with a dialkylamino group in silicon, which is stable to moisture and advantageous in storage, and particularly, a metal organic chemical vapor deposition method (MOCVD) which requires excellent quality of oxide film. ) Or as a precursor of silicon used in atomic layer deposition (ALD), and thus can be usefully used as a precursor for producing an oxide thin film containing silicon.

본 발명은 하기의 실시 예에 의하여 보다 더 잘 이해될 수 있으며, 하기의 실시 예는 본 발명의 예시 목적을 위한 것이며 첨부된 특허 청구범위에 의하여 한정되는 보호 범위를 제한하고자 하는 것은 아니다.The invention can be better understood by the following examples, which are intended for the purpose of illustration of the invention and are not intended to limit the scope of protection defined by the appended claims.

모든 실험은 장갑 상자 또는 슐렝크 관(Schlenk line)을 이용하여 비활성 아르곤 또는 질소 분위기에서 수행하였다. 실시예 1 내지 3에서 각각 얻은 반응 생성물의 구조는 수소 원자핵 자기 공명법(1H nuclear magnetic resonance, NMR), 탄소 원자핵 자기 공명법(13C NMR), 푸리에 변환 적외선 분광 (FTIR) 분석, 원소 분석법 (elemental analysis, EA), 열무게 분석법/시차 열분석법 (thermogravimetric analysis/differential thermal analysis, TGA/DTA)을 이용하여 분석하였다. All experiments were performed in an inert argon or nitrogen atmosphere using a glove box or Schlenk line. Example 1 The structure of each reaction product obtained in to 3 hydrogen nuclei magnetic resonance (1 H nuclear magnetic resonance, NMR), carbon nuclear magnetic resonance (13 C NMR), Fourier transform infrared spectroscopy (FTIR) analysis, elemental analysis and (elemental analysis, EA), thermogravimetric analysis / differential thermal analysis (TGA / DTA).

실리콘 착화합물의 제조Preparation of Silicone Complexes

실시예Example 1 One

트리(메틸)-(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) [Si(CH3)3(dmamp)]의 합성Synthesis of tri (methyl)-(1-dimethylamino-2-methyl-2-propoxy) silicon (IV) [Si (CH 3 ) 3 (dmamp)]

테트라하이드로퓨란(150 mL)이 들어 있는 250 mL 슐렝크 플라스크에 화학식 2의 화합물(1 g, 9.20 mmol)을 넣고 용해시키고, 여기에 Nadmamp (1-디메틸아미노-2-메틸-2-프로폭시 나트륨, 1.28 g, 9.20 mmol)를 첨가하고, 12시간 동안 교반하였다. 이어서, 이 용액을 여과하여 여과액을 감압 하에서 용매를 제거하여 흰색 고체의 표제 화합물(0.70 g)을 얻었다(수율: 40 %).To a 250 mL Schlenk flask containing tetrahydrofuran (150 mL) was added Compound 1 (1 g, 9.20 mmol) and dissolved in Nadmamp (1-dimethylamino-2-methyl-2-propoxy sodium). , 1.28 g, 9.20 mmol) was added and stirred for 12 hours. This solution was then filtered to remove the solvent under reduced pressure to give the title compound (0.70 g) as a white solid (yield: 40%).

1H NMR (C6D6, 300.13 MHz): δ 2.25 (s, 6 H, CH2N(C H 3)2), 2.20 (s, 2 H C H 2N), 1.26 (s, 6 H, C(C H 3)2), 0.14 (s, 9 H, Si(C H 3)3). 1 H NMR (C 6 D 6 , 300.13 MHz): δ 2.25 (s, 6 H, CH 2 N (C H 3 ) 2 ), 2.20 (s, 2 HC H 2 N), 1.26 (s, 6 H, C (C H 3 ) 2 ), 0.14 (s, 9 H, Si (C H 3 ) 3 ).

원소 분석 C9H23NOSi {계산치(실측치)}: C, 57.08 (40.82); H, 12.24 (9.44); N, 7.40 (5.86).Elemental Analysis C 9 H 23 NOSi {calculated (calculated)}: C, 57.08 (40.82); H, 12.24 (9.44); N, 7.40 (5.86).

상기 화합물의 수소 원자핵 자기 공명 (1H-NMR) 스펙트럼, 푸리에 변환 적외선 분광 (FT-IR) 스펙트럼을 각각 도 1 및 2에 나타내었다.Hydrogen nuclear magnetic resonance ( 1 H-NMR) spectra and Fourier transform infrared spectroscopy (FT-IR) spectra of the compounds are shown in FIGS. 1 and 2, respectively.

실시예Example 2  2

디(메틸)-비스(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) [Si(CH3)2(dmamp)2]의 합성Synthesis of di (methyl) -bis (1-dimethylamino-2-methyl-2-propoxy) silicon (IV) [Si (CH 3 ) 2 (dmamp) 2 ]

테트라하이드로퓨란(150 mL)이 들어 있는 250 mL 슐렝크 플라스크에 화학식 2의 화합물(1 g, 7.75 mmol)을 넣고 용해시키고, 여기에 Nadmamp (1-디메틸아미노-2-메틸-2-프로폭시 나트륨, 2.16 g, 15.49 mmol)를 첨가하고, 12시간 동안 교반하였다. 이어서, 이 용액을 여과하여 여과액을 감압 하에서 용매를 제거하여 증류(70 oC/10-2 torr)를 통하여 무색 액상의 표제 화합물(1.61 g)을 얻었다(수율: 71.4 %).To a 250 mL Schlenk flask containing tetrahydrofuran (150 mL) was added Compound 1 (1 g, 7.75 mmol) and dissolved in it. Nadmamp (1-dimethylamino-2-methyl-2-propoxy sodium) , 2.16 g, 15.49 mmol) was added and stirred for 12 hours. Subsequently, the solution was filtered to remove the solvent from the filtrate under reduced pressure to obtain a title compound (1.61 g) as a colorless liquid (yield: 71.4%) through distillation (70 ° C / 10 -2 torr).

1H NMR (C6D6, 300.13 MHz): δ 2.28 (s, 12 H, CH2N(C H 3)2), 2.26 (s, 4 H C H 2N), 1.37 (s, 12 H, C(C H 3)2), 0.26 (s, 6 H, Si(C H 3)3). 1 H NMR (C 6 D 6 , 300.13 MHz): δ 2.28 (s, 12 H, CH 2 N (C H 3 ) 2 ), 2.26 (s, 4 HC H 2 N), 1.37 (s, 12 H, C (C H 3 ) 2 ), 0.26 (s, 6 H, Si (C H 3 ) 3 ).

13C NMR (C6D6, 75.04 MHz): δ 76.19, 71.6, 48.3, 28.3, 2.47. 13 C NMR (C 6 D 6 , 75.04 MHz): δ 76.19, 71.6, 48.3, 28.3, 2.47.

원소 분석 C14H34N2O2Si {계산치(실측치)}: C, 57.88 (56.67); H, 11.80 (12.89); N, 9.64 (9.77). Elemental Analysis C 14 H 34 N 2 O 2 Si (calculated): C, 57.88 (56.67); H, 11.80 (12.89); N, 9.64 (9.77).

상기 화합물의 수소 원자핵 자기 공명 (1H NMR) 스펙트럼, 탄소 원자핵 자기 공명 (13C NMR) 스펙트럼, 및 푸리에 변환 적외선 분광 (FTIR) 스펙트럼을 각각 도 4 내지 6에 나타내었다.Hydrogen nuclear magnetic resonance ( 1 H NMR) spectra, carbon nuclear magnetic resonance ( 13 C NMR) spectra, and Fourier transform infrared spectroscopy (FTIR) spectra of the compounds are shown in FIGS. 4 to 6, respectively.

실시예Example 3 3

(메틸)-트리(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) [Si(CH3)(dmamp)3]의 합성Synthesis of (methyl) -tri (1-dimethylamino-2-methyl-2-propoxy) silicon (IV) [Si (CH 3 ) (dmamp) 3 ]

테트라하이드로퓨란(150 mL)이 들어 있는 250 mL 슐렝크 플라스크에 화학식 2의 화합물(1 g, 6.69 mmol)을 넣고 용해시키고, 여기에 Nadmamp (1-디메틸아미노-2-메틸-2-프로폭시 나트륨, 2.79 g, 20.07 mmol)를 첨가하고, 12시간 동안 교반하였다. 이어서, 이 용액을 여과하여 여과액을 감압 하에서 용매를 제거하여 증 류(100 oC/10-2 torr)를 통하여 무색 액상의 표제 화합물(1.38 g)을 얻었다(수율: 52.5 %).In a 250 mL Schlenk flask containing tetrahydrofuran (150 mL) was added Compound 1 (1 g, 6.69 mmol) and dissolved in it, followed by Nadmamp (1-dimethylamino-2-methyl-2-propoxy sodium). , 2.79 g, 20.07 mmol) was added and stirred for 12 hours. Subsequently, the solution was filtered to remove the solvent under reduced pressure, and the title compound (1.38 g) as a colorless liquid was obtained through distillation (100 o C / 10 -2 torr) (yield: 52.5%).

1H NMR (C6D6, 300.13 MHz): δ 2.36 (s, 6 H C H 2N), 2.31 (s, 18 H, CH2N(C H 3)2), 1.48 (s, 18 H, C(C H 3)2), 0.33 (s, 3 H, Si(C H 3)3). 1 H NMR (C 6 D 6 , 300.13 MHz): δ 2.36 (s, 6 HC H 2 N), 2.31 (s, 18 H, CH 2 N (C H 3 ) 2 ), 1.48 (s, 18 H, C (C H 3 ) 2 ), 0.33 (s, 3 H, Si (C H 3 ) 3 ).

13C NMR (C6D6, 75.04 MHz): δ 76.2, 71.6, 48.3, 28.3, 2.47. 13 C NMR (C 6 D 6 , 75.04 MHz): δ 76.2, 71.6, 48.3, 28.3, 2.47.

원소 분석 C19H45N3O3Si {계산치(실측치)}: C, 58.26 (57.77); H, 11.58 (12.39); N, 10.73 (11.52). Elemental Analysis C 19 H 45 N 3 O 3 Si (calculated): C, 58.26 (57.77); H, 11.58 (12.39); N, 10.73 (11.52).

상기 화합물의 수소 원자핵 자기 공명 (1H NMR) 스펙트럼, 탄소 원자핵 자기 공명 (13C NMR) 스펙트럼, 및 푸리에 변환 적외선 분광 (FTIR) 스펙트럼을 각각 도 8 내지 10에 나타내었다.Hydrogen nuclear magnetic resonance ( 1 H NMR) spectra, carbon nuclear magnetic resonance ( 13 C NMR) spectra, and Fourier transform infrared spectroscopy (FTIR) spectra of the compounds are shown in FIGS. 8 to 10, respectively.

상기 실시예 1 내지 3에서 합성한 실리콘 화합물 각각의 열중량 분석(TGA) 및 시차 열분석(DTA) 결과를 도 3, 7 및 11에 나타내었다. The thermogravimetric analysis (TGA) and differential thermal analysis (DTA) of each of the silicon compounds synthesized in Examples 1 to 3 are shown in FIGS. 3, 7 and 11.

도 3을 참조하면, 실시예 1의 Si(CH3)3(dmamp)는 116 ℃ 와 141 ℃ 근처에서 두차례에 걸쳐 급격히 휘발하여 212 ℃ 부근에서 휘발이 완료되고 19.55 %의 잔류분이 남는 것을 알 수 있다. Referring to FIG. 3, it was found that Si (CH 3 ) 3 (dmamp) of Example 1 rapidly volatilized two times near 116 ° C. and 141 ° C. to complete volatilization at around 212 ° C. and remained 19.55% of residue. Can be.

도 7을 참조하면, 실시예 2의 Si(CH3)2(dmamp)2는 100 ℃ 근처에서 급격히 휘 발하여 169 ℃ 부근에서 휘발이 완료되고 0 %의 잔류분이 남는 것을 알 수 있다.Referring to FIG. 7, it can be seen that Si (CH 3 ) 2 (dmamp) 2 of Example 2 rapidly volatilized at about 100 ° C., and volatilization was completed at about 169 ° C., and a residue of 0% remained.

또한, 도 11을 참조하면, 실시예 3의 Si(CH3)(dmamp)3는 146 ℃ 근처에서 급격히 휘발하여 226 ℃ 부근에서 휘발이 완료되고 2.03 %의 잔류분이 남는 것을 알 수 있다.Referring to FIG. 11, it can be seen that Si (CH 3 ) (dmamp) 3 of Example 3 volatilizes rapidly at around 146 ° C., and volatilization is completed at around 226 ° C., and 2.03% of residue remains.

도 1은 본 발명의 실시예 1에 따른 [Si(CH3)3(dmamp)]의 수소 원자핵 자기 공명(1H NMR)스펙트럼이다.1 is a hydrogen atom magnetic resonance ( 1 H NMR) spectrum of [Si (CH 3 ) 3 (dmamp)] according to Example 1 of the present invention.

도 2는 본 발명의 실시예 1에 따른 [Si(CH3)3(dmamp)]의 푸리에 변환 적외선 분광(FT-IR)스펙트럼이다.2 is a Fourier transform infrared spectroscopy (FT-IR) spectrum of [Si (CH 3 ) 3 (dmamp)] according to Example 1 of the present invention.

도 3은 본 발명의 실시예 1에 따른 [Si(CH3)3(dmamp)]의 열중량 분석 (TGA) 및 시차 열분석(DTA) 결과이다.3 is a thermogravimetric analysis (TGA) and differential thermal analysis (DTA) results of [Si (CH 3 ) 3 (dmamp)] according to Example 1 of the present invention.

도 4는 본 발명의 실시예 2에 따른 [Si(CH3)2(dmamp)2]의 수소 원자핵 자기 공명(1H-NMR)스펙트럼이다.4 is a hydrogen atom magnetic resonance ( 1 H-NMR) spectrum of [Si (CH 3 ) 2 (dmamp) 2 ] according to Example 2 of the present invention.

도 5는 본 발명의 실시예 2에 따른 [Si(CH3)2(dmamp)2]의 탄소 원자핵 자기 공명(13C-NMR)스펙트럼이다.5 is a carbon atom magnetic resonance ( 13 C-NMR) spectrum of [Si (CH 3 ) 2 (dmamp) 2 ] according to Example 2 of the present invention.

도 6은 본 발명의 실시예 2에 따른 [Si(CH3)2(dmamp)2]의 푸리에 변환 적외선 분광(FT-IR) 스펙트럼이다.6 is a Fourier transform infrared spectroscopy (FT-IR) spectrum of [Si (CH 3 ) 2 (dmamp) 2 ] according to Example 2 of the present invention.

도 7은 본 발명의 실시예 2에 따른 [Si(CH3)2(dmamp)2]의 열중량 분석 및 시차 열분석 결과이다.7 is a thermogravimetric analysis and differential thermal analysis of [Si (CH 3 ) 2 (dmamp) 2 ] according to Example 2 of the present invention.

도 8은 본 발명의 실시예 3에 따른 [Si(CH3)(dmamp)3]의 수소 원자핵 자기 공명(1H NMR)스펙트럼이다.8 is a hydrogen atom magnetic resonance ( 1 H NMR) spectrum of [Si (CH 3 ) (dmamp) 3 ] according to Example 3 of the present invention.

도 9는 본 발명의 실시예 3에 따른 [Si(CH3)(dmamp)3]의 탄소 원자핵 자기 공명(13C-NMR)스펙트럼이다.9 is a carbon atom magnetic resonance ( 13 C-NMR) spectrum of [Si (CH 3 ) (dmamp) 3 ] according to Example 3 of the present invention.

도 10은 본 발명의 실시예 3에 따른 [Si(CH3)(dmamp)3]의 푸리에 변환 적외선 분광(FT-IR)스펙트럼이다.10 is a Fourier transform infrared spectroscopy (FT-IR) spectrum of [Si (CH 3 ) (dmamp) 3 ] according to Example 3 of the present invention.

도 11은 본 발명의 실시예 3에 따른 [Si(CH3)(dmamp)3]의 열중량 분석 및 시차 열분석 결과이다.11 shows thermogravimetric and differential thermal analysis of [Si (CH 3 ) (dmamp) 3 ] according to Example 3 of the present invention.

Claims (8)

하기 화학식 1로 표시되는 실리콘 착화합물.Silicone complex represented by the following formula (1). [화학식 1][Formula 1] SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m [상기 화학식 1에서, R1, R2, R3, R4 및 R5는 서로 독립적으로 C1-C7 선형 또는 분지형 알킬기이고; m 및 n 은 서로 독립적으로 1 내지 3 범위의 정수이다.][In Formula 1, R 1 , R 2 , R 3 , R 4 and R 5 are independently of each other a C 1 -C 7 linear or branched alkyl group; m and n are each independently an integer ranging from 1 to 3.] 제1항에 있어서,The method of claim 1, 상기 화학식 1에서, R1, R2, R3, R4 및 R5가 각각 독립적으로 CH3, C2H5 및 CH(CH3)2 로 이루어진 군에서 선택된 알킬기임을 특징으로 하는 실리콘 착화합물.In Chemical Formula 1, R 1 , R 2 , R 3 , R 4 and R 5 are each independently an alkyl group selected from the group consisting of CH 3 , C 2 H 5 and CH (CH 3 ) 2 . 제1항에 있어서,The method of claim 1, 상기 실리콘 착화합물이 트리(메틸)-(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV), 디(메틸)-비스(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV) 또는 (메틸)-트리(1-디메틸아미노-2-메틸-2-프로폭시)실리콘(IV)을 포함하는 것을 특징으로 하는 실리콘 착화합물.The silicone complex is tri (methyl)-(1-dimethylamino-2-methyl-2-propoxy) silicone (IV), di (methyl) -bis (1-dimethylamino-2-methyl-2-propoxy) A silicone complex comprising silicon (IV) or (methyl) -tri (1-dimethylamino-2-methyl-2-propoxy) silicon (IV). 하기 화학식 2의 실리콘 화합물과 하기 화학식 3의 알칼리 금속염을 반응시키는 것을 특징으로 하는 화학식 1의 실리콘 착화합물의 제조 방법.A process for producing a silicon complex compound of formula (1) comprising reacting a silicon compound of formula (2) with an alkali metal salt of formula (3). [화학식 1][Formula 1] SiR1 m(OCR2R3(CH2)nNR4R5)4-m SiR 1 m (OCR 2 R 3 (CH 2 ) n NR 4 R 5 ) 4-m [화학식 2][Formula 2] SiR1 mCl4-m SiR 1 m Cl 4-m [화학식 3](3) MOCR2R3(CH2)nNR4R5 MOCR 2 R 3 (CH 2 ) n NR 4 R 5 [상기 화학식 1, 화학식 2 및 화학식 3에서, R1, R2, R3, R4 및 R5는 서로 독립적으로 C1-C7 선형 또는 분지형 알킬기이고; m 및 n은 서로 독립적으로 1 내지 3 범위의 정수이며; M은 수소 또는 알칼리 금속이다.][In Formula 1, Formula 2 and Formula 3, R 1 , R 2 , R 3 , R 4 and R 5 are independently of each other a C 1 -C 7 linear or branched alkyl group; m and n are each independently an integer ranging from 1 to 3; M is hydrogen or an alkali metal.] 제4항에 있어서,The method of claim 4, wherein 상기 화학식 3에서, 상기 M은 H, Li, Na 또는 K인 것을 특징으로하는 실리콘 착화합물의 제조방법.In Formula 3, wherein M is H, Li, Na or K method of producing a silicon complex. 제1항에 따른 실리콘 착화합물을 선구 물질로 사용하여 실리콘 박막 또는 실리콘 산화물 박막을 성장시키는 방법.A method of growing a silicon thin film or a silicon oxide thin film using the silicon complex compound according to claim 1 as a precursor. 제6항에 있어서,The method of claim 6, 상기 박막을 성장시키는 방법은 금속 유기물 화학 증착법 (MOCVD)에 의한 것을 특징으로 하는 실리콘 박막 또는 실리콘 산화물 박막을 성장시키는 방법.The method of growing the thin film is a method of growing a silicon thin film or silicon oxide thin film, characterized in that by metal organic chemical vapor deposition (MOCVD). 제6항 또는 제7항의 방법으로 성장된 실리콘 박막 또는 실리콘 산화물 박막.A silicon thin film or a silicon oxide thin film grown by the method of claim 6 or 7.
KR1020080036387A 2008-04-18 2008-04-18 Novel siliconiv complexes and preparation method thereof KR100961562B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080036387A KR100961562B1 (en) 2008-04-18 2008-04-18 Novel siliconiv complexes and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080036387A KR100961562B1 (en) 2008-04-18 2008-04-18 Novel siliconiv complexes and preparation method thereof

Publications (2)

Publication Number Publication Date
KR20090110737A KR20090110737A (en) 2009-10-22
KR100961562B1 true KR100961562B1 (en) 2010-06-07

Family

ID=41538551

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080036387A KR100961562B1 (en) 2008-04-18 2008-04-18 Novel siliconiv complexes and preparation method thereof

Country Status (1)

Country Link
KR (1) KR100961562B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6408178B2 (en) * 2018-01-26 2018-10-17 株式会社Adeka Alkoxide compounds

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100590052B1 (en) 2004-12-13 2006-06-19 한국화학연구원 Silicon(iv) complexes and preparation method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100590052B1 (en) 2004-12-13 2006-06-19 한국화학연구원 Silicon(iv) complexes and preparation method thereof

Also Published As

Publication number Publication date
KR20090110737A (en) 2009-10-22

Similar Documents

Publication Publication Date Title
KR101260858B1 (en) Metal-containing compound process for producing the same metal-containing thin film and method of forming the same
EP1669361B1 (en) Precursors for silica or metal silicate films
KR101120065B1 (en) Novel germanium complexes with amidine derivative ligand and process for preparing the same
CN106536641A (en) Molybdenum- and tungsten-containing precursors for thin film deposition
EP3936636A1 (en) Indium compound and method for forming indium-containing film using said indium compound
US6809212B2 (en) Method for producing organometallic compounds
KR100961562B1 (en) Novel siliconiv complexes and preparation method thereof
KR100897495B1 (en) Novel gallium amino-alkoxide complexes and process for preparing thereof
KR101052360B1 (en) Novel gallium alkoxide compound and preparation method thereof
US20080032062A1 (en) Organometallic compounds having sterically hindered amides
KR100590052B1 (en) Silicon(iv) complexes and preparation method thereof
US6603033B2 (en) Organotitanium precursors for chemical vapor deposition and manufacturing method thereof
WO2022222210A1 (en) Organo-transition metal compound and preparation method therefor, and method for forming transition metal-containing film
KR101017897B1 (en) Siliconiv complexes alkoxyalkoxide and preparation method thereof
KR101116246B1 (en) Novel Silicon aminoalkoxide complexes containing silicon-silicon bonding and process for preparing thereof
KR101116402B1 (en) Novel Silicon alkoxide complexes containing silicon-silicon bonding and process for preparing thereof
KR20060093391A (en) Silicon(iv) complexes of aminoalkoxide and preparation method thereof
KR101072002B1 (en) Novel Aluminum alkoxide complexes and process for preparing thereof
KR100965270B1 (en) Gallium complexes with donor-functionalized ligands and process for preparing thereof
KR100704464B1 (en) Copper aminoalkoxide complexes, preparation method thereof and process for the formation of copper thin film using the same
KR100976877B1 (en) Novel indium amino-alkoxide complexes and process for preparing thereof
KR100962431B1 (en) Novel Aluminum amino-alkoxide complexes and process for preparing thereof
KR100590051B1 (en) Precursors of lanthanide oxide and preparing method thereof
KR100695466B1 (en) Novel gadoliniumiii complexes and preparation method thereof
TWI846016B (en) Metal complexes containing cyclopentadienyl ligands and method of forming metal-containing film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee