KR100850216B1 - Method of forming fine patterns of semiconductor device using double patterning process - Google Patents

Method of forming fine patterns of semiconductor device using double patterning process Download PDF

Info

Publication number
KR100850216B1
KR100850216B1 KR1020070065658A KR20070065658A KR100850216B1 KR 100850216 B1 KR100850216 B1 KR 100850216B1 KR 1020070065658 A KR1020070065658 A KR 1020070065658A KR 20070065658 A KR20070065658 A KR 20070065658A KR 100850216 B1 KR100850216 B1 KR 100850216B1
Authority
KR
South Korea
Prior art keywords
pattern
mask
capping layer
region
layer
Prior art date
Application number
KR1020070065658A
Other languages
Korean (ko)
Inventor
이두열
곽판석
정성곤
이중현
이석주
고차원
이지영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070065658A priority Critical patent/KR100850216B1/en
Priority to US11/978,718 priority patent/US7892982B2/en
Application granted granted Critical
Publication of KR100850216B1 publication Critical patent/KR100850216B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for forming fine patterns of a semiconductor device using a double patterning process is provided to form plural wire lines by using a layout for forming an embossed wire pattern and by patterning a lower layer using a first pattern to form an opening on the lower layer and to form a wire line in the opening. An etching target layer(114) is formed on a substrate(100) including a first region and a second region. Plural first mask patterns(130a) are formed on the etching target layer. The first mask patterns have first pattern density in the first region and second pattern density in the second region. A first capping layer pattern(140a) is formed on the first region to gap-fill a space between two adjacent first mask patterns of the plural first mask patterns. A second capping layer pattern(142a) is formed in the second region to cover a sidewall of the first pattern so that a recess region having a predetermined width remains in the space. Plural second mask patterns(150a) are located on the same level as the first mask pattern in the recess region on the second capping layer. One of a first pattern being comprised of the first capping layer pattern and a second capping layer pattern, and a second pattern being comprised of the first mask pattern and a second mask pattern is removed. The etching target layer is etched by using the selected one pattern as an etching mask.

Description

더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴 형성 방법{Method of forming fine patterns of semiconductor device using double patterning process} Method of forming fine patterns of semiconductor device using double patterning process

도 1a 내지 도 1k는 본 발명의 제1 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 1A to 1K are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a first embodiment of the present invention.

도 2a 및 도 2b는 본 발명의 제2 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 2A and 2B are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a second embodiment of the present invention, according to a process sequence.

도 3a 내지 도 3f는 본 발명의 제3 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 3A to 3F are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a third embodiment of the present invention, according to a process sequence.

도 4는 본 발명의 제3 실시예의 변형예인 제 4 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위한 단면도이다. 4 is a cross-sectional view for describing a method of forming a fine pattern of a semiconductor device in accordance with a fourth embodiment, which is a modification of the third embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명> <Explanation of symbols for the main parts of the drawings>

100: 기판, 112: 제1 식각저지층, 114: 피식각막, 114a: 피식각막 패턴, 114h: 개구, 116: 제2 식각저지층, 122: 하드마스크층, 122a: 하드마스크 패턴, 124: 과도식각 버퍼층, 124a: 과도식각 버퍼층 패턴, 130: 제1 마스크층, 130a: 제1 마스크 패턴, 140: 제1 캡핑층, 140a: 제1 캡핑층 패턴, 142: 제2 캡핑층, 142a: 제2 캡핑층 패턴, 142a-1: 제2 캡핑층 수직 패턴, 142a-2: 제2 캡핑층 저면부, 144: 리세스 영역, 150: 제2 마스크층, 150a: 제2 마스크 패턴, 152: 반사방지막, 154: 포토레지스트 패턴, 210: 배리어막, 212: 금속막, 220: 배선 라인, 342: 제3 캡핑층, 342a: 제3 캡핑층 패턴, 342a-1: 제3 캡핑층 수직 패턴, 342a-2: 제3 캡핑층 저면부, 344: 리세스, 350: 제2 마스크층, 350a: 제2 마스크 패턴, 360: 마스크 패턴, 362: 반사방지막 패턴, 364: 포토레지스트 패턴, 370: 제4 캡핑층, 370a: 제4 캡핑층 패턴. Reference Signs List 100: substrate, 112: first etch stop layer, 114: etched film, 114a: etched pattern, 114h: opening, 116: second etch stop layer, 122: hard mask layer, 122a: hard mask pattern, 124: transient Etch buffer layer, 124a: transient etching buffer layer pattern, 130: first mask layer, 130a: first mask pattern, 140: first capping layer, 140a: first capping layer pattern, 142: second capping layer, 142a: second Capping layer pattern, 142a-1: second capping layer vertical pattern, 142a-2: second capping layer bottom portion, 144: recessed region, 150: second mask layer, 150a: second mask pattern, 152: antireflection film 154: photoresist pattern, 210: barrier film, 212: metal film, 220: wiring line, 342: third capping layer, 342a: third capping layer pattern, 342a-1: third capping layer vertical pattern, 342a- DESCRIPTION OF SYMBOLS 2: 3rd capping layer bottom part, 344: recess, 350: 2nd mask layer, 350a: 2nd mask pattern, 360: mask pattern, 362: anti-reflective film pattern, 364: photoresist pattern, 370: 4th cap Ping layer, 370a: fourth capping layer pattern.

본 발명은 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 특히 더블 패터닝 (double patterning) 공정에 의해 형성되는 미세 피치의 하드마스크 패턴을 이용하는 반도체 소자의 미세 패턴 형성 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a fine pattern of a semiconductor device, and more particularly to a method of forming a fine pattern of a semiconductor device using a fine pitch hard mask pattern formed by a double patterning process.

고집적화된 반도체 소자를 제조하는 데 있어서 패턴 미세화가 필수적이다. 좁은 면적에 많은 소자를 집적시키기 위하여는 개별 소자의 크기를 가능한 한 작게 형성하여야 하며, 이를 위하여는 형성하고자 하는 패턴들 각각의 폭과 상기 패턴들 사이의 간격과의 합인 피치(pitch)를 작게 하여야 한다. 최근, 반도체 소자의 디자인 룰 (design rule)이 급격하게 감소됨에 따라 반도체 소자 구현에 필요한 패턴을 형성하기 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 패턴을 형성하는 데 한계가 있다. 특히, 기판상에 라인 앤드 스페이스 패턴 (line and space pattern, 이하, "L/S 패턴"이라 함) 형성을 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 원하는 패턴을 형성하는 데 한계가 있다. Pattern refinement is essential in manufacturing highly integrated semiconductor devices. In order to integrate a large number of devices in a small area, the size of the individual devices should be made as small as possible. For this purpose, the pitch, which is the sum of the widths of the patterns to be formed and the spacing between the patterns, should be made small. do. Recently, as the design rule of a semiconductor device is drastically reduced, there is a limit in forming a pattern having a fine pitch due to a resolution limitation in a photolithography process for forming a pattern required for semiconductor device implementation. In particular, in the photolithography process for forming a line and space pattern (hereinafter referred to as "L / S pattern") on a substrate, there is a limit in forming a desired pattern having a fine pitch due to the resolution limitation. have.

상기와 같은 포토리소그래피 공정에서의 해상 한계를 극복하기 위하여, 더블 패터닝 공정을 이용하여 미세 피치를 가지는 하드마스크 패턴을 형성하는 방법들이 제안되었다. In order to overcome the resolution limitation in the photolithography process as described above, methods for forming a hard mask pattern having a fine pitch using a double patterning process have been proposed.

그러나, 반도체 기판상의 셀 어레이 영역에서와 같이 패턴 밀도가 비교적 높은 영역과, 주변회로 영역 또는 코어 영역과 같이 패턴 밀도가 비교적 낮은 영역에 동시에 소정의 패턴을 형성하고자 할 때, 패턴 밀도가 높은 영역에서만 선택적으로 더블 패터닝 공정이 적용될 수 있도록 하기 위하여 형성하고자 하는 패턴을 각 영역별로 서로 다른 피치로 형성할 수 있는 더블 패터닝 공정을 개발할 필요가 있다. 더블 패터닝 공정을 적용하는 데 있어서, 형성하고자 하는 패턴 밀도 또는 패턴의 폭(width)이 서로 다른 각 영역에서 서로 다른 피치의 패턴을 동시에 형성하는 경우에도, 각 영역에서의 패턴 밀도 또는 패턴의 폭 차이로 인해 야기될 수 있는 각 영역에서의 식각율 차이 및 식각 깊이 차이에 따른 문제들을 극복할 수 있는 새로운 더블 패터닝 공정 개발이 요구된다. However, when a predetermined pattern is to be simultaneously formed in a region having a relatively high pattern density, such as in a cell array region on a semiconductor substrate, and in a region having a relatively low pattern density, such as a peripheral circuit region or a core region, only in a region having a high pattern density In order to selectively apply the double patterning process, it is necessary to develop a double patterning process that can form a pattern to be formed at a different pitch for each region. In applying the double patterning process, even when the pattern density or pattern width to be formed is simultaneously formed in different areas with different pattern densities, the difference in pattern density or pattern width in each area There is a need to develop a new double patterning process that can overcome the problems caused by the difference in etching rate and the depth of etching in each region.

또한, 최근 요구되는 초고집적 반도체 소자 제조를 위하여 미세 피치로 반복 형성되는 복수의 배선 라인을 형성할 필요가 있으며, 상기 복수의 배선 라인에서 상호 인접한 배선 라인들 사이의 스페이스 폭은 점차 감소되고 있다. 그리고, 상기 배선 라인의 재료로서 비저항이 낮은 Cu를 이용하는 추세이다. 통상적으로, Cu막 패턴을 형성하고자 하는 경우에는 먼저 절연막에 음각의 배선 라인 패턴이 형성된 절연막 패턴을 형성한 후 상기 음각의 패턴 내에 Cu를 채우는 다마신 공정을 이용하게 된다. 그러나, 초고집적 반도체 소자에 필요한 미세 피치로 반복 형성되는 복수의 Cu막 패턴을 형성하고자 하는 경우, 배선 라인간 스페이스의 폭이 수 내지 수 십 nm 정도로 매우 작아서, 더블 패터닝 공정을 이용하는 경우에 상기 배선 라인간 스페이스에 대응하는 폭을 가지는 절연막 패턴을 구현하는 것은 매우 어렵다. 또한, 예를 들면 셀 어레이 영역에서와 같이 미세 피치로 반복 형성되는 매우 작은 치수의 폭을 가지는 배선 패턴들과 주변회로 영역에서와 같이 다양한 크기를 가지는 회로 패턴들을 절연막에 음각 패턴으로 동시에 구현하기는 더욱 어렵다. 따라서, 종래 기술을 이용하여 음각 패턴을 이용한 Cu막 패턴을 형성하고자 할 때 초고집적화된 반도체 소자에서 필요로 하는 복수의 배선 라인을 형성하는 데 한계가 있다. In addition, it is necessary to form a plurality of wiring lines that are repeatedly formed at a fine pitch in order to manufacture ultra-high density semiconductor devices, which are recently required, and the space width between adjacent wiring lines in the plurality of wiring lines is gradually decreasing. In addition, Cu has a low specific resistance as a material of the wiring line. In general, when a Cu film pattern is to be formed, a damascene process of filling Cu in the intaglio pattern is formed by first forming an insulation layer pattern having a negative wiring line pattern formed on the insulator film. However, in the case of forming a plurality of Cu film patterns repeatedly formed at a fine pitch required for an ultra-high density semiconductor device, the width of the space between wiring lines is very small, about several to several tens nm, so that the wiring in the case of using the double patterning process It is very difficult to implement an insulating film pattern having a width corresponding to the space between lines. In addition, for example, wiring patterns having a very small width, which are repeatedly formed at a fine pitch as in the cell array region, and circuit patterns having various sizes as in the peripheral circuit region may be simultaneously implemented as negative patterns on the insulating layer. Even more difficult. Therefore, there is a limit in forming a plurality of wiring lines required for an ultra-highly integrated semiconductor device when forming a Cu film pattern using an intaglio pattern using a conventional technique.

본 발명의 목적은 상기한 종래 기술에서의 문제점을 해결하고자 하는 것으로, 포토리소그래피 공정에서의 해상 한계를 극복할 수 있는 미세 피치의 패턴을 구현하기 위한 더블 패터닝 공정을 이용하여 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 패턴 밀도 또는 패턴 폭이 서로 다른 각 영역에서 패턴 밀도 또는 패턴 폭 차이로 인해 야기될 수 있는 문제들을 방지하면서 원하는 패턴을 형성할 수 있고, 양각 패턴 형성 방법에 의해 패턴 형성이 가능한 막을 패터닝할 때 사용되는 양각의 배선 패턴 형성용 레이아웃을 그대로 이용하여 다마신 공정에 의해 미세 피치로 반복 형성되는 복수의 배선 라인을 형성할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것이다. SUMMARY OF THE INVENTION An object of the present invention is to solve the above-mentioned problems in the prior art, by using a double patterning process for realizing a pattern of fine pitch that can overcome the resolution limitation in the photolithography process. And simultaneously forming patterns of various pitches, to form a desired pattern while preventing problems that may be caused by pattern density or pattern width difference in each region where the pattern density or pattern width is different, and forming an embossed pattern. Fine pattern formation of a semiconductor device capable of forming a plurality of wiring lines repeatedly formed at a fine pitch by a damascene process by using an embossed wiring pattern formation layout used when patterning a patternable film by a method as it is To provide a way.

상기 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 제1 영역 및 제2 영역을 포함하는 기판상에 피식각막을 형성한다. 상기 제1 영역에서는 제1 패턴 밀도를 가지고 상기 제2 영역에서는 제2 패턴 밀도를 가지는 복수의 제1 마스크 패턴을 상기 피식각막 위에 형성한다. 상기 제1 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스를 채우는 제1 캡핑층 패턴을 형성하고, 제2 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에서 소정 폭의 리세스 영역이 남도록 상기 제1 마스크 패턴의 측벽을 덮는 제2 캡핑층 패턴과, 상기 제2 캡핑층 패턴상의 상기 리세스 영역 내에서 상기 제1 마스크 패턴과 동일 레벨상에 위치되는 복수의 제2 마스크 패턴을 형성한다. 상기 제1 캡핑층 패턴 및 제2 캡핑층 패턴으로 이루어지는 제1 패턴과, 상기 제1 마스크 패턴 및 제2 마스크 패턴으로 이루어지는 제2 패턴 중 선택된 하나의 패턴이 남도록 나머지 하나의 패턴을 제거한다. 상기 선택된 하나의 패턴을 식각 마스크로 이용하여 상기 피식각막을 식각한다. In order to achieve the above object, in the method of forming a fine pattern of a semiconductor device according to the present invention, an etching target film is formed on a substrate including a first region and a second region. A plurality of first mask patterns having a first pattern density in the first region and a second pattern density in the second region are formed on the etched film. In the first region, a first capping layer pattern is formed to fill a space between two adjacent first mask patterns among the plurality of first mask patterns. In the second region, two adjacent capping layer patterns among the plurality of first mask patterns are formed. A second capping layer pattern covering sidewalls of the first mask pattern such that a recessed region of a predetermined width remains in a space between the first mask patterns, and the first capping layer pattern in the recess region on the second capping layer pattern A plurality of second mask patterns positioned on the same level as the mask pattern are formed. The other one pattern is removed such that a selected one of the first pattern including the first capping layer pattern and the second capping layer pattern and the second pattern including the first mask pattern and the second mask pattern remain. The etching target layer is etched using the selected one pattern as an etching mask.

본 발명의 일 예에 따른 반도체 소자의 미세 패턴 형성 방법에서, 상기 제1 캡핑층 패턴, 제2 캡핑층 패턴, 및 제2 마스크 패턴을 형성하기 위하여, 먼저 상기 제1 영역에서만 상기 복수의 제1 마스크 패턴 및 이들 사이의 스페이스를 덮는 제1 캡핑층을 형성한다. 상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접 한 2 개의 제1 마스크 패턴 사이의 스페이스 내에 소정 폭의 리세스 영역이 남도록 상기 복수의 제1 마스크 패턴의 상면 및 측벽을 덮는 제2 캡핑층을 형성한다. 상기 리세스 영역이 완전히 채워지도록 상기 제2 영역에서 상기 제2 캡핑층 위에 제2 마스크층을 형성한다. 상기 제1 마스크 패턴이 노출될 때 까지 상기 제2 마스크층, 상기 제2 캡핑층, 및 상기 제1 캡핑층 각각의 일부를 제거하여 상기 제1 캡핑층 패턴과, 상기 제2 마스크 패턴과, 상기 제1 캡핑층 패턴을 형성한다. 여기서, 상기 제2 캡핑층은 상기 제1 영역 및 제2 영역에 각각 형성되고, 상기 제1 영역에서 상기 제2 캡핑층은 상기 제1 캡핑층 위에 형성될 수 있다. In the method of forming a fine pattern of a semiconductor device according to an embodiment of the present invention, to form the first capping layer pattern, the second capping layer pattern, and the second mask pattern, first, the plurality of first only in the first region A first capping layer covering a mask pattern and a space therebetween is formed. A second cap covering upper surfaces and sidewalls of the plurality of first mask patterns such that recesses of a predetermined width remain in a space between two adjacent first mask patterns among the plurality of first mask patterns in the second region; A ping layer is formed. A second mask layer is formed on the second capping layer in the second region so that the recess region is completely filled. A portion of each of the second mask layer, the second capping layer, and the first capping layer is removed until the first mask pattern is exposed, so that the first capping layer pattern, the second mask pattern, and the A first capping layer pattern is formed. The second capping layer may be formed in the first region and the second region, respectively, and the second capping layer may be formed on the first capping layer in the first region.

본 발명의 다른 예에 따른 반도체 소자의 미세 패턴 형성 방법에서, 상기 제1 캡핑층 패턴, 제2 캡핑층 패턴, 및 제2 마스크 패턴을 형성하기 위하여, 먼저 상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에 소정 폭의 리세스 영역이 남도록 상기 복수의 제1 마스크 패턴의 상면 및 측벽을 덮는 제3 캡핑층을 형성한다. 상기 제1 영역 및 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스를 채우도록 제3 캡핑층 위에 제2 마스크층을 형성한다. 상기 제2 영역에만 상기 제2 마스크층이 남도록 상기 제1 영역에서 상기 제2 마스크층을 제거한다. 상기 제1 영역에서 상기 리세스 영역을 완전히 채우도록 상기 제3 캡핑층 위에 제4 캡핑층을 형성한다. 상기 제1 마스크 패턴이 노출될 때 까지 상기 제4 캡핑층, 상기 제2 마스크층, 및 상기 제3 캡핑층 각각의 일부를 제거하여 상기 제4 캡핑층의 나머지 부분을 포함하는 상기 제1 캡핑층 패턴과, 상기 제2 마스크 패턴과, 상 기 제3 캡핑층의 나머지 부분으로 이루어지는 상기 제2 캡핑층 패턴을 형성한다. In the method of forming a fine pattern of a semiconductor device according to another embodiment of the present invention, in order to form the first capping layer pattern, the second capping layer pattern, and the second mask pattern, first of the plurality of first in the second region A third capping layer covering upper and sidewalls of the plurality of first mask patterns is formed in a space between two adjacent first mask patterns among the mask patterns so as to leave a recessed region having a predetermined width. A second mask layer is formed on the third capping layer so as to fill a space between two adjacent first mask patterns among the plurality of first mask patterns in the first region and the second region. The second mask layer is removed from the first region so that the second mask layer remains only in the second region. A fourth capping layer is formed on the third capping layer to completely fill the recess region in the first region. The first capping layer including the remaining portion of the fourth capping layer by removing portions of each of the fourth capping layer, the second mask layer, and the third capping layer until the first mask pattern is exposed. And a second capping layer pattern including a pattern, the second mask pattern, and the remaining portion of the third capping layer.

상기 본 발명의 다른 예에 따른 반도체 소자의 미세 패턴 형성 방법에서, 상기 제3 캡핑층은 상기 제2 영역에만 형성될 수 있다. 또는, 상기 제3 캡핑층은 상기 제1 영역 및 제2 영역에 각각 형성될 수도 있다. 이 때, 상기 제1 영역에서 상기 제4 캡핑층은 상기 제3 캡핑층 위에 형성되고, 상기 제1 캡핑층 패턴은 상기 제4 캡핑층의 나머지 부분과 상기 제3 캡핑층의 나머지 부분을 포함한다. In the method of forming a fine pattern of a semiconductor device according to another embodiment of the present invention, the third capping layer may be formed only in the second region. Alternatively, the third capping layer may be formed in the first region and the second region, respectively. In this case, the fourth capping layer is formed on the third capping layer in the first region, and the first capping layer pattern includes a remaining portion of the fourth capping layer and a remaining portion of the third capping layer. .

본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서, 상기 제1 마스크 패턴을 형성하기 전에 상기 제1 영역 및 제2 영역에서 상기 피식각막 위에 과도식각 버퍼층을 형성하는 단계를 더 포함할 수 있다. 이 경우, 상기 제1 마스크 패턴은 상기 과도식각 버퍼층 위에 헝성된다. 또한, 상기 제1 마스크 패턴을 형성하는 단계는 상기 제1 영역 및 제2 영역에서 상기 과도식각 버퍼층 위에 제1 마스크층을 형성하는 단계와, 상기 제1 마스크층 및 상기 과도식각 버퍼층을 패터닝하여 상기 제1 영역 및 제2 영역에서 각각 제1 패턴 밀도 및 제2 패턴 밀도를 가지는 복수의 제1 마스크 패턴 및 복수의 과도식각 버퍼층 패턴을 형성하는 단계를 포함한다. 상기 과도식각 버퍼층은 상기 제2 캡핑층 패턴과 동일한 식각 특성을 가지는 물질로 이루어질 수 있다. In the method of forming a fine pattern of a semiconductor device according to the present invention, the method may further include forming a transient etching buffer layer on the etched film in the first region and the second region before forming the first mask pattern. In this case, the first mask pattern is formed on the transient etching buffer layer. The forming of the first mask pattern may include forming a first mask layer on the transient etching buffer layer in the first region and the second region, and patterning the first mask layer and the transient etching buffer layer to form the first mask pattern. Forming a plurality of first mask patterns and a plurality of transient etching buffer layer patterns each having a first pattern density and a second pattern density in the first region and the second region. The transient etching buffer layer may be formed of a material having the same etching characteristics as the second capping layer pattern.

본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서, 상기 피식각막을 형성한 후 상기 제1 마스크 패턴을 형성하기 전에 상기 피식각막 위에 하드마스크층을 형성하는 단계와, 상기 피식각막을 식각하기 전에 상기 선택된 하나의 패턴을 식각 마스크로 이용하여 상기 하드마스크층을 식각하여 하드마스크 패턴을 형성하 는 단계를 포함할 수 있다. 이 때, 상기 피식각막을 식각하기 위하여 상기 선택된 하나의 패턴 및 상기 하드마스크 패턴을 식각 마스크로 이용한다. In the method of forming a fine pattern of a semiconductor device according to an embodiment of the present invention, after forming the etched film and before forming the first mask pattern, forming a hard mask layer on the etched film and before etching the etched film. The method may include forming a hard mask pattern by etching the hard mask layer using the selected one pattern as an etching mask. In this case, the selected one pattern and the hard mask pattern are used as an etching mask to etch the etched film.

상기 피식각막이 절연막인 경우, 상기 피식각막을 식각하기 위하여 상기 제1 패턴을 식각 마스크로 이용하여 상기 피식각막을 식각하여 복수의 개구가 형성된 피식각막 패턴을 형성하는 단계와, 상기 개구 내에 금속막을 형성하는 단계를 포함할 수 있다. 상기 피식각막이 도전막인 경우, 상기 피식각막을 식각하기 위하여 상기 제2 패턴을 식각 마스크로 이용할 수 있다. When the etched film is an insulating film, etching the etched film using the first pattern as an etching mask to etch the etched film to form an etched pattern having a plurality of openings, and forming a metal film in the opening. It may comprise the step of forming. When the etching target layer is a conductive layer, the second pattern may be used as an etching mask to etch the etching target layer.

본 발명에 의하면, 더블 패터닝 공정을 이용하여 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 패턴 밀도 또는 패턴 폭이 서로 다른 각 영역에서 패턴 밀도 또는 패턴 폭 차이로 인해 야기될 수 있는 문제들을 방지하면서 원하는 패턴을 용이하게 형성할 수 있다. 특히 다마신 공정으로 미세 피치로 반복 형성되는 복수의 배선 라인을 형성하는 경우에도 다마신 공정에서 요구되는 음각 패턴 형성을 위한 별도의 레이아웃을 새로 설계할 필요 없이, 양각 패턴 형성 방법에 의해 패턴 형성이 가능한 막을 패터닝할 때 사용되는 양각의 배선 패턴 형성용 레이아웃을 그대로 이용할 수 있다. According to the present invention, in simultaneously forming patterns of various sizes and various pitches on the same substrate using a double patterning process, the pattern density or pattern width may be caused by the difference in the pattern density or pattern width in each different region. It is possible to easily form the desired pattern while preventing possible problems. In particular, even in the case of forming a plurality of wiring lines repeatedly formed at a fine pitch in the damascene process, the pattern formation is performed by the embossed pattern formation method without having to design a separate layout for the intaglio pattern formation required in the damascene process. An embossed wiring pattern forming layout used when patterning the film as possible can be used as it is.

다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다. Next, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1a 내지 도 1k는 본 발명의 제1 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 1A to 1K are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a first embodiment of the present invention.

도 1a를 참조하면, 기판(100)상에 제1 식각저지층(112), 피식각막(114) 및 제2 식각저지층(116)을 차례로 형성한다. Referring to FIG. 1A, a first etch stop layer 112, an etched film 114, and a second etch stop layer 116 are sequentially formed on the substrate 100.

상기 기판(100)은 예를 들면 실리콘 기판과 같은 통상의 반도체 기판으로 이루어질 수 있다. 상기 기판(100)에는 예를 들면 트랜지스터와 같은 반도체 소자 형성에 필요한 단위 소자들(도시 생략)이 형성되어 있을 수 있으며, 상기 단위 소자들을 덮고 있는 층간절연막(도시 생략)이 상기 기판(100)의 상면에 형성되어 있을 수 있다. 또한, 상기 기판(100)의 상면에는 상기 층간절연막을 통해 상기 단위 소자들에 전기적으로 연결 가능한 도전 영역들(도시 생략)이 노출되어 있을 수 있다. The substrate 100 may be made of a conventional semiconductor substrate such as, for example, a silicon substrate. Unit substrates (not shown) necessary for forming a semiconductor device such as a transistor may be formed on the substrate 100, and an interlayer insulating film (not shown) covering the unit elements may be formed on the substrate 100. It may be formed on the upper surface. In addition, conductive regions (not shown) that may be electrically connected to the unit devices through the interlayer insulating layer may be exposed on the upper surface of the substrate 100.

상기 기판(100)은 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)을 포함한다. 상기 저밀도 패턴 영역(A)은 단위 면적당 패턴 밀도가 비교적 낮은 영역으로서, 예를 들면 주변회로 영역 또는 코어 영역일 수 있다. 또는, 상기 저밀도 패턴 영역(A)은 셀 어레이 영역중 형성하고자 하는 패턴의 밀도가 비교적 낮은 영역일 수 있다. 상기 고밀도 패턴 영역(B)은 상기 저밀도 패턴 영역(A)에 비해 단위 면적당 패턴 밀도가 높은 영역으로서, 예를 들면 셀 어레이 영역의 일부일 수 있다. The substrate 100 includes a low density pattern region A and a high density pattern region B. FIG. The low density pattern region A is a region having a relatively low pattern density per unit area, and may be, for example, a peripheral circuit region or a core region. Alternatively, the low density pattern region A may be a region having a relatively low density of a pattern to be formed in the cell array region. The high density pattern region B is a region having a higher pattern density per unit area than the low density pattern region A, and may be part of a cell array region, for example.

상기 제1 식각 저지층(112) 및 제2 식각 저지층(116)은 상호 동일한 물질로 이루어질 수도 있고, 서로 다른 물질로 이루어질 수 있다. 상기 제1 식각 저지층(112) 및 제2 식각 저지층(116)은 상기 피식각막(114)과는 다른 식각 선택비를 가지는 물질로 이루어진다. The first etch stop layer 112 and the second etch stop layer 116 may be made of the same material or may be made of different materials. The first etch stop layer 112 and the second etch stop layer 116 are made of a material having an etching selectivity different from that of the etch layer 114.

상기 제1 식각저지층(112)은 상기 피식각막(114)이 식각될 때 식각 스토퍼 (etch stopper) 역할을 하도록 형성하는 것이다. 상기 제1 식각저지층(112)은 예를 들면 실리콘 질화막, 실리콘 산화질화막, 또는 실리콘 카바이드막으로 이루어질 수 있으며 약 400 ∼ 500 Å의 두께로 형성될 수 있다. The first etch stop layer 112 is formed to serve as an etch stopper when the etched film 114 is etched. The first etch stop layer 112 may be formed of, for example, a silicon nitride film, a silicon oxynitride film, or a silicon carbide film, and may be formed to a thickness of about 400 to about 500 μm.

상기 피식각막(114)은 반도체 소자를 구성하기 위하여 미세 피치로 반복 배치되는 복수의 도전 패턴 또는 도전성 패드(pad)를 형성하기 위한 도전막, 또는 절연막일 수 있다. 상기 피식각막(114)이 도전막인 경우, 상기 피식각막(114)은 금속, 금속 질화물, 또는 반도체로 이루어질 수 있다. 그러나, 이들에 제한되는 것은 아니다. 상기 피식각막(114)이 절연막인 경우, 예를 들면 TEOS (tetraethyl orthosilicate), FSG (fluorine silicate glass), SiOC, SiLK 등과 같이 비교적 낮은 유전상수를 가지는 절연 물질로 이루어질 수 있다. The etched film 114 may be a conductive film or an insulating film for forming a plurality of conductive patterns or conductive pads repeatedly arranged at a fine pitch to form a semiconductor device. When the etched film 114 is a conductive film, the etched film 114 may be formed of a metal, a metal nitride, or a semiconductor. However, it is not limited to these. When the etched film 114 is an insulating film, it may be formed of an insulating material having a relatively low dielectric constant such as, for example, tetraethyl orthosilicate (TEOS), fluorine silicate glass (FSG), SiOC, SiLK, and the like.

상기 제2 식각저지층(116)은 후속의 하드마스크 패턴 형성을 위한 식각 공정시 식각 스토퍼 역할을 하도록 형성하는 것이다. 상기 제2 식각저지층(116)은 예를 들면 실리콘 질화막, 실리콘 산화질화막, 실리콘 카바이드막, 또는 폴리실리콘막으로 이루어질 수 있으며 약 400 ∼ 500 Å의 두께로 형성될 수 있다. The second etch stop layer 116 is formed to serve as an etch stopper during an etching process for forming a subsequent hard mask pattern. The second etch stop layer 116 may be formed of, for example, a silicon nitride film, a silicon oxynitride film, a silicon carbide film, or a polysilicon film, and may be formed to a thickness of about 400 to about 500 μm.

경우에 따라, 상기 제1 식각 저지층(112) 및 제2 식각저지층(116)은 생략될 수도 있다. In some cases, the first etch stop layer 112 and the second etch stop layer 116 may be omitted.

상기 제2 식각저지층(116) 위에 하드마스크층(122) 및 과도식각 버퍼층(124)을 차례로 형성한다. The hard mask layer 122 and the transient etching buffer layer 124 are sequentially formed on the second etch stop layer 116.

상기 하드마스크층(122)은 상기 피식각막(114)의 재료 및 형성하고자 하는 패턴의 용도에 따라 다양한 물질로 이루어질 수 있다. 상기 하드마스크층(122)은 산화물, 질화물, SiON, ACL (amorphous carbon layer) 또는 이들의 조합으로 이루어질 수 있다. 상기 하드마스크층(122)은 상기 피식각막(114) 재료에 따라 식각 선 택비를 제공할 수 있는 물질로 이루어진다. 예를 들면, 상기 하드마스크층(122)은 열산화막, CVD (chemical vapor deposition) 산화막, USG막 (undoped silicate glass film) 및 HDP 산화막 (high density plasma oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 산화막으로 이루어질 수 있다. 또는, 상기 하드마스크층(122)은 SiON, SiN, SiBN 및 BN으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어질 수 있다. 또는, 상기 하드마스크층(122)은 위에서 예시된 산화막들 중에서 선택되는 적어도 하나의 산화막과 위에서 예시된 질화막들중에서 선택되는 적어도 하나의 질화막으로 구성되는 다중층으로 이루어질 수도 있다. The hard mask layer 122 may be formed of various materials according to the material of the etched film 114 and the purpose of the pattern to be formed. The hard mask layer 122 may be formed of an oxide, a nitride, a SiON, an amorphous carbon layer (ACL), or a combination thereof. The hard mask layer 122 is made of a material capable of providing an etching selectivity according to the material of the etched film 114. For example, the hard mask layer 122 may include at least one selected from the group consisting of a thermal oxide film, a chemical vapor deposition (CVD) film, an undoped silicate glass film, and a high density plasma oxide film. It may be made of an oxide film. Alternatively, the hard mask layer 122 may be formed of at least one film selected from the group consisting of SiON, SiN, SiBN, and BN. Alternatively, the hard mask layer 122 may be formed of a multilayer consisting of at least one oxide film selected from the above-described oxide films and at least one nitride film selected from the above-described nitride films.

상기 과도식각 버퍼층(124)은 후속 공정에서 잔류물 제거를 위한 과도식각시 주변 막질들이 손상받는 것을 방지하기 위하여 형성하는 것이다. 상기 과도식각 버퍼층(124)은 후속 공정에서 형성되는 제1 캡핑층(140) (도 1c) 및 제2 캡핑층(142) (도 1e)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어지는 것이 바람직하다. 그 이유는 후술한다 (도 1i 참조). 상기 과도식각 버퍼층(124)은 예를 들면 실리콘 질화막 또는 실리콘 산화막으로 이루어질 수 있다. The transient etching buffer layer 124 is formed to prevent damage to the surrounding films during the transient etching for removing residues in a subsequent process. The transient etching buffer layer 124 is preferably made of a material having the same or similar etching characteristics as the first capping layer 140 (FIG. 1C) and the second capping layer 142 (FIG. 1E) formed in a subsequent process. . The reason is described later (see FIG. 1I). The transient etching buffer layer 124 may be formed of, for example, a silicon nitride film or a silicon oxide film.

상기 과도식각 버퍼층(124) 위에 제1 마스크층(130)을 형성한다. 상기 제1 마스크층(130)은 폴리실리콘막, 또는 SiON, SiN, SiBN, BN 등과 같은 질화막으로 이루어질 수 있다. 또는, 상기 제1 마스크층(130)은 산화막으로 이루어질 수 있다. 상기 하드마스크층(124)이 질화막 또는 ACL로 이루어진 경우, 상기 제1 마스크층(130)은 산화막 또는 폴리실리콘막으로 이루어질 수 있다. 또는, 상기 하드마스크층(124)이 산화막으로 이루어진 경우, 상기 제1 마스크층(130)은 폴리실리콘막으 로 이루어질 수 있다. The first mask layer 130 is formed on the transient etching buffer layer 124. The first mask layer 130 may be formed of a polysilicon film or a nitride film such as SiON, SiN, SiBN, BN, or the like. Alternatively, the first mask layer 130 may be formed of an oxide film. When the hard mask layer 124 is formed of a nitride film or an ACL, the first mask layer 130 may be formed of an oxide film or a polysilicon film. Alternatively, when the hard mask layer 124 is formed of an oxide film, the first mask layer 130 may be formed of a polysilicon film.

도 1b를 참조하면, 통상의 포토리소그래피 공정에 의해 상기 마스크층(130) 및 과도식각 버퍼층(124)을 패터닝하여 복수의 제1 마스크 패턴(130a) 및 과도식각 버퍼층 패턴(124a)을 형성한다. Referring to FIG. 1B, the mask layer 130 and the transient etching buffer layer 124 are patterned by a conventional photolithography process to form a plurality of first mask patterns 130a and the transient etching buffer layer pattern 124a.

상기 기판(100)상의 저밀도 패턴 영역(A)에서는 상기 복수의 제1 마스크 패턴(130a)이 상기 피식각막(114)으로부터 최종적으로 형성하고자 하는 패턴의 피치와 동일한 피치(PA)로 반복 형성되는 패턴으로 이루어진다. 그리고, 상기 기판(100)상의 고밀도 패턴 영역(B)에서는 상기 마스크 패턴(130a)이 상기 피식각막(114)에 최종적으로 형성하고자 하는 패턴의 피치(PB) 보다 2배 큰 제1 피치(2PB)를 가지는 패턴으로 이루어진다. In the low density pattern region A on the substrate 100, the plurality of first mask patterns 130a are repeatedly formed at the same pitch P A as the pitch of the pattern to be finally formed from the etched film 114. It consists of a pattern. In the high-density pattern region B on the substrate 100, the first pitch 2P is twice as large as the pitch P B of the pattern to be finally formed in the etching target film 114. B ).

예를 들면, 상기 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130a)의 제1 폭(W1)은 상기 제1 피치(2PB)의 1/4인 값을 가지도록 설계될 수 있다. 상기 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서, 상기 제1 마스크 패턴(130a)은 예를 들면 소정의 방향으로 반복 형성되는 복수의 라인 패턴 또는 평면에서 볼 때 장방형인 패턴으로 이루어질 수 있다. 그러나, 이에 한정되는 것은 아니며, 본 발명의 사상의 범위 내에서 다양한 형상을 가질 수 있다. For example, in the high-density pattern region B, the first width W 1 of the first mask pattern 130a may be designed to have a value equal to 1/4 of the first pitch 2P B. . In the low density pattern region A and the high density pattern region B, the first mask pattern 130a may be formed of, for example, a plurality of line patterns repeatedly formed in a predetermined direction or a rectangular pattern in plan view. have. However, the present invention is not limited thereto and may have various shapes within the scope of the spirit of the present invention.

도 1c를 참조하면, 상기 제1 마스크 패턴(130a) 및 과도식각 버퍼층 패턴(124a)이 형성된 결과물상에 제1 캡핑층(140)을 형성한다. 상기 제1 캡핑층(140)은 상기 복수의 제1 마스크 패턴(130a) 사이의 스페이스가 완전히 채워지기에 충분 한 두께로 형성된다. Referring to FIG. 1C, a first capping layer 140 is formed on a resultant product on which the first mask pattern 130a and the transient etching buffer layer pattern 124a are formed. The first capping layer 140 is formed to a thickness sufficient to completely fill the space between the plurality of first mask patterns 130a.

상기 제1 캡핑층(140)은 예를 들면 산화막, 질화막, ACL 또는 실리콘으로 이루어질 수 있다. 바람직하게는, 상기 제1 캡핑층(140)을 식각 마스크로 이용하여 상기 하드마스크층(122)을 식각하게 되는 경우, 상기 제1 캡핑층(140)은 상기 하드마스크층(122)과는 다른 식각 특성을 가지는 물질로 이루어질 수 있다. 예를 들면, 상기 하드마스크층(122)이 질화막으로 이루어진 경우, 상기 제1 캡핑층(140)은 산화막으로 이루어질 수 있다. 또는, 상기 하드마스크층(122)이 산화막으로 이루어진 경우, 상기 제1 캡핑층(140)은 질화막으로 이루어질 수 있다. The first capping layer 140 may be formed of, for example, an oxide film, a nitride film, an ACL, or silicon. Preferably, when the hard mask layer 122 is etched using the first capping layer 140 as an etching mask, the first capping layer 140 is different from the hard mask layer 122. It may be made of a material having an etching characteristic. For example, when the hard mask layer 122 is formed of a nitride film, the first capping layer 140 may be formed of an oxide film. Alternatively, when the hard mask layer 122 is formed of an oxide film, the first capping layer 140 may be formed of a nitride film.

그러나, 상기 제1 마스크 패턴(130a)을 식각 마스크로 이용하여 상기 하드마스크층(122)을 식각하게 되는 경우, 상기 제1 캡핑층(140)은 상기 하드마스크층(122)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. However, when the hard mask layer 122 is etched using the first mask pattern 130a as an etch mask, the first capping layer 140 is etched the same as or similar to that of the hard mask layer 122. It may be made of a material having properties.

도 1d를 참조하면, 상기 기판(100)상의 저밀도 패턴 영역(A)에서 상기 제1 캡핑층(140) 위에 반사방지막(152) 및 포토레지스트 패턴(154)이 차례로 적층된 마스크 패턴을 형성한다. 상기 반사방지막(152) 및 포토레지스트 패턴(154)을 통해 상기 고밀도 패턴 영역(B)에 제1 캡핑층(140)이 노출된다. Referring to FIG. 1D, a mask pattern in which an antireflection film 152 and a photoresist pattern 154 are sequentially stacked is formed on the first capping layer 140 in the low density pattern region A on the substrate 100. The first capping layer 140 is exposed to the high-density pattern region B through the anti-reflection film 152 and the photoresist pattern 154.

상기 포토레지스트 패턴(154)을 식각 마스크로 이용하여 상기 제1 캡핑층(140)을 식각하여, 고밀도 패턴 영역(B)에서 상기 제1 캡핑층(140)을 완전히 제거한다. The first capping layer 140 is etched using the photoresist pattern 154 as an etching mask to completely remove the first capping layer 140 in the high density pattern region B. Referring to FIG.

고밀도 패턴 영역(B)에서 상기 제1 캡핑층(140)을 제거하는 데 있어서 고밀도 패턴 영역(B)에서 상기 제1 마스크 패턴(130a)의 측벽 또는 기판(100) 상면의 코너 부분에 상기 제1 캡핑층(140)의 잔류물이 남아 있지 않도록 하기 위하여 과도식각을 행한다. 예를 들면, 고밀도 패턴 영역(B)에서 상기 제1 캡핑층(140)을 제거하기 위하여 RIE (reactive ion etching) 공정을 이용할 수 있다. 이 때, 상기 제1 캡핑층(140)의 저면에는 상기 과도식각 버퍼층(124)이 형성되어 있으므로, 충분한 공정 마진을 확보한 상태에서 상기 제1 캡핑층(140)의 완전한 제거를 위한 과도 식각을 행할 수 있다. 그 결과, 제1 캡핑층(140)의 잔류물이 상기 제1 마스크 패턴(130a)의 측벽 또는 기판(100) 상면의 코너 부분에 남게 될 염려가 없다. 따라서, 잔류물 제거를 위하여 별도의 습식 식각 공정을 추가할 필요가 없고, 그에 따라 습식 식각으로 인한 하부 구조물 또는 주변 막질들의 손상을 방지할 수 있다. In removing the first capping layer 140 from the high density pattern region B, the first capping layer may be formed on the sidewall of the first mask pattern 130a or the corner portion of the upper surface of the substrate 100 in the high density pattern region B. In order to prevent the residue of the capping layer 140 is left over-etched. For example, in order to remove the first capping layer 140 in the high density pattern region B, a reactive ion etching (RIE) process may be used. In this case, since the transient etching buffer layer 124 is formed on the bottom surface of the first capping layer 140, the transient etching for the complete removal of the first capping layer 140 is performed while a sufficient process margin is secured. I can do it. As a result, there is no fear that the residue of the first capping layer 140 may remain on the sidewall of the first mask pattern 130a or the corner portion of the upper surface of the substrate 100. Therefore, it is not necessary to add a separate wet etching process to remove the residue, thereby preventing damage to the underlying structure or surrounding films due to the wet etching.

도시하지는 않았으나, 상기 제1 캡핑층(140)은 저밀도 패턴 영역(A)뿐 만 아니라 고밀도 패턴 영역(B) 내에서도 필요에 따라 선택되는 일부 영역에서 상기 복수의 제1 마스크 패턴(130a) 사이의 스페이스를 완전히 채우도록 형성될 수도 있다. 이와 같이 상기 복수의 제1 마스크 패턴(130a) 사이의 스페이스에 상기 제1 캡핑층(140)이 채워지는 부분에서는 상기 제1 캡핑층(140)으로 인해 다른 패턴이 형성될 수 없게 되어 더블 패터닝이 이루어지지 않게 된다. Although not illustrated, the first capping layer 140 may have a space between the plurality of first mask patterns 130a in a partial region selected as necessary not only in the low density pattern region A but also in the high density pattern region B. It may be formed to completely fill the. As described above, in the portion where the first capping layer 140 is filled in the space between the plurality of first mask patterns 130a, another pattern cannot be formed due to the first capping layer 140 so that double patterning is performed. It won't work.

도 1e를 참조하면, 상기 반사방지막(152) 및 포토레지스트 패턴(154)을 제거한 후, 고밀도 패턴 영역(B)에서 상기 복수의 제1 마스크 패턴(130a) 각각의 양 측벽을 균일한 두께로 덮는 제2 캡핑층(142)을 형성한다. Referring to FIG. 1E, after removing the anti-reflection film 152 and the photoresist pattern 154, the both sidewalls of each of the plurality of first mask patterns 130a are covered with a uniform thickness in the high-density pattern region B. Referring to FIG. The second capping layer 142 is formed.

이를 위하여, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B) 전면에 상기 제2 캡핑층(142)을 균일한 두께로 형성할 수 있다. 그 결과, 저밀도 패턴 영역(A)에서 는 상기 제2 캡핑층(142)이 상기 제1 캡핑층(140) 위에 형성되고, 고밀도 패턴 영역(B)에서는 상기 복수의 제1 마스크 패턴(130a)중 상호 인접한 2 개의 제1 마스크 패턴(130a) 사이에서 상기 제1 마스크 패턴(130a)의 측벽이 균일한 두께를 가지는 제2 캡핑층(142)으로 덮이게 된다. 상기 상호 인접한 2 개의 제1 마스크 패턴(130a) 사이에는 상기 제2 캡핑층(142) 위에 소정 폭의 리세스 영역(144)이 형성된다. To this end, the second capping layer 142 may be formed on the entire surface of the low density pattern region A and the high density pattern region B with a uniform thickness. As a result, the second capping layer 142 is formed on the first capping layer 140 in the low density pattern region A, and among the plurality of first mask patterns 130a in the high density pattern region B. Sidewalls of the first mask pattern 130a are covered by a second capping layer 142 having a uniform thickness between two adjacent first mask patterns 130a. A recess region 144 having a predetermined width is formed on the second capping layer 142 between two adjacent first mask patterns 130a.

상기 제2 캡핑층(142)의 상면에 형성되는 상기 리세스 영역(144)의 폭(W2)이 고밀도 패턴 영역(B)에 형성된 상기 제1 마스크 패턴(130a)의 제1 폭(W1)과 동일하게 되도록 상기 제2 캡핑층(142)의 두께를 결정할 수 있다. The width W 2 of the recess region 144 formed on the upper surface of the second capping layer 142 is the first width W 1 of the first mask pattern 130a formed in the high density pattern region B. FIG. The thickness of the second capping layer 142 may be determined to be equal to).

상기 제2 캡핑층(142)은 상기 제1 캡핑층(140)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 예를 들면, 상기 제2 캡핑층(142)은 상기 제1 캡핑층(140) 구성 물질과 동일한 물질로 이루어질 수 있다. 또는, 상기 제2 캡핑층(142)은 상기 제1 캡핑층(140)과 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 또한, 상기 제2 캡핑층(142)은 상기 과도식각 버퍼층 패턴(124a)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어지는 것이 바람직하다. 이에 대한 보다 상세한 내용은 도 1i를 참조하여 보다 상세히 설명한다. The second capping layer 142 may be formed of a material having an etching characteristic that is the same as or similar to that of the first capping layer 140. For example, the second capping layer 142 may be made of the same material as the material of the first capping layer 140. Alternatively, the second capping layer 142 may be formed of a similar material to the first capping layer 140 but having different etching characteristics. In addition, the second capping layer 142 may be formed of a material having an etching characteristic that is the same as or similar to that of the transient etching buffer layer pattern 124a. More details on this will be described in more detail with reference to FIG. 1I.

예를 들면, 상기 제2 캡핑층(142)은 ALD (atomic layer deposition) 방법에 의하여 형성된 산화막 또는 질화막으로 이루어질 수 있다. For example, the second capping layer 142 may be formed of an oxide film or a nitride film formed by an atomic layer deposition (ALD) method.

도 1f를 참조하면, 상기 제2 캡핑층(142) 위에 제2 마스크층(150)을 형성한 다. 상기 제2 마스크층(150)은 상기 리세스(144) 내부를 완전히 채우기에 충분한 두께로 형성된다. Referring to FIG. 1F, a second mask layer 150 is formed on the second capping layer 142. The second mask layer 150 is formed to a thickness sufficient to completely fill the recess 144.

상기 제2 마스크층(150)을 형성함으로써 상기 리세스 영역(144)은 상기 제2 마스크층(150)으로 채워지게 된다. 상기 제2 캡핑층(142) 두께가 상기 제1 피치(2PB)의 1/4인 값을 가지는 경우, 상기 제2 마스크층(150)중 상기 리세스 영역(144) 내에 채워진 부분의 폭은 상기 리세스 영역(144)의 폭과 마찬가지로 상기 제1 피치(2PB)의 1/4인 값, 즉 상기 제1 마스크 패턴(130a)의 폭(W1)과 동일한 값이 될 수 있다. By forming the second mask layer 150, the recess region 144 may be filled with the second mask layer 150. When the thickness of the second capping layer 142 has a value equal to 1/4 of the first pitch 2P B , the width of the portion of the second mask layer 150 filled in the recess region 144 is Similar to the width of the recess region 144, a value equal to 1/4 of the first pitch 2P B , that is, equal to a width W 1 of the first mask pattern 130a.

상기 제2 마스크층(150)은 상기 제1 마스크층(130)과 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 상기 제2 마스크층(150)은 상기 제1 마스크층(130)과 동일한 물질로 이루어질 수도 있고, 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 예를 들면, 상기 제1 마스크층(130) 및 제2 마스크층(150)은 각각 폴리실리콘막으로 이루어질 수 있다. 또는, 상기 제1 마스크층(130)은 질화막으로 이루어지고 상기 제2 마스크층(150)은 폴리실리콘막으로 이루어질 수 있다. 물론, 그 반대의 경우도 가능하다. The second mask layer 150 may be formed of a material having an etching characteristic similar to that of the first mask layer 130. The second mask layer 150 may be made of the same material as the first mask layer 130, and may have similar etching characteristics but different materials. For example, the first mask layer 130 and the second mask layer 150 may be formed of polysilicon films, respectively. Alternatively, the first mask layer 130 may be formed of a nitride film, and the second mask layer 150 may be formed of a polysilicon film. Of course, the reverse is also possible.

도 1g를 참조하면, 상기 제1 마스크 패턴(130a)이 노출될 때까지 CMP (chemical mechanical polishing) 공정에 의해 상기 제2 마스크층(150)이 형성된 결과물을 평탄화시켜 고밀도 패턴 영역(B)에서 복수의 제1 마스크 패턴(130a) 사이에 복수의 제2 마스크 패턴(150a)을 형성한다. 상기 복수의 제2 마스크 패턴(150a) 은 상기 제1 피치(2PB)와 동일한 피치로 반복 형성된다. Referring to FIG. 1G, the resultant formed with the second mask layer 150 is planarized by a chemical mechanical polishing (CMP) process until the first mask pattern 130a is exposed, thereby flattening the resultant material in the high density pattern region B. A plurality of second mask patterns 150a are formed between the first mask patterns 130a of the second mask patterns 130a. The plurality of second mask patterns 150a are repeatedly formed at the same pitch as the first pitch 2P B.

상기 복수의 제2 마스크 패턴(150a)이 형성된 후, 저밀도 패턴 영역(A)에서는 복수의 제1 마스크 패턴(130a) 사이의 스페이스를 채우는 제1 캡핑층 패턴(140a)이 남게 된다. 고밀도 패턴 영역(B)에서는 상기 제2 캡핑층(142)중 상기 제1 마스크 패턴(130a) 위에 있던 부분은 제거되고 상기 제1 마스크 패턴(130a) 사이의 스페이스 내에 있던 부분만 남게 되어 상호 분리된 복수의 제2 캡핑층 패턴(142a)이 형성된다. 상기 복수의 제2 마스크 패턴(150a)은 각각 상기 제2 캡핑층 패턴(142a)상의 리세스 영역(144) 내에 위치된다. After the plurality of second mask patterns 150a are formed, the first capping layer pattern 140a that fills the space between the plurality of first mask patterns 130a remains in the low density pattern region A. FIG. In the high-density pattern region B, portions of the second capping layer 142 that are on the first mask pattern 130a are removed and only portions that existed in the space between the first mask patterns 130a remain to be separated from each other. A plurality of second capping layer patterns 142a are formed. The plurality of second mask patterns 150a are respectively disposed in the recess regions 144 on the second capping layer pattern 142a.

고밀도 패턴 영역(B)에서, 상기 제2 캡핑층 패턴(142a)은 상기 제1 마스크 패턴(130a)과 상기 제2 마스크 패턴(150a)과의 사이에서 이들의 측벽에 각각 접해 있는 2 개의 제2 캡핑층 수직 패턴(142a-1)과 이들 사이에서 상기 하드마스크층(122)을 덮고 있는 제2 캡핑층 저면부(142a-2)를 포함한다. In the high-density pattern region B, the second capping layer pattern 142a is formed by contacting two sidewalls between the first mask pattern 130a and the second mask pattern 150a, respectively, at two sidewalls. A capping layer vertical pattern 142a-1 and a second capping layer bottom portion 142a-2 covering the hard mask layer 122 therebetween.

상기 제2 캡핑층(142) 두께가 상기 제1 피치(2PB)의 1/4인 값을 가지는 경우, 상기 제2 캡핑층 수직 패턴(142a-1)의 폭(W3)은 상기 제1 마스크 패턴(130a)의 폭(W1)과 동일하게 될 수 있다. When the thickness of the second capping layer 142 has a value of 1/4 of the first pitch 2P B , the width W 3 of the second capping layer vertical pattern 142a-1 is equal to the first width. The width W 1 of the mask pattern 130a may be the same.

도 1h를 참조하면, 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 제거한다. Referring to FIG. 1H, the first mask pattern 130a and the second mask pattern 150a are removed.

상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 제거하기 위하여 상기 제1 캡핑층 패턴(140a) 및 제2 캡핑층 패턴(142a)을 식각 마스크로 이용하는 통상의 건식 또는 습식 식각 공정을 이용할 수 있다. 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)이 제거된 후, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 과도식각 버퍼층 패턴(124a)이 노출된다. Conventional dry or wet etching process using the first capping layer pattern 140a and the second capping layer pattern 142a as an etching mask to remove the first mask pattern 130a and the second mask pattern 150a. Can be used. After the first mask pattern 130a and the second mask pattern 150a are removed, the overetch buffer layer pattern 124a is exposed in the low density pattern region A and the high density pattern region B.

도 1i를 참조하면, 이방성 건식 식각 공정을 이용하여 노출되어 있는 상기 과도식각 버퍼층 패턴(124a)을 제거한다. Referring to FIG. 1I, the transient etching buffer layer pattern 124a is exposed by using an anisotropic dry etching process.

상기 과도식각 버퍼층 패턴(124a)을 상기 제2 캡핑층 패턴(142a) 구성 물질과 동일 또는 유사한 식각 특성을 가지는 물질로 형성함으로써 상기 과도식각 버퍼층 패턴(124a)의 제거와 동시에 상기 제2 캡핑층 저면부(142a-2)도 제거되어 상기 하드마스크층(122)의 상면을 노출시킬 수 있다. 그 결과, 도 1i에 도시한 바와 같이, 고밀도 패턴 영역(B)에서는 상기 제1 피치(2PB)의 1/2인 피치(PB)로 반복 배치되는 복수의 제2 캡핑층 수직 패턴(142a-1)이 남아 있게 된다. 그리고, 저밀도 패턴 영역(A)에서는 제1 캡핑층 패턴(140a)만 남게 된다. 상기 과도식각 버퍼층 패턴(124a) 및 상기 제2 캡핑층 저면부(142a-2)이 제거되는 동안 상기 복수의 제2 캡핑층 수직 패턴(142a-1) 및 제1 캡핑층 패턴(140a)도 그 상면으로부터 소정 두께 만큼 소모된다. The transient etching buffer layer pattern 124a is formed of a material having the same or similar etching characteristics as the material of the second capping layer pattern 142a, thereby removing the transient etching buffer layer pattern 124a and bottom surface of the second capping layer. The portion 142a-2 may also be removed to expose the top surface of the hard mask layer 122. As a result, as shown in FIG. 1I, in the high-density pattern region B, the plurality of second capping layer vertical patterns 142a repeatedly arranged at the pitch P B that is 1/2 of the first pitch 2P B. -1) will remain. In the low density pattern region A, only the first capping layer pattern 140a remains. The plurality of second capping layer vertical patterns 142a-1 and the first capping layer pattern 140a are also removed while the transient etching buffer layer pattern 124a and the second capping layer bottom part 142a-2 are removed. It is consumed by a predetermined thickness from the upper surface.

도 1j를 참조하면, 상기 복수의 제2 캡핑층 수직 패턴(142a-1) 및 제1 캡핑층 패턴(140a)을 식각 마스크로 하여 이들 사이에서 노출되는 상기 하드마스크층(122)을 이방성 건식 식각하여 하드마스크 패턴(122a)을 형성한다. 이 때, 상기 제2 식각저지층(116)을 식각 스토퍼로 이용한다. Referring to FIG. 1J, anisotropic dry etching is performed on the hard mask layer 122 exposed between the plurality of second capping layer vertical patterns 142a-1 and the first capping layer pattern 140a as an etch mask. The hard mask pattern 122a is formed. In this case, the second etch stop layer 116 is used as an etch stopper.

상기 하드마스크 패턴(122a) 형성을 위한 식각 공정이 이루어지는 동안 상기 복수의 제2 캡핑층 수직 패턴(142a-1) 및 제1 캡핑층 패턴(140a)도 일부 소모되어 도 1j에 도시된 바와 같이 그 두께가 낮아질 수 있다. During the etching process for forming the hard mask pattern 122a, the plurality of second capping layer vertical patterns 142a-1 and the first capping layer pattern 140a are also partially consumed, as shown in FIG. 1J. The thickness can be lowered.

도 1k를 참조하면, 상기 복수의 제2 캡핑층 수직 패턴(142a-1) 및 제1 캡핑층 패턴(140a)과 상기 하드마스크 패턴(122a)을 식각 마스크로 이용하여 상기 제2 식각저지층(116) 및 피식각막(114)을 이방성 건식 식각하여 복수의 개구(114h)가 형성된 피식각막 패턴(114a)을 형성한다. 이 때, 상기 제1 식각저지층(112)을 식각 스토퍼로 이용한다. Referring to FIG. 1K, the second etch stop layer may be formed by using the plurality of second capping layer vertical patterns 142a-1, the first capping layer pattern 140a, and the hard mask pattern 122a as an etch mask. 116 and the etch film 114 are anisotropic dry etched to form an etch pattern 114a having a plurality of openings 114h formed therein. In this case, the first etch stop layer 112 is used as an etch stopper.

그 후, 상기 피식각막 패턴(114a)의 개구(114h)를 통해 노출되는 제1 식각저지층(112)을 제거하여 상기 기판(100)을 노출시킨다. 그리고, 상기 피식각막 패턴(114a) 위에 남아 있는 제2 식각저지층(116), 하드마스크 패턴(122a), 복수의 제2 캡핑층 수직 패턴(142a-1) 및 제1 캡핑층 패턴(140a)을 제거한다. Thereafter, the substrate 100 is exposed by removing the first etch stop layer 112 exposed through the opening 114h of the etch pattern 114a. The second etch stop layer 116, the hard mask pattern 122a, the plurality of second capping layer vertical patterns 142a-1, and the first capping layer pattern 140a that remain on the etch pattern 114a. Remove it.

고밀도 패턴 영역(B)에서 상기 피식각막 패턴(114a)은 상기 제1 피치(2PB)의 1/2인 피치(PB)로 반복 배치되는 복수의 라인 패턴으로 구성된다. In the high-density pattern region B, the etched pattern 114a includes a plurality of line patterns repeatedly arranged at a pitch P B that is 1/2 of the first pitch 2P B.

상기 피식각막 패턴(114a)이 도전층 또는 반도체로 이루어진 경우, 상기 피식각막 패턴(114a)은 반도체 소자에 필요한 배선 라인을 구성할 수 있다. When the etch pattern 114a is formed of a conductive layer or a semiconductor, the etch pattern 114a may form a wiring line required for a semiconductor device.

도 1a 내지 도 1k를 참조하여 설명한 본 발명의 제1 실시예에 따른 반도체 소자의 미세 패턴 형성 방법에서는, 도 1g의 결과물에서 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 제거함으로써 도 1i에 도시된 바와 같이 제1 캡핑층 패턴(140a) 및 제2 캡핑층 수직 패턴(142a-1)을 식각 마스크로 이용하여 하지막을 패터닝하는 방법을 예시하였다. 그러나, 본 발명은 이에 한정되는 것은 아니다. 도시하지는 않았으나, 도 1g의 결과물에서 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 남겨 두고, 이들 사이에서 노출되는 제1 캡핑층 패턴(140a) 및 제2 캡핑층 수직 패턴(142a-1)을 제거함으로써 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 식각 마스크로 이용하여 하지막을 패터닝하는 방법도 본 발명의 범위 내에 포함된다. 이 경우에는 도 1k에 예시된 결과물에 대하여 리버스톤 (reverse tone)의 형상을 가지는 미세 패턴이 얻어지게 된다. In the method of forming a fine pattern of a semiconductor device according to the first exemplary embodiment of the present invention described with reference to FIGS. 1A to 1K, the first mask pattern 130a and the second mask pattern 150a are removed from the resultant of FIG. 1G. As illustrated in FIG. 1I, a method of patterning an underlayer using the first capping layer pattern 140a and the second capping layer vertical pattern 142a-1 as an etching mask is illustrated. However, the present invention is not limited to this. Although not shown, the first mask pattern 130a and the second mask pattern 150a are left in the result of FIG. 1G, and the first capping layer pattern 140a and the second capping layer vertical pattern (exposed between them) are exposed. The method of patterning an underlayer using the first mask pattern 130a and the second mask pattern 150a as an etch mask by removing 142a-1 is also included in the scope of the present invention. In this case, a fine pattern having a shape of reverse tone with respect to the resultant illustrated in FIG. 1K is obtained.

도 1a 내지 도 1k를 참조하여 설명한 본 발명의 제1 실시예에 따른 반도체 소자의 미세 패턴 형성 방법에서는 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 형성하고자 하는 패턴 밀도 또는 패턴의 폭(width)이 서로 다른 각 영역에서 서로 다른 피치의 패턴을 동시에 형성하는 경우에도, 미리 과도식각 버퍼층(124)을 형성한 후 더블 패터닝 공정에 의해 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 형성한다. 따라서, 패턴 밀도가 서로 다른 각 영역에서 더블 패터닝 공정에 의해 제1 마스크 패턴(130a) 및 제2 마스크 패턴(150a)을 형성할 때 제1 캡핑층(140) 또는 제2 캡핑층(142)의 잔류물이 기판(100)상에 남아 있는 것을 방지하기 위한 과도 식각을 충분히 행할 수 있다. 따라서, 더블 패터닝 공정시 기판(100)상에 불필요한 막질이 잔류하는 것을 효과적으로 방지하면서 패턴 밀도 및 패턴의 폭이 서로 다른 영역들에서 패턴 밀도 또는 패턴의 폭 차이로 인해 야기될 수 있는 각 영역에서의 식각율 차이 및 식각 깊이 차 이에 따른 문제들을 극복할 수 있다. In the method of forming a fine pattern of a semiconductor device according to the first embodiment of the present invention described with reference to FIGS. 1A to 1K, in forming patterns of various sizes and various pitches simultaneously on the same substrate, the pattern density or Even when a pattern having a different pitch is simultaneously formed in each region having a different width of the pattern, the first mask pattern 130a and the second mask are formed by a double patterning process after forming the transient etching buffer layer 124 in advance. The mask pattern 150a is formed. Therefore, when the first mask pattern 130a and the second mask pattern 150a are formed by the double patterning process in each region having a different pattern density, the first capping layer 140 or the second capping layer 142 may be formed. Transient etching may be performed sufficiently to prevent residue from remaining on the substrate 100. Therefore, while effectively preventing unnecessary film quality from remaining on the substrate 100 during the double patterning process, the pattern density and the width of the pattern may be caused by the difference in the pattern density or the pattern width in different regions. The problems due to the difference in etching rate and the difference in etching depth can be overcome.

도 2a 및 도 2b는 본 발명의 제2 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 2A and 2B are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a second embodiment of the present invention, according to a process sequence.

도 2a를 참조하면, 도 1a 내지 도 1k를 참조하여 설명한 바와 같은 일련의 공정에 의해 상기 피식각막 패턴(114a)을 형성한다. 단, 본 예에서는 상기 피식각막 패턴(114a)이 절연막으로 이루어진다. Referring to FIG. 2A, the etch pattern 114a is formed by a series of processes as described with reference to FIGS. 1A through 1K. However, in this example, the etched film pattern 114a is made of an insulating film.

상기 피식각막 패턴(114a)에 형성된 개구(114h)의 내벽 및 상기 피식각막 패턴(114a)의 표면에 배리어막(210)을 형성한다. 그 후, 상기 배리어막(210) 위에 상기 개구(114h)를 완전히 채우는 금속막(212)을 형성한다. A barrier layer 210 is formed on an inner wall of the opening 114h formed in the etch pattern 114a and a surface of the etch pattern 114a. Thereafter, a metal film 212 is formed on the barrier film 210 to completely fill the opening 114h.

상기 배리어막(210)은 상기 개구(114h)를 채우는 상기 금속막(212)의 금속 원자가 그 주위의 다른 막으로 확산되는 것을 방지하기 위하여 형성하는 것이다. 상기 배리어막(210)은 상기 개구(114h)의 폭 및 깊이에 따라 수 내지 수 백 Å의 두께를 가지도록 형성될 수 있다. 예를 들면, 상기 배리어막(210)은 약 5 ∼ 150 Å의 두께를 가지도록 형성될 수 있다. 상기 배리어막(210)은 Ta, TaN, TiN, TaSiN, TiSiN, 또는 이들의 조합으로 이루어질 수 있으며, CVD (chemical vapor deposition) 공정 또는 스퍼터링 (sputtering) 공정을 이용하여 형성될 수 있다. 상기 배리어막(210)을 형성하는 것은 본 발명에 있어서 필수적인 공정은 아니며, 경우에 따라 상기 배리어막(210)의 형성 공정은 생략될 수 있다. The barrier film 210 is formed to prevent the metal atoms of the metal film 212 filling the opening 114h from diffusing to other films around the barrier film 210. The barrier layer 210 may be formed to have a thickness of several to several hundred micrometers depending on the width and depth of the opening 114h. For example, the barrier layer 210 may be formed to have a thickness of about 5 to 150 kPa. The barrier layer 210 may be formed of Ta, TaN, TiN, TaSiN, TiSiN, or a combination thereof, and may be formed using a chemical vapor deposition (CVD) process or a sputtering process. Forming the barrier film 210 is not an essential process in the present invention, and in some cases, the process of forming the barrier film 210 may be omitted.

상기 금속막(212)은 예를 들면 Cu, W 및 Al로 이루어지는 군에서 선택되는 어느 하나의 금속으로 이루어질 수 있다. 바람직하게는, 상기 금속막(212)은 비교 적 작은 비저항을 가지는 Cu로 이루어진다. 상기 금속막(212)을 형성하기 위하여 PVD (physical vapor deposition) 공정 또는 전기도금 공정을 이용할 수 있다. The metal film 212 may be made of any one metal selected from the group consisting of Cu, W, and Al, for example. Preferably, the metal film 212 is made of Cu having a relatively small specific resistance. In order to form the metal film 212, a physical vapor deposition (PVD) process or an electroplating process may be used.

상기 금속막(212)을 형성하기 위하여 PVD 공정을 이용하는 상기 제1 공정 및 전기도금 공정을 이용하는 제2 공정을 거칠 수 있다. 예를 들면, 상기 금속막(212)을 Cu로 형성하는 경우, 상기 금속막(212) 형성하기 위하여 먼저 상기 배리어막(210) 위에 제1 Cu막을 PVD 공정에 의해 형성한 후, 상기 제1 Cu막을 씨드층(seed layer)으로 하여 Cu 전기도금 공정을 행하여 제2 Cu막을 형성할 수 있다. 이와 같은 공정을 이용하는 경우, 상기 제1 Cu막이 후속의 전기도금 공정에서의 초기 핵생성 자리를 제공하는 역할을 하여, 상기 제1 Cu막 위에 전기도금 공정에 의해 형성되는 제2 Cu막의 균일성이 향상될 수 있다. 상기 제1 Cu막은 예를 들면 약 100 ∼ 500 Å의 두께로 형성될 수 있다. 상기 제2 Cu막은 상기 개구(114h)를 완전히 채우기에 충분한 두께로 형성된다. 예를 들면, 상기 제2 Cu막은 약 1000 ∼ 10000 Å의 두께로 형성될 수 있다. In order to form the metal film 212, the first process using the PVD process and the second process using the electroplating process may be performed. For example, when the metal film 212 is formed of Cu, a first Cu film is first formed on the barrier film 210 by a PVD process to form the metal film 212, and then the first Cu is formed. The second Cu film can be formed by performing a Cu electroplating process using the film as a seed layer. When using such a process, the first Cu film serves to provide an initial nucleation site in a subsequent electroplating process, so that the uniformity of the second Cu film formed by the electroplating process on the first Cu film is achieved. Can be improved. For example, the first Cu film may be formed to a thickness of about 100 to about 500 kPa. The second Cu film is formed to a thickness sufficient to completely fill the opening 114h. For example, the second Cu film may be formed to a thickness of about 1000 to 10000 kPa.

도 2b를 참조하면, 상기 피식각막 패턴(114a)의 상면이 노출될 때까지 상기 금속막(212)의 일부 및 상기 배리어막(210)의 일부를 제거하여, 상기 피식각막 패턴(114a) 사이의 개구(114h) 내에 금속 배선 라인(220)을 형성한다. 상기 금속 배선 라인(220)은 배리어막(210) 및 금속막(212)으로 이루어진다. Referring to FIG. 2B, a portion of the metal layer 212 and a portion of the barrier layer 210 may be removed until an upper surface of the etched pattern 114a is exposed to remove the portion between the etched pattern 114a. A metal wiring line 220 is formed in the opening 114h. The metal wiring line 220 includes a barrier film 210 and a metal film 212.

상기 금속 배선 라인(220)은 상기 제1 피치(2PB)의 1/2인 피치(PB)로 배치되는 구조를 가지게 된다. The metal wiring line 220 has a structure arranged at a pitch P B which is 1/2 of the first pitch 2P B.

상기 금속막(212)의 일부 및 상기 배리어막(210)의 일부를 제거하기 위하여 CMP 공정을 이용할 수 있다. 또는, 상기 금속막(212)의 일부 및 상기 배리어막(210)의 일부를 제거하기 위하여 습식 식각 공정을 이용할 수도 있다. 상기 금속막(212)이 Cu막으로 이루어진 경우, 상기 금속막(212)의 일부를 습식 식각 공정으로 제거하기 위하여 예를 들면 HF, H2O2 및 H2O의 혼합물로 이루어지는 식각액을 이용할 수 있다. 이 때, HF, H2O2 및 H2O가 각각 1 ∼ 5, 1 ∼ 5, 및 50 ∼ 300의 부피비로 혼합된 식각액을 이용할 수 있다. 또는, 상기 금속막(212)의 일부를 습식 식각 공정으로 제거하기 위하여 H2SO4 및 H2O2의 혼합물로 이루어지는 식각액을 이용할 수도 있다. 이 때, H2SO4 및 H2O2가 2:1 내지 10:1의 부피비로 혼합된 식각액을 이용할 수 있다. 또한, 상기 배리어막(210)이 Ta, TaN, 또는 이들의 조합으로 이루어진 경우, 상기 배리어막(210)의 일부를 습식 식각 공정으로 제거하기 위하여 예를 들면 NH3, H2O2 및 H2O가 각각 1 ∼ 5, 1 ∼ 5, 및 5 ∼ 30의 부피비로 혼합된 식각액을 이용할 수 있다. 상기 금속막(212)의 일부 및 상기 배리어막(210)의 일부를 제거하기 위한 습식 식각 공정은 각각 상온에서 이루어질 수 있다. 또는, 상기 금속막(212)의 일부 및 상기 배리어막(210)의 일부를 제거하기 위하여 습식 식각 공정 및 건식 식각 공정을 각각 이용할 수 있다. 즉, 상기 금속막(212)의 일부는 상기 설명한 바와 같은 방법으로 습식 식각 공정을 이용하여 제거하고, 그 결과 노출되는 상기 배리어막(210)의 일부를 제거하기 위하여 건식 식각 공정을 이용할 수 있다. 상기 배리어막(210)이 Ta, TaN, 또는 이들의 조합으로 이루어진 경우, 상기 배리어막(210)의 일부를 건식 식각 공정에 의해 제거하기 위하여, 예를 들면 Cl2 및 BCl3를 식각 가스로 사용하여 플라즈마 방식의 건식 식각 공정을 행할 수 있다. A CMP process may be used to remove a portion of the metal layer 212 and a portion of the barrier layer 210. Alternatively, a wet etching process may be used to remove a portion of the metal layer 212 and a portion of the barrier layer 210. When the metal film 212 is formed of a Cu film, for example, an etching solution including a mixture of HF, H 2 O 2, and H 2 O may be used to remove a part of the metal film 212 by a wet etching process. have. At this time, an etchant in which HF, H 2 O 2 and H 2 O are mixed in a volume ratio of 1 to 5, 1 to 5, and 50 to 300 can be used. Alternatively, in order to remove a part of the metal film 212 by a wet etching process, an etching solution including a mixture of H 2 SO 4 and H 2 O 2 may be used. In this case, an etchant in which H 2 SO 4 and H 2 O 2 are mixed in a volume ratio of 2: 1 to 10: 1 may be used. In addition, when the barrier layer 210 is formed of Ta, TaN, or a combination thereof, in order to remove a portion of the barrier layer 210 by a wet etching process, for example, NH 3 , H 2 O 2, and H 2. An etchant in which O is mixed in a volume ratio of 1 to 5, 1 to 5, and 5 to 30 can be used, respectively. A wet etching process for removing a portion of the metal layer 212 and a portion of the barrier layer 210 may be performed at room temperature, respectively. Alternatively, a wet etching process and a dry etching process may be used to remove a portion of the metal layer 212 and a portion of the barrier layer 210, respectively. That is, a part of the metal film 212 may be removed using a wet etching process in the same manner as described above, and as a result, a dry etching process may be used to remove a part of the barrier film 210 that is exposed. When the barrier layer 210 is formed of Ta, TaN, or a combination thereof, for example, Cl 2 and BCl 3 are used as an etching gas to remove a part of the barrier layer 210 by a dry etching process. The dry etching process of the plasma method can be performed.

도 2a 및 도 2b를 참조하여 설명한 제2 실시예에 따라 배선 라인(220)을 형성하는 경우, 다마신 공정을 이용하여 미세한 피치로 반복 형성되는 배선 라인(220)을 형성하기 위하여 최종적으로 형성하고자 하는 배선 라인(220)의 레이아웃과 동일한 레이아웃의 음각 패턴을 가지는 절연막 패턴을 더블 패터닝 공정을 이용하여 먼저 형성한다. 따라서, 낮은 비저항 특성을 가지는 Cu막을 이용하여 배선 패턴을 형성하는 경우에도 다마신 공정에서 요구되는 음각 패턴 형성을 위한 별도의 레이아웃을 새로 설계할 필요 없이, 기존의 배선 패턴 형성 공정에서 소정의 도전층을 양각 패턴으로 직접 패터닝하는 데 이용되는 레이아웃을 그대로 사용하여 다마신 공정을 행하여도 원하는 레이아웃의 금속 배선 패턴을 얻을 수 있다. 따라서, 다마신 공정으로 미세 피치의 배선 패턴을 형성하고자 할 때 본 발명에 따른 미세 패턴 형성 방법을 이용함으로써 셀 어레이 영역 및 주변회로 영역에서와 같이 서로 다른 크기 및 피치를 가지는 다양한 패턴들을 보다 용이하게 구현할 수 있다. In the case of forming the wiring line 220 according to the second embodiment described with reference to FIGS. 2A and 2B, to finally form the wiring line 220 repeatedly formed at a fine pitch using a damascene process. An insulating film pattern having an intaglio pattern having the same layout as that of the wiring line 220 is first formed by using a double patterning process. Therefore, even when a wiring pattern is formed using a Cu film having a low resistivity, a predetermined conductive layer is required in the existing wiring pattern forming process, without having to design a separate layout for the intaglio pattern formation required in the damascene process. The metal wiring pattern of a desired layout can be obtained even if a damascene process is performed using the layout used for directly patterning the pattern into an embossed pattern. Therefore, when the fine pattern wiring pattern is to be formed by the damascene process, various patterns having different sizes and pitches, such as in the cell array region and the peripheral circuit region, may be more easily used by using the fine pattern forming method according to the present invention. Can be implemented.

도 3a 내지 도 3f는 본 발명의 제3 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 3A to 3F are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a third embodiment of the present invention, according to a process sequence.

도 3a 내지 도 3f를 참조하여 설명하는 본 발명의 제3 실시예는 제1 실시예와 대체로 동일하다. 단, 제1 실시예에서는 더블 패터닝이 이루어지지 않는 저밀도 패턴 영역(A)에 제1 캡핑층 패턴(140a)을 먼저 형성한 후 고밀도 패턴 영역(B)에서 제2 마스크 패턴(150a)을 형성하기 위한 제2 마스크층(150)을 형성하였으나, 본 예에서는 상기 고밀도 패턴 영역(B)에 제2 마스크 패턴(350a)을 형성하기 위한 제2 마스크층(350)을 먼저 형성한 후 (도 3b 참조), 더블 패터닝이 이루어지지 않는 저밀도 영역(A)에 제4 캡핑층(370)을 형성한다. 이에 대하여 도 3a 내지 도 3f를 참조하여 보다 상세히 설명한다 (도 3e 참조). 도 3a 내지 도 3f에 있어서 제1 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다. The third embodiment of the present invention described with reference to FIGS. 3A to 3F is substantially the same as the first embodiment. However, in the first embodiment, the first capping layer pattern 140a is first formed in the low density pattern region A without double patterning, and then the second mask pattern 150a is formed in the high density pattern region B. Although the second mask layer 150 is formed for this purpose, in the present example, the second mask layer 350 for forming the second mask pattern 350a is first formed in the high density pattern region B (see FIG. 3B). ), The fourth capping layer 370 is formed in the low density region A where double patterning is not performed. This will be described in more detail with reference to FIGS. 3A to 3F (see FIG. 3E). 3A to 3F, the same reference numerals as those in the first embodiment denote the same members.

도 3a를 참조하면, 도 1a 및 도 1b를 참조하여 설명한 바와 같은 방법으로 기판(100)상에 복수의 제1 마스크 패턴(130a) 및 과도식각 버퍼층 패턴(124a)을 형성하는 공정까지 행한다. Referring to FIG. 3A, a process of forming the plurality of first mask patterns 130a and the transient etching buffer layer pattern 124a on the substrate 100 may be performed by the method described with reference to FIGS. 1A and 1B.

그 후, 상기 복수의 제1 마스크 패턴(130a)의 측벽 및 상면과, 상기 제1 마스크 패턴(130a) 사이에서 노출되는 상기 하드마스크층(122) 위에 제3 캡핑층(342)을 형성한다. 도시하지는 않았으나, 상기 제3 캡핑층(342)중 저밀도 패턴 영역(A)에 있는 부분은 제거될 수도 있다. Thereafter, a third capping layer 342 is formed on sidewalls and top surfaces of the plurality of first mask patterns 130a and the hard mask layer 122 exposed between the first mask patterns 130a. Although not illustrated, a portion of the third capping layer 342 in the low density pattern region A may be removed.

상기 제3 캡핑층(342)은 상기 복수의 제1 마스크 패턴(130a) 및 상기 과도식각 버퍼층 패턴(124a)의 측벽과 상기 하드마스크층(122)의 상면을 각각 균일한 두께로 덮도록 형성될 수 있다. 또한, 고밀도 패턴 영역(B)에서 상기 제3 캡핑층(342)의 상면에 형성되는 리세스(344)의 폭(W2)이 상기 제1 마스크 패턴(130a)의 폭(W1)과 동일한 치수를 가지도록 상기 제3 캡핑층(342)의 두께를 결정할 수 있다. The third capping layer 342 may be formed to cover sidewalls of the plurality of first mask patterns 130a and the transient etching buffer layer pattern 124a and upper surfaces of the hard mask layer 122, respectively, with a uniform thickness. Can be. In addition, the width W 2 of the recess 344 formed on the upper surface of the third capping layer 342 in the high density pattern region B is the same as the width W 1 of the first mask pattern 130a. The thickness of the third capping layer 342 may be determined to have a dimension.

상기 복수의 제1 마스크 패턴(130a) 중 상호 인접한 2개의 제1 마스크 패턴(130a) 사이의 간격(d1)이 고밀도 패턴 영역(B)에서의 간격(d2) 보다 더 작은 경우에는 상기 제3 캡핑층(342)의 상면에 리세스(344)가 형성되지 않을 수도 있다. When the distance d 1 between two adjacent first mask patterns 130a among the plurality of first mask patterns 130a is smaller than the distance d 2 in the high density pattern region B, the first mask pattern 130a is formed. The recess 344 may not be formed on the top surface of the third capping layer 342.

상기 제3 캡핑층(342)에 대한 상세한 사항은 도 1e를 참조하여 제2 캡핑층(142)에 대하여 설명한 바와 같다. Details of the third capping layer 342 are the same as those of the second capping layer 142 with reference to FIG. 1E.

도 3b를 참조하면, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 상기 제3 캡핑층(342) 위에 제2 마스크층(350)을 형성한다. 이 때, 상기 제3 캡핑층(342)의 상면에 형성되는 리세스(344) 내에 상기 제2 마스크층(350)이 완전히 채워지도록 상기 제2 마스크층(350)을 충분한 두께로 형성한다. Referring to FIG. 3B, a second mask layer 350 is formed on the third capping layer 342 in the low density pattern region A and the high density pattern region B. Referring to FIG. In this case, the second mask layer 350 is formed to a sufficient thickness so that the second mask layer 350 is completely filled in the recess 344 formed on the upper surface of the third capping layer 342.

상기 제2 마스크층(350)에 대한 상세한 사항은 도 1f를 참조하여 제2 마스크층(150)에 대하여 설명한 바와 같다. Details of the second mask layer 350 are the same as the description of the second mask layer 150 with reference to FIG. 1F.

도 3c를 참조하면, 저밀도 패턴 영역(A) 및 고밀도 패턴 영역(B)에서 더블 패터닝 공정에 의해 상기 복수의 마스크 패턴(130a)의 피치 보다 더 작은 피치의 마스크 패턴을 형성하여야 할 부분을 선택적으로 덮는 마스크 패턴(360)을 형성한다. 도 3c에는 고밀도 패턴 영역(B)을 덮는 마스크 패턴(360)을 형성한 예를 도시하였다. 상기 마스크 패턴(360)은 예를 들면 반사방지막 패턴(362) 및 포토레지스트 패턴(364)이 차례로 적층된 구조로 이루어질 수 있다. 그러나, 이에 한정되는 것은 아니며, 통상의 하드 마스크 패턴 형성 재료로 이루어질 수도 있다. Referring to FIG. 3C, portions of the low-density pattern region A and the high-density pattern region B which are to form a mask pattern having a pitch smaller than the pitch of the plurality of mask patterns 130a are selectively formed by a double patterning process. A covering mask pattern 360 is formed. 3C illustrates an example in which the mask pattern 360 covering the high density pattern region B is formed. For example, the mask pattern 360 may have a structure in which an anti-reflection film pattern 362 and a photoresist pattern 364 are sequentially stacked. However, the present invention is not limited thereto and may be made of a conventional hard mask pattern forming material.

도 3d를 참조하면, 상기 마스크 패턴(360)을 식각 마스크로 하여 저밀도 패 턴 영역(A)에 있는 상기 제2 마스크층(350)을 식각하여 제거한다. 그 결과, 본 예에서는 저밀도 패턴 영역(A)에서 상기 제2 마스크층(350)이 완전히 제거되고, 고밀도 패턴 영역(B)에만 제2 마스크층(350)이 남게 된다. Referring to FIG. 3D, the second mask layer 350 in the low density pattern region A is etched and removed using the mask pattern 360 as an etch mask. As a result, in the present example, the second mask layer 350 is completely removed from the low density pattern region A, and the second mask layer 350 remains only in the high density pattern region B. FIG.

도시하지는 않았으나, 저밀도 패턴 영역(A)에 노출되어 있는 상기 제3 캡핑층(342)을 제거할 수도 있다. Although not shown, the third capping layer 342 exposed to the low density pattern region A may be removed.

도 3e를 참조하면, 상기 마스크 패턴(360)을 제거한 후, 상기 제3 캡핑층(342) 및 제2 마스크층(350) 위에 제4 캡핑층(370)을 형성한다. Referring to FIG. 3E, after removing the mask pattern 360, a fourth capping layer 370 is formed on the third capping layer 342 and the second mask layer 350.

저밀도 패턴 영역(A)에서 상기 제3 캡핑층(342)을 제거한 경우 저밀도 패턴 영역(A)에서 상기 제4 캡핑층(370)은 상기 하드마스크층(122) 위에 형성된다. When the third capping layer 342 is removed from the low density pattern region A, the fourth capping layer 370 is formed on the hard mask layer 122 in the low density pattern region A. FIG.

상기 제4 캡핑층(370)에 대한 상세한 사항은 도 1c를 참조하여 제1 캡핑층(140)에 대하여 설명한 바와 같다. Details of the fourth capping layer 370 are the same as those of the first capping layer 140 with reference to FIG. 1C.

도 3f를 참조하면, 도 1g를 참조하여 설멍한 바와 같은 방법으로 상기 제1 마스크 패턴(130a)이 노출될 때까지 CMP 공정에 의해 상기 제2 마스크층(350) 및 제4 캡핑층(370)이 형성된 결과물을 평탄화시켜 고밀도 패턴 영역(B)에서 복수의 제1 마스크 패턴(130a) 사이에 복수의 제2 마스크 패턴(350a)을 형성한다. 상기 복수의 제2 마스크 패턴(350a)은 상기 제1 피치(2PB)와 동일한 피치로 반복 형성된다. Referring to FIG. 3F, the second mask layer 350 and the fourth capping layer 370 are processed by the CMP process until the first mask pattern 130a is exposed in the same manner as described with reference to FIG. 1G. The formed resultant is planarized to form a plurality of second mask patterns 350a in the high density pattern region B between the plurality of first mask patterns 130a. The plurality of second mask patterns 350a are repeatedly formed at the same pitch as the first pitch 2P B.

고밀도 패턴 영역(B)에 상기 복수의 제2 마스크 패턴(350a)이 형성된 후, 저밀도 패턴 영역(A)에서 복수의 제1 마스크 패턴(130a) 사이의 스페이스 내에는 상기 제3 캡핑층(342)의 잔류 부분인 제3 캡핑층 패턴(342b)과 상기 제4 캡핑층(370) 의 잔류 부분인 제4 캡핑층 패턴(370a)이 남게 된다. 고밀도 패턴 영역(B)에서는 상기 제3 캡핑층(342)중 상기 제1 마스크 패턴(130a) 위에 있던 부분은 제거되고 상기 제1 마스크 패턴(130a) 사이의 스페이스 내에 있던 부분만 남게 되어 상호 분리된 복수의 제3 캡핑층 패턴(342a)이 형성된다. 상기 복수의 제2 마스크 패턴(350a)은 각각 상기 제3 캡핑층 패턴(342a)상의 리세스 영역(344) 내에 위치된다. After the plurality of second mask patterns 350a are formed in the high density pattern region B, the third capping layer 342 is disposed in the space between the plurality of first mask patterns 130a in the low density pattern region A. The third capping layer pattern 342b, which is a remaining portion of, and the fourth capping layer pattern 370a, which is a remaining portion of the fourth capping layer 370, remain. In the high-density pattern region B, portions of the third capping layer 342 that are on the first mask pattern 130a are removed and only portions that existed in the space between the first mask patterns 130a remain to be separated from each other. A plurality of third capping layer patterns 342a are formed. The plurality of second mask patterns 350a are respectively disposed in the recessed areas 344 on the third capping layer pattern 342a.

도 3a의 공정 또는 도 3d의 공정에서 저밀도 패턴 영역(A)에 있는 상기 제3 캡핑층(342)을 제거한 경우, 도 4에 예시한 바와 같이, 저밀도 패턴 영역(A)에서 복수의 제1 마스크 패턴(130a) 사이의 스페이스 내에는 상기 제4 캡핑층 패턴(370a)만 남게 된다. When the third capping layer 342 in the low density pattern region A is removed in the process of FIG. 3A or the process of FIG. 3D, as illustrated in FIG. 4, the plurality of first masks in the low density pattern region A is illustrated. Only the fourth capping layer pattern 370a remains in the space between the patterns 130a.

고밀도 패턴 영역(B)에서, 상기 제3 캡핑층 패턴(342a)은 상기 제1 마스크 패턴(130a)과 상기 제2 마스크 패턴(350a)과의 사이에서 이들의 측벽에 각각 접해 있는 2 개의 제3 캡핑층 수직 패턴(342a-1)과 이들 사이에서 상기 하드마스크층(122)을 덮고 있는 제3 캡핑층 저면부(342a-2)를 포함한다. In the high-density pattern region B, the third capping layer pattern 342a is two thirds respectively contacting the sidewalls of the first mask pattern 130a and the second mask pattern 350a. A capping layer vertical pattern 342a-1 and a third capping layer bottom portion 342a-2 covering the hard mask layer 122 therebetween.

상기 제3 캡핑층(342) 두께가 상기 제1 피치(2PB)의 1/4인 값을 가지는 경우, 상기 제3 캡핑층 수직 패턴(342a-1)의 폭(W3)은 상기 제1 마스크 패턴(130a)의 폭(W1)과 동일하게 될 수 있다. 그 후, 도 1h 내지 도 1k를 참조하여 설명한 일련의 공정, 또는 도 2a 및 도 2b를 참조하여 설명한 일련의 공정에 따라 기판(100)상에 미세 패턴을 형성한다. When the thickness of the third capping layer 342 has a value equal to 1/4 of the first pitch 2P B , the width W 3 of the third capping layer vertical pattern 342a-1 is equal to the first width. The width W 1 of the mask pattern 130a may be the same. Thereafter, a fine pattern is formed on the substrate 100 according to a series of processes described with reference to FIGS. 1H to 1K or a series of processes described with reference to FIGS. 2A and 2B.

도시하지는 않았으나, 도 3a 내지 도 3f를 참조하여 설명한 본 발명의 제3 실시예에 따른 반도체 소자의 미세 패턴 형성 방법에 있어서, 도 3f의 결과물에서 제1 실시예에서와 유사하게 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(350a)을 제거함으로써 제3 캡핑층 수직 패턴(342a-1)과, 제3 캡핑층 패턴(342b) 및 제4 캡핑층 패턴(370a)을 식각 마스크로 이용하여 하지막을 패터닝할 수 있다. 또는, 도 3f의 결과물에서 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(350a)을 기판(100)상에 남겨 두고, 이들 사이에서 노출되는 제3 캡핑층 수직 패턴(342a-1)과, 제3 캡핑층 패턴(342b) 및 제4 캡핑층 패턴(370a)을 제거함으로써 상기 제1 마스크 패턴(130a) 및 제2 마스크 패턴(350a)을 식각 마스크로 이용하여 하지막을 패터닝할 수도 있다. Although not shown, in the method of forming a fine pattern of the semiconductor device according to the third embodiment of the present invention described with reference to FIGS. 3A to 3F, the first mask pattern is similar to that of the first embodiment in the result of FIG. 3F. By removing the 130a and the second mask pattern 350a, the third capping layer vertical pattern 342a-1, the third capping layer pattern 342b, and the fourth capping layer pattern 370a are used as etching masks. The underlayer can be patterned. Alternatively, in the result of FIG. 3F, the first mask pattern 130a and the second mask pattern 350a are left on the substrate 100, and the third capping layer vertical pattern 342a-1 exposed between them is exposed. The base layer may be patterned by using the first mask pattern 130a and the second mask pattern 350a as an etch mask by removing the third capping layer pattern 342b and the fourth capping layer pattern 370a.

본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 서로 패턴 밀도가 서로 다른 제1 영역 및 제2 영역에서 피식각막 위에 제1 마스크 패턴을 형성한 후, 상기 제1 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스를 채우는 제1 캡핑층 패턴을 형성한다. 그리고, 제2 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에서 소정 폭의 리세스 영역이 남도록 상기 제1 마스크 패턴의 측벽을 덮는 제2 캡핑층 패턴과, 상기 제2 캡핑층 패턴상의 상기 리세스 영역 내에서 상기 제1 마스크 패턴과 동일 레벨상에 위치되는 복수의 제2 마스크 패턴을 형성한다. 그리고, 상기 제1 캡핑층 패턴 및 제2 캡핑층 패턴으로 이루어지는 제1 패턴 과, 상기 제1 마스크 패턴 및 제2 마스크 패턴으로 이루어지는 제2 패턴 중 선택된 하나의 패턴을 이용하여 하부 막을 패터닝한다. In the method for forming a fine pattern of a semiconductor device according to the present invention, after forming a first mask pattern on the etched film in the first region and the second region having different pattern densities, the plurality of first mask patterns in the first region. A first capping layer pattern is formed to fill a space between two adjacent first mask patterns. The second region may include a second capping layer pattern covering sidewalls of the first mask pattern such that recesses of a predetermined width remain in a space between two adjacent first mask patterns among the plurality of first mask patterns. And a plurality of second mask patterns positioned on the same level as the first mask pattern in the recess area on the second capping layer pattern. The lower layer is patterned by using one selected from the first pattern including the first capping layer pattern and the second capping layer pattern, and the second pattern including the first mask pattern and the second mask pattern.

따라서, 포토리소그래피 공정에서의 해상 한계를 극복하기 위하여 더블 패터닝 공정을 이용하여 미세 피치로 반복 형성되는 복수의 배선 라인을 형성하기 위하여 동일한 기판상에 다양한 크기 및 다양한 피치의 패턴을 동시에 형성하는 데 있어서, 패턴 밀도 또는 패턴 폭이 서로 다른 각 영역에서 패턴 밀도 또는 패턴 폭 차이로 인해 야기될 수 있는 막질 잔류 가능성 및 그에 따른 불량 발생 등의 문제들을 방지하면서 원하는 패턴을 용이하게 형성할 수 있다. Accordingly, in order to simultaneously form a plurality of patterns of various sizes and various pitches on the same substrate in order to form a plurality of wiring lines repeatedly formed at a fine pitch using a double patterning process to overcome the resolution limitation in the photolithography process. In addition, it is possible to easily form a desired pattern while preventing problems such as the possibility of film quality remaining and the occurrence of defects, which may be caused by the pattern density or the pattern width difference in each region having a different pattern density or pattern width.

또한, 상기 제1 패턴을 이용하여 하부 막을 패터닝하여 하부 막에 개구를 형성하고 상기 개구 내에 배선 라인을 형성하는 공정을 행함으로써, 낮은 비저항 특성을 가지는 Cu막을 이용하여 배선 라인을 형성하는 경우에도 다마신 공정에서 요구되는 음각 패턴 형성을 위한 별도의 레이아웃을 새로 설계할 필요 없이, 양각 패턴 형성 방법에 의해 패턴 형성이 가능한 막을 패터닝할 때 사용되는 양각의 배선 패턴 형성용 레이아웃을 그대로 이용하여 다마신 공정에 의해 미세 피치로 반복 형성되는 복수의 배선 라인을 형성할 수 있다. 따라서, 다마신 공정으로 미세 피치의 배선 라인을 형성하는 경우에도 본 발명에 따른 방법을 이용함으로써 패턴 밀도 및 패턴 폭이 서로 다른 영역에서 다양한 패턴들을 용이하게 구현할 수 있다. In addition, even when a wiring line is formed using a Cu film having a low resistivity by performing a step of forming an opening in the lower film and forming a wiring line in the opening by patterning a lower film using the first pattern. Instead of designing a separate layout for the engraved pattern required in the drank process, the damascene process is used by using the layout for the formation of the wiring pattern of the relief used when patterning a film capable of forming the pattern by the embossed pattern forming method. Thereby, a plurality of wiring lines repeatedly formed at a fine pitch can be formed. Therefore, even when the fine pitch line is formed by the damascene process, various patterns may be easily implemented in regions having different pattern densities and pattern widths by using the method according to the present invention.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. In the above, the present invention has been described in detail with reference to preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications and changes by those skilled in the art within the spirit and scope of the present invention. This is possible.

Claims (22)

제1 영역 및 제2 영역을 포함하는 기판상에 피식각막을 형성하는 단계와, Forming an etching target film on the substrate including the first region and the second region; 상기 제1 영역에서는 제1 패턴 밀도를 가지고 상기 제2 영역에서는 제2 패턴 밀도를 가지는 복수의 제1 마스크 패턴을 상기 피식각막 위에 형성하는 단계와, Forming a plurality of first mask patterns on the etched film having a first pattern density in the first region and a second pattern density in the second region; 상기 제1 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스를 채우는 제1 캡핑층 패턴을 형성하고, 제2 영역에서는 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에서 소정 폭의 리세스 영역이 남도록 상기 제1 마스크 패턴의 측벽을 덮는 제2 캡핑층 패턴과, 상기 제2 캡핑층 패턴상의 상기 리세스 영역 내에서 상기 제1 마스크 패턴과 동일 레벨상에 위치되는 복수의 제2 마스크 패턴을 형성하는 단계와, In the first region, a first capping layer pattern is formed to fill a space between two adjacent first mask patterns among the plurality of first mask patterns. In the second region, two adjacent capping layer patterns among the plurality of first mask patterns are formed. A second capping layer pattern covering sidewalls of the first mask pattern such that a recessed region of a predetermined width remains in a space between the first mask patterns, and the first capping layer pattern in the recess region on the second capping layer pattern Forming a plurality of second mask patterns positioned on the same level as the mask pattern, 상기 제1 캡핑층 패턴 및 제2 캡핑층 패턴으로 이루어지는 제1 패턴과, 상기 제1 마스크 패턴 및 제2 마스크 패턴으로 이루어지는 제2 패턴 중 선택된 하나의 패턴이 남도록 나머지 하나의 패턴을 제거하는 단계와, Removing the other one pattern such that a selected one of the first pattern consisting of the first capping layer pattern and the second capping layer pattern and the second pattern consisting of the first mask pattern and the second mask pattern remain; , 상기 선택된 하나의 패턴을 식각 마스크로 이용하여 상기 피식각막을 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And etching the etched film using the selected one pattern as an etching mask. 제1항에 있어서, The method of claim 1, 상기 제1 캡핑층 패턴, 제2 캡핑층 패턴, 및 제2 마스크 패턴을 형성하는 단 계는 The step of forming the first capping layer pattern, the second capping layer pattern, and the second mask pattern is 상기 제1 영역에서만 상기 복수의 제1 마스크 패턴 및 이들 사이의 스페이스를 덮는 제1 캡핑층을 형성하는 단계와, Forming a first capping layer covering the plurality of first mask patterns and a space therebetween only in the first region; 상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에 소정 폭의 리세스 영역이 남도록 상기 복수의 제1 마스크 패턴의 상면 및 측벽을 덮는 제2 캡핑층을 형성하는 단계와, A second capping layer covering upper surfaces and sidewalls of the plurality of first mask patterns such that recesses of a predetermined width remain in a space between two adjacent first mask patterns among the plurality of first mask patterns in the second region; Forming a, 상기 리세스 영역이 완전히 채워지도록 상기 제2 영역에서 상기 제2 캡핑층 위에 제2 마스크층을 형성하는 단계와, Forming a second mask layer over the second capping layer in the second region so that the recess region is completely filled; 상기 제1 마스크 패턴이 노출될 때 까지 상기 제2 마스크층, 상기 제2 캡핑층, 및 상기 제1 캡핑층 각각의 일부를 제거하여 상기 제1 캡핑층 패턴과, 상기 제2 마스크 패턴과, 상기 제1 캡핑층 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. A portion of each of the second mask layer, the second capping layer, and the first capping layer is removed until the first mask pattern is exposed, so that the first capping layer pattern, the second mask pattern, and the Forming a first capping layer pattern comprising the step of forming a fine pattern of a semiconductor device. 제2항에 있어서, The method of claim 2, 상기 제2 마스크층, 제2 캡핑층, 및 상기 제1 캡핑층 각각의 일부를 제거하기 위하여 CMP (chemical mechanical polishing) 공정을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And a chemical mechanical polishing (CMP) process to remove a portion of each of the second mask layer, the second capping layer, and the first capping layer. 제2항에 있어서, The method of claim 2, 상기 제2 캡핑층은 상기 제1 영역 및 제2 영역에 각각 형성되고, The second capping layer is formed in the first region and the second region, respectively, 상기 제1 영역에서 상기 제2 캡핑층은 상기 제1 캡핑층 위에 형성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And the second capping layer is formed on the first capping layer in the first region. 제1항에 있어서, The method of claim 1, 상기 제1 캡핑층 패턴, 제2 캡핑층 패턴, 및 제2 마스크 패턴을 형성하는 단계는 Forming the first capping layer pattern, the second capping layer pattern, and the second mask pattern may include 상기 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스 내에 소정 폭의 리세스 영역이 남도록 상기 복수의 제1 마스크 패턴의 상면 및 측벽을 덮는 제3 캡핑층을 형성하는 단계와, A third capping layer covering upper surfaces and sidewalls of the plurality of first mask patterns such that recesses of a predetermined width remain in a space between two adjacent first mask patterns among the plurality of first mask patterns in the second region; Forming a, 상기 제1 영역 및 제2 영역에서 상기 복수의 제1 마스크 패턴중 상호 인접한 2 개의 제1 마스크 패턴 사이의 스페이스를 채우도록 제3 캡핑층 위에 제2 마스크층을 형성하는 단계와, Forming a second mask layer over the third capping layer to fill a space between two adjacent first mask patterns among the plurality of first mask patterns in the first region and the second region; 상기 제2 영역에만 상기 제2 마스크층이 남도록 상기 제1 영역에서 상기 제2 마스크층을 제거하는 단계와, Removing the second mask layer from the first region so that the second mask layer remains only in the second region; 상기 제1 영역에서 상기 리세스 영역을 완전히 채우도록 상기 제3 캡핑층 위에 제4 캡핑층을 형성하는 단계와, Forming a fourth capping layer over the third capping layer to completely fill the recess region in the first region; 상기 제1 마스크 패턴이 노출될 때 까지 상기 제4 캡핑층, 상기 제2 마스크층, 및 상기 제3 캡핑층 각각의 일부를 제거하여 상기 제4 캡핑층의 나머지 부분을 포함하는 상기 제1 캡핑층 패턴과, 상기 제2 마스크 패턴과, 상기 제3 캡핑층의 나머지 부분으로 이루어지는 상기 제2 캡핑층 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The first capping layer including the remaining portion of the fourth capping layer by removing portions of each of the fourth capping layer, the second mask layer, and the third capping layer until the first mask pattern is exposed. Forming a second capping layer pattern comprising a pattern, the second mask pattern, and the remaining portion of the third capping layer. 제5항에 있어서, The method of claim 5, 상기 제4 캡핑층, 상기 제2 마스크층, 및 상기 제3 캡핑층 각각의 일부를 제거하기 위하여 CMP 공정을 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And a CMP process is used to remove a portion of each of the fourth capping layer, the second mask layer, and the third capping layer. 제5항에 있어서, The method of claim 5, 상기 제3 캡핑층은 상기 제2 영역에만 형성하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And the third capping layer is formed only in the second region. 제5항에 있어서, The method of claim 5, 상기 제3 캡핑층은 상기 제1 영역 및 제2 영역에 각각 형성되고, The third capping layer is formed in the first region and the second region, respectively 상기 제1 영역에서 상기 제4 캡핑층은 상기 제3 캡핑층 위에 형성되고, The fourth capping layer is formed on the third capping layer in the first region. 상기 제1 캡핑층 패턴은 상기 제4 캡핑층의 나머지 부분과 상기 제3 캡핑층의 나머지 부분을 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The first capping layer pattern includes a remaining portion of the fourth capping layer and the remaining portion of the third capping layer. 제1항에 있어서, The method of claim 1, 상기 피식각막은 절연막 또는 도전막인 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The etching pattern is a fine pattern forming method of a semiconductor device, characterized in that the insulating film or a conductive film. 제1항에 있어서, The method of claim 1, 상기 제1 마스크 패턴 및 제2 마스크 패턴은 각각 폴리실리콘막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And the first mask pattern and the second mask pattern are each made of a polysilicon film. 제1항에 있어서, The method of claim 1, 상기 제1 캡핑층 패턴 및 제2 캡핑층 패턴은 각각 산화막 또는 질화막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And the first capping layer pattern and the second capping layer pattern are formed of an oxide film or a nitride film, respectively. 제1항에 있어서, The method of claim 1, 상기 제1 마스크 패턴을 형성하기 전에 상기 제1 영역 및 제2 영역에서 상기 피식각막 위에 과도식각 버퍼층을 형성하는 단계를 더 포함하고, Forming a transient etching buffer layer on the etched film in the first and second regions before forming the first mask pattern, 상기 제1 마스크 패턴은 상기 과도식각 버퍼층 위에 헝성되는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And the first mask pattern is formed on the transient etching buffer layer. 제12항에 있어서, The method of claim 12, 상기 제1 마스크 패턴을 형성하는 단계는 Forming the first mask pattern is 상기 제1 영역 및 제2 영역에서 상기 과도식각 버퍼층 위에 제1 마스크층을 형성하는 단계와, Forming a first mask layer on the transient etching buffer layer in the first region and the second region; 상기 제1 마스크층 및 상기 과도식각 버퍼층을 패터닝하여 상기 제1 영역 및 제2 영역에서 각각 제1 패턴 밀도 및 제2 패턴 밀도를 가지는 복수의 제1 마스크 패턴 및 복수의 과도식각 버퍼층 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. Patterning the first mask layer and the transient etching buffer layer to form a plurality of first mask patterns and a plurality of transient etching buffer layer patterns each having a first pattern density and a second pattern density in the first region and the second region, respectively. Method for forming a fine pattern of a semiconductor device comprising the step. 제12항에 있어서, The method of claim 12, 상기 과도식각 버퍼층은 상기 제2 캡핑층 패턴과 동일한 식각 특성을 가지는 물질로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The transient etching buffer layer is a fine pattern forming method of a semiconductor device, characterized in that made of a material having the same etching characteristics as the second capping layer pattern. 제12항에 있어서, The method of claim 12, 상기 과도식각 버퍼층은 실리콘 질화막 또는 실리콘 산화막으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The transient etching buffer layer is a fine pattern forming method of a semiconductor device, characterized in that consisting of a silicon nitride film or a silicon oxide film. 제1항에 있어서, The method of claim 1, 상기 피식각막을 형성한 후 상기 제1 마스크 패턴을 형성하기 전에 상기 피식각막 위에 하드마스크층을 형성하는 단계와, Forming a hard mask layer on the etched film after forming the etched film and before forming the first mask pattern; 상기 피식각막을 식각하기 전에 상기 선택된 하나의 패턴을 식각 마스크로 이용하여 상기 하드마스크층을 식각하여 하드마스크 패턴을 형성하는 단계를 포함하고, Forming a hard mask pattern by etching the hard mask layer by using the selected one pattern as an etch mask before etching the etched film; 상기 피식각막을 식각하기 위하여 상기 선택된 하나의 패턴 및 상기 하드마 스크 패턴을 식각 마스크로 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And using the selected one pattern and the hard mask pattern as an etch mask to etch the etched film. 제16항에 있어서, The method of claim 16, 상기 하드마스크층은 산화물, 질화물, SiON, ACL (amorphous carbon layer), 또는 이들의 조합으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The hard mask layer is a method of forming a fine pattern of a semiconductor device, characterized in that the oxide, nitride, SiON, ACL (amorphous carbon layer), or a combination thereof. 제1항에 있어서, The method of claim 1, 상기 피식각막은 절연막이고, The etched film is an insulating film, 상기 피식각막을 식각하기 위하여 상기 제1 패턴을 식각 마스크로 이용하여 상기 피식각막을 식각하여 복수의 개구가 형성된 피식각막 패턴을 형성하는 단계와, Etching the etched film using the first pattern as an etch mask to etch the etched film to form an etched pattern having a plurality of openings; 상기 개구 내에 금속막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. Forming a metal film in the opening. 제18항에 있어서, The method of claim 18, 상기 금속막은 Cu, W 및 Al로 이루어지는 군에서 선택되는 어느 하나의 금속을 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. The metal film is a fine pattern forming method of a semiconductor device, characterized in that any one metal selected from the group consisting of Cu, W and Al. 제18항에 있어서, The method of claim 18, 상기 금속막을 형성하는 단계는 Forming the metal film 상기 개구 내벽에 배리어막을 형성하는 단계와, Forming a barrier film on the inner wall of the opening; 상기 배리어막 위에 Cu 배선층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. Forming a Cu wiring layer on the barrier film. 제18항에 있어서, The method of claim 18, 상기 절연막은 TEOS (tetraethyl orthosilicate), FSG (fluorine silicate glass), SiOC, SiLK 또는 이들의 조합으로 이루어지는 것을 특징으로 하는 반도체 소자의 미세 패턴 제조 방법. The insulating film is TEOS (tetraethyl orthosilicate), FSG (fluorine silicate glass), SiOC, SiLK or a combination of these, characterized in that the fine pattern manufacturing method of a semiconductor device. 제1항에 있어서, The method of claim 1, 상기 피식각막은 도전막이고, The etched film is a conductive film, 상기 피식각막을 식각하기 위하여 상기 제2 패턴을 식각 마스크로 이용하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. And forming the second pattern as an etch mask to etch the etched film.
KR1020070065658A 2006-03-06 2007-06-29 Method of forming fine patterns of semiconductor device using double patterning process KR100850216B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070065658A KR100850216B1 (en) 2007-06-29 2007-06-29 Method of forming fine patterns of semiconductor device using double patterning process
US11/978,718 US7892982B2 (en) 2006-03-06 2007-10-30 Method for forming fine patterns of a semiconductor device using a double patterning process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070065658A KR100850216B1 (en) 2007-06-29 2007-06-29 Method of forming fine patterns of semiconductor device using double patterning process

Publications (1)

Publication Number Publication Date
KR100850216B1 true KR100850216B1 (en) 2008-08-04

Family

ID=39881121

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070065658A KR100850216B1 (en) 2006-03-06 2007-06-29 Method of forming fine patterns of semiconductor device using double patterning process

Country Status (1)

Country Link
KR (1) KR100850216B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110024587A (en) * 2009-09-02 2011-03-09 삼성전자주식회사 Semiconductor device using double patterning technology and method for manufacturing same
US8435876B2 (en) 2010-12-02 2013-05-07 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
KR101511159B1 (en) * 2008-12-31 2015-04-10 삼성전자주식회사 Method of forming patterns of semiconductor device
US9012326B2 (en) 2010-08-13 2015-04-21 Samsung Electronics Co., Ltd. Methods for patterning microelectronic devices using two sacrificial layers
US9543155B2 (en) 2015-01-23 2017-01-10 Samsung Electronics Co., Ltd. Method of forming minute patterns and method of manufacturing a semiconductor device using the same
KR20190112821A (en) * 2017-02-23 2019-10-07 도쿄엘렉트론가부시키가이샤 Pseudo-atomic layer etching method of silicon nitride

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332554A (en) * 2002-05-09 2003-11-21 Fuji Film Microdevices Co Ltd Method for manufacturing solid-state image pickup device
KR20070070873A (en) * 2005-12-29 2007-07-04 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
KR100827526B1 (en) 2006-12-28 2008-05-06 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332554A (en) * 2002-05-09 2003-11-21 Fuji Film Microdevices Co Ltd Method for manufacturing solid-state image pickup device
KR20070070873A (en) * 2005-12-29 2007-07-04 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
KR100827526B1 (en) 2006-12-28 2008-05-06 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101511159B1 (en) * 2008-12-31 2015-04-10 삼성전자주식회사 Method of forming patterns of semiconductor device
KR20110024587A (en) * 2009-09-02 2011-03-09 삼성전자주식회사 Semiconductor device using double patterning technology and method for manufacturing same
KR101654048B1 (en) 2009-09-02 2016-09-05 삼성전자주식회사 Semiconductor device using double patterning technology and method for manufacturing same
US8618679B2 (en) 2009-09-15 2013-12-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices
US9558993B2 (en) 2009-09-15 2017-01-31 Samsung Electronics Co., Ltd. Pattern structures in semiconductor devices and methods of forming pattern structures in semiconductor devices
US9012326B2 (en) 2010-08-13 2015-04-21 Samsung Electronics Co., Ltd. Methods for patterning microelectronic devices using two sacrificial layers
US8435876B2 (en) 2010-12-02 2013-05-07 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US9543155B2 (en) 2015-01-23 2017-01-10 Samsung Electronics Co., Ltd. Method of forming minute patterns and method of manufacturing a semiconductor device using the same
KR20190112821A (en) * 2017-02-23 2019-10-07 도쿄엘렉트론가부시키가이샤 Pseudo-atomic layer etching method of silicon nitride
KR102537097B1 (en) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 Pseudo-Atomic Layer Etching Method of Silicon Nitride

Similar Documents

Publication Publication Date Title
KR100817088B1 (en) Method of forming fine damascene metal pattern for semiconductor device
US9627206B2 (en) Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US7892982B2 (en) Method for forming fine patterns of a semiconductor device using a double patterning process
KR100755365B1 (en) Mimmetal-insulator-metal capacitors and methods of forming the same
KR100850216B1 (en) Method of forming fine patterns of semiconductor device using double patterning process
US11594419B2 (en) Reduction of line wiggling
JP2005026659A (en) Method of forming bit lines of flash memory element
US9786551B2 (en) Trench structure for high performance interconnection lines of different resistivity and method of making same
US20150047891A1 (en) Integrated Circuit Features with Fine Line Space and Methods for Forming the Same
KR100833201B1 (en) Semiconductor device having fine patterns of wiring line integrated with contact plug and method of manufacturing the same
US7476625B2 (en) Method for fabricating semiconductor device
KR20100001700A (en) Semiconductor device and method of manufacturing the same
KR100744672B1 (en) Method for fabricating contact hole in semiconductor device
KR20090010399A (en) Method of forming fine patterns of semiconductor device using double patterning process
US10020379B2 (en) Method for forming semiconductor device structure using double patterning
KR102014197B1 (en) Semiconductor structure and method of manufacturing the same
US20050280035A1 (en) Semiconductor device and method for fabricating the same
US7651898B2 (en) Method for fabricating semiconductor device
US7714445B2 (en) Dynamic random access memory with an electrostatic discharge structure and method for manufacturing the same
US20060019489A1 (en) Method for forming storage node contact of semiconductor device
US20230178379A1 (en) Film deposition for patterning process
KR100832018B1 (en) Semiconductor device and method for manufacturing the same
US7557039B2 (en) Method for fabricating contact hole of semiconductor device
KR20070036495A (en) Method for forming contact hole in semiconductor device
KR20050024853A (en) Method of forming metal wiring in flash memory device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120706

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee